TW455987B - Deep trench structure with large surface area and its manufacturing method - Google Patents

Deep trench structure with large surface area and its manufacturing method Download PDF

Info

Publication number
TW455987B
TW455987B TW89115871A TW89115871A TW455987B TW 455987 B TW455987 B TW 455987B TW 89115871 A TW89115871 A TW 89115871A TW 89115871 A TW89115871 A TW 89115871A TW 455987 B TW455987 B TW 455987B
Authority
TW
Taiwan
Prior art keywords
trench
layer
substrate
sidewall
scope
Prior art date
Application number
TW89115871A
Other languages
Chinese (zh)
Inventor
Len Mei
Original Assignee
Promos Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Promos Technologies Inc filed Critical Promos Technologies Inc
Priority to TW89115871A priority Critical patent/TW455987B/en
Priority to JP2000335513A priority patent/JP2002057304A/en
Application granted granted Critical
Publication of TW455987B publication Critical patent/TW455987B/en

Links

Abstract

A kind of method for manufacturing a deep trench is suitable for use in a substrate having a pad layer structure and, additionally, a trench deep into the substrate is formed at a certain position. At first, the first insulating layer is formed to cover the pad layer structure and fill up the trench. Then, the first insulating layer is etched to a certain depth of the trench in order to make the bottom insulating-layer remain inside the trench. On top of the bottom insulating-layer, a pad oxide layer is grown on the sidewall of the substrate. After that, the second insulating layer is formed so as to conformably cover the pad oxide layer, the pad layer structure and the bottom insulating-layer surface. The second insulating layer is etched in order to form a collar insulating layer in the pad layer structure inside the trench and the pad oxide layer sidewall. The bottom insulating-layer is removed, and an etching process is performed onto the sidewall of substrate inside the trench in order to make sidewall surface inside the trench rugged such that surface area of the trench sidewall is increased.

Description

455987 五、發明說明(1) 本發明係有關於一種半導體積體電路製程,特別有關 於一種具有大表面積之深溝槽結構及其製造方法。 近年來,隨著積體電路集積度的增加,半導體製程設 計亦朝向縮小半導體元件尺寸以提尚密度之方向發展,以 目前廣泛使用之動態隨機存取記憶體(DRAM : dynamic; random access memory)為例,64M DRAM 製程已從〇.35um 轉換至0·3ιπη以下,而128M DRAM或256M DRAM則更朝向 0.2um、甚至〇.15um以下發展。 由於電容器基本上是由隔著一絕緣物質之兩導電層表 面(即電極板)構成,而電容器儲存電荷之能力係由三種 物理特徵決定,即(1)絕緣物質之厚度:(2)電極板之表 面積;及(3)絕緣物質之電氣性質。 其中為了使記憶體電路能包含大量之記憶胞,記憶胞 之基底面積必須不斷減少以提高密度,同時,記憶胞電容 之電極板部份則仍必須有足夠之表面積以儲存充分之電 荷。 一般而言,高密度記憶體係具有兩種不同的電容器形 成技術,其中一種為三維(three-dimension)之堆#式 電容(STC :stacked capacitor cell),另一種為溝槽 式電容。例如皇冠狀(crown)堆疊電容結構,其利用矽晶 圓中存取裝置之上方空間來形成電容電極板,而溝槽式電 容則利用基底主動區(act ive regi on)中之深溝槽來形成 電容儲存區。本發明之技術則是溝槽式電容技術的延伸。 然而,隨著DRAM製程的持續縮小化,深溝槽之孔徑大455987 V. Description of the Invention (1) The present invention relates to a semiconductor integrated circuit manufacturing process, and particularly relates to a deep trench structure having a large surface area and a manufacturing method thereof. In recent years, with the increase of the integration degree of integrated circuits, the design of semiconductor processes has also developed toward reducing the size of semiconductor components to improve the density. Currently, widely used dynamic random access memory (DRAM: dynamic; random access memory) As an example, the 64M DRAM process has been switched from 0.35um to below 0.3m, while 128M DRAM or 256M DRAM is moving towards 0.2um, or even below 0.15um. The capacitor is basically composed of two conductive layer surfaces (ie, electrode plates) separated by an insulating substance, and the ability of the capacitor to store charge is determined by three physical characteristics, namely (1) the thickness of the insulating substance: (2) the electrode plate Surface area; and (3) the electrical properties of the insulating substance. In order for the memory circuit to contain a large number of memory cells, the base area of the memory cells must be continuously reduced to increase the density. At the same time, the electrode plate portion of the memory cell capacitor must still have sufficient surface area to store sufficient charge. Generally speaking, high-density memory systems have two different capacitor formation technologies, one of which is a three-dimension stacked capacitor cell (STC) and the other is a trench capacitor. For example, a crown-shaped stacked capacitor structure uses the space above the access device in a silicon wafer to form a capacitor electrode plate, and a trench capacitor is formed using a deep trench in the active regi on Capacitor storage area. The technology of the present invention is an extension of the trench capacitor technology. However, as the DRAM process continues to shrink, the diameter of deep trenches is large

455987 五、發明說明(2) 一 J亦隨之限縮,當溝槽之縱寬比(aspect ratio)已超過 1夺作為電谷儲存區之深溝槽將因而受限,此外, 由於電容量係與電容電極板之表面積成正比而溝槽電容 之電極板表面積為溝槽之深度與溝槽圓周面積之乘積,溝 :圓周面積則又與溝槽之孔徑有關,換言t,當製程技術 攸〇. 1 7um縮小至〇· Mum時,溝槽之孔徑隨之變小,連帶使 溝槽電容難以得到足夠之電容表面積以維持所需要之電容 量。再者,欲形成具有較小臨界尺寸之深溝槽,便必須選 擇高縱寬比之方式進行蝕刻,同時當溝槽臨界尺寸愈小, 即愈難使溝槽保持垂直輪廓,—般溝槽之孔徑係愈趨於基 底底部愈加窄化(如第1圖所示)’此亦為目前蝕刻技術所 面臨之挑戰。 以傳統製程為例’其係以乾蝕刻技術直接蝕刻基底底 部以形成一深溝槽’然而由於乾蝕刻製程受溝槽表面孔徑 大小和溝槽深度之限制,因此僅能形成錐形深溝槽,而無 法形成可以擴大電容儲存區表面積之瓶型深溝槽,同時目 前亦未有實例顯示可以乾蝕刻技術來形成瓶型深溝槽,且 也無法延用至0.15um以下之製程。 此外,一種傳統瓶形電容製程,係由T. 〇zaki等人所 提出’參見(0.228 um Trench Cell Technologies with Bottle Shaped Capacitor for 1Gbit DRAMs,by T.Ozaki, et al’ IEDM, 95, pp66卜664, 1995 ),其 中’瓶形電容之製造方法如下所述,首先在溝槽上部形成 一厚度約80nm之領形氧化層(collar oxide layer ),然455987 V. Description of the invention (2) J will also be reduced accordingly. When the aspect ratio of the trench has exceeded 1, the depth of the trench as a storage area of the valley will be limited. In addition, because the capacitance is It is proportional to the surface area of the capacitor electrode plate, and the surface area of the electrode plate of the trench capacitor is the product of the depth of the trench and the circumferential area of the trench. The trench: circumferential area is related to the aperture of the trench, in other words, when the process technology is good. When 17um is reduced to 0 · Mum, the hole diameter of the trench will become smaller, which makes it difficult for the trench capacitor to obtain a sufficient surface area to maintain the required capacitance. Furthermore, to form deep trenches with a smaller critical dimension, it is necessary to choose a method of etching with a high aspect ratio. At the same time, the smaller the critical dimension of the trench, the more difficult it is to maintain the vertical profile of the trench. The aperture system tends to become narrower as the bottom of the substrate (shown in Figure 1). This is also a challenge faced by current etching technologies. Take the traditional process as an example, 'It uses dry etching technology to directly etch the bottom of the substrate to form a deep trench'. However, because the dry etching process is limited by the surface diameter of the trench and the depth of the trench, it can only form a tapered deep trench. Bottle-shaped deep trenches that can increase the surface area of the capacitor storage area cannot be formed. At the same time, there are no examples showing that dry-etching techniques can be used to form bottle-shaped deep trenches, and the process cannot be extended to below 0.15um. In addition, a traditional bottle-shaped capacitor manufacturing process was proposed by T. Ozaki et al. (1995), where the manufacturing method of the bottle-shaped capacitor is as follows, firstly, a collar oxide layer with a thickness of about 80 nm is formed on the upper part of the trench, then

45598? 五、發明說明(3) 後執行氧化罩幕和原生氧化層之去除等電容製程,此時, 環形氧化層厚度亦因此減少至約5 0 nm左右’接著沈積一複 晶矽層,並於同環境下摻雜磷離子,隨之藉由爐管之熱退 火製程將磷離子摻雜入溝槽之電容部側壁,環狀氧化層則 可阻止磷離子摻雜入溝槽上鄯,然後利用化學性乾蝕刻去 除複晶矽層,同時在環狀氧化層下方之溝槽直徑也因此而 擴大。然而刚述傳統技術之問題在於’梦基底與推雜離子 之複晶矽層的蝕刻選擇比並未較其他材質例如氧化層等為 佳’因此,在半導體裝置尺寸日益縮小的情形下,其精準 度並不符需求,製程亦相對複雜。 之目的即為 其製造方法 特定步驟, 底之侧壁; 刻,使該溝 該溝槽侧壁 增加100%, 增加。 實施例,一 之基底,且 槽,其包括 墊層結構表 一既定深度 化製程,以 有鑑於此,本發明 出一種深溝槽之結構及 深入基底之溝槽;再依 之絕緣層,以露出該基 基底側壁之底部進行蝕 表面粗縫化,藉以增加 溝槽之基底側壁表面積 電谷儲存區面積亦隨之 故依據本發明之一 適用於一具有墊層結構 係形成一深入基底之溝 —第一絕緣層,其覆蓋 刻第一絕緣層至溝槽之 絕緣層’接著實施熱氧 了解決上述問題,而提 ,其主要係依先形成一 去除已形成於溝槽底部 隨之,再對該溝槽内之 槽内之基底側壁底部的 之表面積。故而,圍繞 因此,溝槽電容結構之 種深溝槽之製造方法, 墊層結構之一既定位置 下列步驟。首先,形成 面並填滿溝槽’其次蝕 ,以於溝槽内留下底邹 在底部絕緣層上方之基45598? V. Description of the invention (3) Capacitive processes such as the removal of the oxide mask and the native oxide layer are performed. At this time, the thickness of the ring-shaped oxide layer is reduced to about 50 nm. Then a polycrystalline silicon layer is deposited, and Phosphorus ions are doped in the same environment, and then phosphorus ions are doped into the sidewall of the capacitor portion of the trench through the thermal annealing process of the furnace tube. A ring-shaped oxide layer can prevent phosphorus ions from being doped into the trench. Chemical dry etching is used to remove the polycrystalline silicon layer. At the same time, the diameter of the trench under the ring-shaped oxide layer is also enlarged. However, the problem of the conventional technology just mentioned is that 'the etch selection ratio of the dream substrate and the doped polycrystalline silicon layer is not better than other materials such as oxide layers'. Therefore, the precision of semiconductor devices is shrinking. The degree does not meet the requirements, and the manufacturing process is relatively complicated. The purpose is to make a specific step in its manufacturing method, the bottom side wall; engraving, to increase the trench side wall by 100%. In the first embodiment, a substrate and a groove include a cushion structure. Table 1 shows a predetermined depth process. In view of this, the present invention provides a deep trench structure and a trench that penetrates into the substrate. The insulation layer is then exposed to expose the structure. The bottom of the side wall of the base substrate is roughened by etched surface, thereby increasing the surface area of the base wall of the groove. The area of the electric valley storage area is accordingly adapted according to one of the present invention to form a trench deep into the substrate with a cushion structure system— The first insulation layer covers the insulation layer engraved from the first insulation layer to the trench, and then implements thermal oxygen to solve the above-mentioned problems, and mentions that it is mainly formed first by removing the already formed bottom of the trench, and then The surface area of the bottom of the sidewall of the substrate in the groove in the groove. Therefore, in order to manufacture a deep trench in a trench capacitor structure, a predetermined position of the pad structure is as follows. First, a surface is formed and fills the trench ’and then etched to leave a bottom in the trench, a substrate above the bottom insulating layer

455987 ~ ----------------— 五、發明說明(4) 底側壁形成一墊氧化物層;然後,形成一第二絕緣層’以 $應性覆蓋墊氧化物層、墊層結構和底部絕緣層表面’接 著’钮刻第二絕緣層,以在溝槽内之墊層結構及墊氧化物 層侧壁形成—絕緣領形物(c ο Π a r ),隨之,去除底部絕緣 層’再對該溝槽内之基底側壁進行蝕刻,使該溝槽内之基 底側壁的表面粗糙化,藉以增加該溝槽側壁之表面積。 相較·於傳統瓶形深溝槽(bottle-shaped deep trench) ’其為了加大溝槽之表面積,需進行將溝槽直徑 加大之步驟。然而’本發明重點即為無需進行將溝槽直徑 加大之步驟。本發明主要係使用非等向性蝕刻溶液,對於 [11 〇 ]晶格面而言具有高蝕刻率,而對於[n丨]晶格面而言 則具有低鞋刻率。本發明進行非等向性蝕刻並配合適當之 時間控制’即可以在上述溝槽内之基底側壁上蝕刻出凹凸 不平之粗糙表面。藉此,本發明可以將溝槽(侧壁)之表面 積增加兩摺(two-fold)以上,而無需加大溝槽之直徑。 為讓本發明之上述和其他目的、特徵、和優點能更明 顯易懂’下文特舉一較佳實施例,並配合所附圖式,作詳 細說明如下: 圖式簡單說明: 第1至9圖為一半導體結構剖面圖,其顯示本發明之深 溝槽之製造方法實施例。 [符號說明] 100~基底;120 ’120’〜墊氧化物層;140〜墊氮化物 層;160~氧化物層;160’〜底部氧化物層;180〜氮化物455987 ~ ----------------— V. Description of the invention (4) A pad oxide layer is formed on the bottom side wall; then, a second insulating layer is formed to cover the pad with $ response. The oxide layer, the pad structure and the bottom insulation layer surface are then "engaged" with a second insulation layer to form the pad structure in the trench and the side wall of the pad oxide layer-an insulating collar (c ο Π ar) Then, the bottom insulating layer is removed, and then the substrate sidewall in the trench is etched to roughen the surface of the substrate sidewall in the trench, thereby increasing the surface area of the trench sidewall. Compared with the traditional bottle-shaped deep trench, in order to increase the surface area of the trench, a step of increasing the diameter of the trench is required. However, 'the point of the present invention is that the step of increasing the diameter of the groove is not required. The present invention mainly uses an anisotropic etching solution, which has a high etching rate for the [11 〇] lattice plane and a low shoe engraving rate for the [n 丨] lattice plane. According to the present invention, an anisotropic etching and an appropriate time control 'can be used to etch a rough surface with unevenness on the side wall of the substrate in the groove. With this, the present invention can increase the surface area of the trench (side wall) by more than two-fold without increasing the diameter of the trench. In order to make the above and other objects, features, and advantages of the present invention more comprehensible, hereinafter, a preferred embodiment is described in detail with the accompanying drawings as follows: The drawings are briefly described: 1 to 9 FIG. Is a cross-sectional view of a semiconductor structure showing an embodiment of a method for manufacturing a deep trench according to the present invention. [Symbol description] 100 ~ base; 120'120 '~ pad oxide layer; 140 ~ pad nitride layer; 160 ~ oxide layer; 160' ~ bottom oxide layer; 180 ~ nitride

第7頁 455987 五、發明說明(5) ---* 層;180’~氮化領形物;15〇〜基底側壁:2〇〇〜深溝槽: 2 3 0〜溝槽上部;2 5 0 ~溝槽底部;S〜側壁;廿1〜第一深度; d2~第二深度。 /又’ 實施例: 首先請參閱第1至9圖’其顯示本發明之—實施例之製 造流程。 依據第1圖’其係顯示本發明之起始步騾。其中,其 底100為一半導體材質,例如由矽材質(silic〇n、)組成' 為方便說明起見’在此以一石夕基底為例。 首先,起始步驟為在基底100表面依序形成絕緣層12〇 和140,其中絕緣層120和14〇構成一墊層結構11()。例如該 步驟可在矽基底100之主動區表面依序形成厚度約為 士墊氧化物層’和厚度約為2000 A之墊氮化物層。舉例而 舌,墊氧化物層120之材質可由二氧化矽組成,豆採用一 熱,長式(thermal oxide)製程;墊氮化物層14〇、可藉沈積 :亂化碎材質形成,例如以二氣梦甲院SiH2Cl2、氨叫為 反應物,並藉低壓化學氣相沈積(LPCVD)製程產生。其 物材質在後續製程中可作為㈣彳氧化物材f時之餘 刻罩幕(etching mask)。 其次,請參閱第2圖,該步驟為利用微影製程及蝕刻 ,驟來定義墊層結構Π 0和基底1 〇〇以形成一溝槽丨3〇。例 如先於矽基底1〇〇之主動區位置定義一光阻圖案(未顯 =,接著以非等向性乾蝕刻,如以氟碳化物之三氟甲烷 Ηί?3)電漿為主蝕刻反應氣體,而藉反應性離子蝕刻法Page 7 455987 V. Description of the invention (5) --- * layer; 180 '~ nitriding collars; 150 ~ substrate sidewall: 200 ~ deep groove: 2 3 0 ~ upper groove; 2 5 0 ~ Bottom of the trench; S ~ sidewall; 廿 1 ~ first depth; d2 ~ second depth. / An embodiment: First, please refer to Figs. 1 to 9 ', which shows a manufacturing process of an embodiment of the present invention. Figure 1 'shows the initial steps of the present invention. Among them, the bottom 100 is made of a semiconductor material, for example, it is composed of a silicon material (for example, silicon). For the convenience of description, a Shi Xi substrate is used as an example here. First, the initial step is to sequentially form insulating layers 120 and 140 on the surface of the substrate 100, where the insulating layers 120 and 140 form a cushion structure 11 (). For example, this step can sequentially form a pad oxide layer 'with a thickness of about 20 A and a pad nitride layer with a thickness of about 2000 A on the surface of the active region of the silicon substrate 100. For example, the material of the pad oxide layer 120 may be composed of silicon dioxide, and the beans are manufactured by a thermal, long (thermal oxide) process; the pad nitride layer 14 may be formed by depositing: chaotic material, such as SiH2Cl2 and ammonia are called reactants, and are produced by low pressure chemical vapor deposition (LPCVD) process. Its material can be used as a etching mask in the subsequent process. Secondly, referring to FIG. 2, this step is to use a lithography process and etching to define the pad structure Π 0 and the substrate 100 to form a trench 丨 30. For example, a photoresist pattern is defined before the position of the active area of the silicon substrate 100 (not shown =, followed by anisotropic dry etching, such as fluorocarbon trifluoromethaneΗ3). Plasma is the main etching reaction. Reactive ion etching

455987 五、發明說明(6) (RIE :reactive ion etch)依序蝕刻墊層結構11〇至基底 100之一既定深度,以形成一溝槽130,在本實施例中/所 蝕刻之溝槽深度約為7. 5um ’溝槽1 30表面開口尺寸約為〇 2um,而溝槽130内之基底100底部寬度則約為〇· lum。 其次,請參閱第3圖’該步驟為形成—絕緣層16〇,以 覆蓋墊層結構110表面並填滿前述之深溝槽13〇。例如可選 擇以化學氣相沈積製程(C V D )來沈積一由二氧化發材質組、 成之氧化物層160,其厚度約為2〇〇〇A,用以覆蓋塾層結 構110之墊氮化物層140表面以及填滿溝槽丨3〇。 ° 接續,請參閱第4圖,該步驟為蝕刻前述形成之絕緣 層160至溝槽130之一既定深度,以於溝槽13〇内留下底部 絕緣層160’ ,其中此既定深度距離溝槽13〇表面約在 溝槽130中,約lum以上之區域係作為與元件之接面,而在 lum以下之區域則用來製作電容器之電容儲存區。舉例而 言,對二氧化矽材質構成之氧化物層16〇實施之蝕刻 驟’係選擇氧化物料材質和氮切材f具高㈣選 之J式㈣製程進行’其中,選擇以氫銳酸緩衝液來進行 濕式飯刻步驟,可在室溫下與二氧化石夕快速反應 =由石夕材質構成之基底。在此,就氫氟酸緩衝液而言會 一氧化矽對氮化矽之蝕刻選擇比係為2〇 :丨或更高。 ,其次,請參閱以圖,該步驟^形成—塾氧化物声 120 ’再形成一絕緣層18〇以順應性覆蓋 曰 部絕緣層U0,表面。例如,先實施一熱氧化製程構455987 5. Description of the invention (6) (RIE: reactive ion etch) sequentially etches the pad structure 11 to a predetermined depth of the substrate 100 to form a trench 130. In this embodiment / the depth of the trench to be etched Approximately 7.5 um 'The opening size of the surface of the trench 1 30 is approximately 0 2 um, and the width of the bottom of the substrate 100 in the trench 130 is approximately 0 · lum. Secondly, referring to FIG. 3 ', this step is to form an insulating layer 16o to cover the surface of the cushion structure 110 and fill the aforementioned deep trench 13o. For example, a chemical vapor deposition (CVD) process may be used to deposit an oxide layer 160 made of a material group of dioxide, having a thickness of about 2000 A, to cover the pad nitride of the hafnium layer structure 110. The surface of the layer 140 and fills the trenches 30. ° Continuation, please refer to FIG. 4. This step is to etch one of the predetermined depths of the insulating layer 160 to the trench 130 formed previously, so as to leave the bottom insulating layer 160 'in the trench 130, where the predetermined depth is away from the trench The surface of 130 is approximately in the trench 130. The area above about lum is used as the interface with the component, and the area below lum is used to make the capacitor storage area of the capacitor. For example, the etching step 16 performed on the oxide layer 16 made of silicon dioxide material is performed by selecting a type of oxide material and a nitrogen cutting material, and a J-type process with a high selection. Among them, a hydrogen buffer is selected. Liquid to carry out the wet rice engraving step, and can quickly react with the stone dioxide at room temperature = the substrate made of the material of the stone evening. Here, in terms of the hydrofluoric acid buffer solution, the etching selection ratio of silicon monoxide to silicon nitride is 20: or higher. Secondly, please refer to the figure. This step ^ forms—the oxide sound 120 ′, and then forms an insulating layer 180 to cover the surface of the insulating layer U0. For example, first implement a thermal oxidation process

部絕緣層160,上方之基底侧壁形成一墊氧化物層i2〇’在JPart of the insulating layer 160, and a pad oxide layer i2〇 ’is formed on the upper side wall of the substrate.

第9頁 4 5 5 9 8 7 五 '發明說明(7) 度約為50 A,至於絕緣層1 80則可藉沈積一氮化矽材質形 成’例如以二氣矽f烷SiHsCI2、氨NHS為主反應物,並藉 低壓化學氣相沈積(LPCVD)製程產生以順應性覆蓋塾層辞 構110和底部氧化物層160’表面’其厚度約為2〇〇A。 請參閱第6圖’接續之步驟為對絕緣層1 80進行餘刻, 以在溝槽1 3 0内之墊層結構11 0和墊氧化物層1 2 0,側壁形成 具有保護作用之領形氮化物層1 8 0 ’ 。例如,以乾餘刻製释 去除氮化物層180之覆蓋在墊層結構11〇表面的水平部分厂 留下氮化物層180位於溝槽130内之墊氧化物層12〇’和^層 結構11 〇側壁之垂直部分,形成具有保護作用之氮化物s 180’。 接著’請參閱第7圖,該步驟為去除該底部絕緣層 1 6 0以暴疼出溝槽1 3 0内之基底側壁1 5 0。例如以塾氮化物 層140和氮化領形物180’為蝕刻保護層,並藉由濕式赖刻” 製程來完全去除前述形成於溝槽130内之底部氧化物層 160 ,其中可選擇一氧化矽材質對石夕材質和氮化石夕材質具 高蝕刻選擇比之氫氟酸緩衝液來進行氧化物去除步驟。 依序,請參閱第8圖,該步驟係為使用鹼性緩衝溶 液’對該溝槽内之基底側壁1 50進行非等向性濕式蝕刻, 使該溝槽内之基底側壁150的表面粗糙化,藉以增加該溝 槽側壁之表面積。例如,使用成分2%之氫氧化鉀(K〇H)溶 液在70°C溫度下,對該溝槽内之基底側壁15〇進行蝕刻。 對於[110]晶格面而言,上述氫氧化鉀之蝕刻率約為 500 A/mm ’♦對於[1H]晶格面而言,上述氫氧化鉀之蝕Page 9 4 5 5 9 8 7 The description of the invention (7) The degree is about 50 A. As for the insulating layer 1 80, it can be formed by depositing a silicon nitride material. For example, two gas silicon fane SiHsCI2, ammonia NHS is The main reactant is produced by a low pressure chemical vapor deposition (LPCVD) process to conformally cover the rhenium layer structure 110 and the bottom oxide layer 160 'surface' with a thickness of about 2000 A. Please refer to FIG. 6 '. The subsequent step is to perform an etching on the insulating layer 1 80 to form a pad structure 110 and a pad oxide layer 120 in the trench 130, and the side wall forms a protective collar shape. The nitride layer is 180 °. For example, the horizontal portion of the nitride layer 180 covering the surface of the pad structure 11 is removed by dry etching to leave the nitride oxide layer 180 and the pad structure 11 within the trench 130. 〇 The vertical portion of the sidewall forms a protective nitride s 180 ′. Next, please refer to FIG. 7. This step is to remove the bottom insulating layer 160 to violently produce the substrate sidewall 150 in the trench 130. For example, a hafnium nitride layer 140 and a nitride collar 180 'are used as an etch protection layer, and the aforementioned bottom oxide layer 160 formed in the trench 130 is completely removed by a wet etching process. One of them can be selected. The silicon oxide material has a high etching selectivity ratio of the hydrofluoric acid buffer to the Shixi material and the nitride stone material to perform the oxide removal step. In order, please refer to FIG. 8. This step is to use an alkaline buffer solution. The substrate sidewall 150 in the trench is anisotropically wet-etched to roughen the surface of the substrate sidewall 150 in the trench, thereby increasing the surface area of the sidewall of the trench. For example, using 2% hydroxide The potassium (KOH) solution was etched at a temperature of 70 ° C to the substrate sidewall 15 in the trench. For the [110] lattice plane, the etching rate of the above potassium hydroxide was about 500 A / mm. '♦ For the [1H] lattice plane, the above-mentioned corrosion of potassium hydroxide

第10頁 455987 五、發明說明(8) 刻率約為11 A /m i η。本發明使用氩氡化鉀溶液進行非等 向性蝕刻’並配合適當之時間控制(例如進行1分鐘),即 可以在上述溝槽内之基底側壁上蝕刻出凹凸不平之粗链表 面。若以氫氧化鉀對矽基底進行蝕刻1分鐘,即足以在基 底側壁150上產生平均約200Α之表面粗糙度。整體而古, 相當於把基底侧壁150之表面折了兩摺(two-f〇id),而使 基底側壁150之表面積也增加了〗〇〇 %。 請參閱第9圖,其為去除絕緣領形物180,和去除塾氧 化物層120’之步驟;以形成溝槽底部側壁〗5〇具有粗糖表 面之深溝槽200。例如,可利用濕蝕刻方式,以熱碟酸溶 液來#刻去除氮化領形物180’ ,其中部分之塾氮化物声 14 0亦被餘刻。再利用濕蝕刻方式’如以氩氟酸緩衝蝕刻 液來蝕刻去除墊氧化物層12 0 ’ 。然而,在形成深溝槽電容 器(deep trench capacitor)之後續過程中如:使同離子 佈植形成第一導電極板之步驟,和沈積一導電物質以形成 一第一導電極板之步驟内’仍可將上述氮化領形物予 以保留,而成為深溝槽電容器之一部分。 依據前述實施例所形成之深溝槽其結構係包括:—半 導體基底100,形成有一深入於該半導體基底10〇内之深溝 槽200,該深溝槽200具有一第一深度dl如約為7. Sum及一 隨第一深度dl變化之溝槽寬度w。及一側壁s,由半導體基 底100圍繞該溝槽200形成,其自一第二深度d2如約ium之" 位置’區分為一上部2 3 0和一底部2 5 0 ;其中,側壁之底部 250具有凹凸不平之粗糙表面,且第二深度心小於第—深Page 10 455987 V. Description of the invention (8) The etching rate is about 11 A / m i η. According to the present invention, an anisotropic etching is performed using a potassium argon halide solution, and with appropriate time control (for example, 1 minute), an uneven rough chain surface can be etched on the side wall of the substrate in the groove. If the silicon substrate is etched with potassium hydroxide for 1 minute, it is sufficient to produce an average surface roughness of about 200 A on the substrate sidewall 150. As a whole, it is equivalent to folding the surface of the substrate side wall 150 by two-fold, and the surface area of the substrate side wall 150 is also increased by 00%. Please refer to FIG. 9, which is a step of removing the insulating collar 180 and removing the hafnium oxide layer 120 ′; to form the bottom wall of the trench bottom 50. A deep trench 200 having a coarse sugar surface. For example, the wet-etching method can be used to remove the nitride collar 180 'with a hot-dish acid solution, and a part of the hafnium nitride sound 14 0 is also etched. Then, a wet etching method, such as an argon-fluoric acid buffer etching solution, is used to etch and remove the pad oxide layer 12 0 ′. However, in the subsequent process of forming a deep trench capacitor, such as: the step of implanting the same ion to form the first conductive electrode plate, and the step of depositing a conductive substance to form the first conductive electrode plate, The nitrided collars described above can be retained as part of a deep trench capacitor. The deep trench formed in accordance with the foregoing embodiment has a structure including:-a semiconductor substrate 100 formed with a deep trench 200 deep within the semiconductor substrate 100, the deep trench 200 having a first depth dl such as about 7. Sum And a trench width w that varies with the first depth dl. And a side wall s formed by the semiconductor substrate 100 around the trench 200, which is distinguished into an upper part 2 3 0 and a bottom part 2 50 from a second depth d2 such as about "ium"; where the bottom of the side wall 250 has a rough surface with unevenness, and the second depth center is smaller than the first-depth

455987 五、發明說明(9) 度dl。 第二深度d2位置以下之溝槽側壁底部25〇則具有一隨 深度漸減之溝槽寬度變化。 另前述基底表面之溝槽寬度約為〇,25um以下,深寬比 則約為1 5以上。 此外’於完成前述實施例之深溝槽2 〇 〇之後,尚可接 續之後的傳統半導體製程,例如半導體元件之製作等,舉 例而言,可藉此瓶型深溝槽200來形成溝槽電容結構之電 容儲存區。而由於本實施例之深溝槽2〇〇之底部25〇具有粗 挺表面,使圍繞溝槽之基底侧壁表面積增加約1 Q 〇 %,因 此’溝槽電容結構之電容儲存區面積亦隨之增加約以 上。 由於本發明之深溝槽製造方法可有效增加表面積,因 而以此形成之溝槽電容結構,亦可符合下一世代之記憶體 需求’甚至疋到達0.13 um之兩個世代製程以上。因此,藉 本發明之電容結構可應用於動態隨機存取記憶體(DRAM), 其在有限之記憶胞面積下,可大幅增加相當之電容容量。 同時’本發明中所應用之物質材料,並不限於實施例 所引述者,其能由各種具恰當特性之物質和形成方法所置 換,且本發明之結構空間亦不限於實施例引用之尺寸大 小 。 ”發明已以較佳實施例揭露如下,然其並非用以 限明,任何熟習此技藝者’在不脫離本發明之精神 口範圍内’當可做些許之更動與潤飾’因此本發明之保護455987 V. Description of the invention (9) Degree dl. The bottom 25 of the trench sidewall below the position of the second depth d2 has a trench width that decreases with decreasing depth. In addition, the width of the groove on the surface of the aforementioned substrate is about 0.25 μm or less, and the aspect ratio is about 15 or more. In addition, after the completion of the deep trench 2000 of the foregoing embodiment, the conventional semiconductor processes such as the fabrication of semiconductor components can be continued. For example, the bottle-shaped deep trench 200 can be used to form a trench capacitor structure. Capacitor storage area. And since the bottom 25 of the deep trench 200 of this embodiment has a roughened surface, the surface area of the side wall of the substrate surrounding the trench is increased by about 1%, so the area of the capacitor storage area of the trench capacitor structure also follows. Increase about above. Since the deep trench manufacturing method of the present invention can effectively increase the surface area, the trench capacitor structure thus formed can also meet the memory requirements of the next generation 'and even reach more than two generation processes of 0.13 um. Therefore, the capacitor structure of the present invention can be applied to dynamic random access memory (DRAM), which can greatly increase the equivalent capacitor capacity under a limited memory cell area. At the same time, the material materials used in the present invention are not limited to those cited in the examples, they can be replaced by various materials and forming methods with appropriate characteristics, and the structural space of the present invention is not limited to the dimensions cited in the examples. . "The invention has been disclosed as follows in a preferred embodiment, but it is not intended to limit it. Anyone skilled in the art," without departing from the spirit of the invention, "can do a few changes and retouching." Therefore, the protection of the invention

455987455987

第13頁Page 13

Claims (1)

455907 ----— 六'申請專利範圍 該方法包括 下 1.—種增加深溝槽表面 製造方法, 列步驟: 壁; .(a)在一基底中形成深溝槽,該深溝槽内 形成有侧 形成一第一絕緣層,該第〆絕緣層填滿該溝槽; c蝕刻該第一絕緣層至該溝槽之一既定深度,露出 。則壁之上半部,並於該溝槽内留下底部絕緣層; (d )形成一第二絕緣層,以順應性覆蓋該底部絕緣層 表面; (e ) ϋ刻該第二絕緣層,以在該溝槽内之該側壁上半 部形成一絕緣領形物; (Ο去除該底部絕緣層,而露出位於該溝槽内該側壁 之下半部; (g)進行非等向性蝕刻,使該溝槽内該側壁之下半部 的表面粗糙化,藉以增加該溝槽之表面積。 2. 如申請專利範圍第1項所述之方法,其中,於步驟 (b) ,該第一絕緣層係由氧化物材質形成。 3. 如申請專利範圍第2項所述之方法,其中,於步驟 (c) ’該既定深度係用以標記所欲形成之深溝槽的起點。 4. 如申凊專利範圍第3項所述之方法,其中,於步驟 (d) ,該第二絕緣層係由—氮化物材質形成。 5·如申請專利範圍第4項所述之方法,其中,於步驟 (f ),係以濕蝕刻方式去除該底部絕緣層。 6.如申請專利範圍第5項所述之方法,其中,於步驟 455987 六、申請專利範圍 (f) ’係以氫氟酸緩衝蝕刻液來蝕刻去除該底部絕緣層。 7·如申請專利範圍第1項所述之方法,其中,於步驟 U) ’係以非等向性之濕蝕刻方式,對該溝槽内該側壁下 半部進行蝕刻。 8.如申請專利範圍第7項所述之方法,其中,於步驟 (g) ’係以驗性緩衝溶液來蝕刻該溝槽D 9,如申請專利範圍第8項所述之方法,其中’於步驟 (g) ’係以2%成分之氫氡化鉀溶液在70 °c溫度下,來蝕刻 該溝1槽。 10. —種增加深溝槽表面積之製造方法,適用於電容 儲存區以加大其電容值,包括下列步驟: (a) 提供一矽基底,其具有一主動區; (b) 依序在該矽基底表面形成—第一墊氧化物層及一 第一墊氮化物層,其用以構成—墊層結構; (c) 定義該墊層結構及該基底,以在該主動區中形成 一溝槽; (d) 形成一第二氧化物層,其覆蓋該堆疊層表面並填 滿該溝槽; (e) 蚀刻該第二氧化物層至該溝槽之一既定深度,以 於該溝槽内留下底部氧化物層; (f) 實施一熱氧化製程,以在該底部絕緣層上方之基 底側壁形成一墊氧化物層; (g) 形成一第二氮化物層,以順應性覆蓋該墊氧化物 層、塾層結構和該底部氧化物層表面; 第15頁 455987455907 ---- Six patent application scope The method includes the following 1.- a method for increasing the surface of a deep trench, the steps are as follows: wall;. (A) a deep trench is formed in a substrate, and a side is formed in the deep trench Forming a first insulating layer, the third insulating layer filling the trench; c etching the first insulating layer to a predetermined depth of the trench and exposing. Then the upper half of the wall leaves a bottom insulating layer in the trench; (d) forming a second insulating layer to cover the surface of the bottom insulating layer with compliance; (e) engraving the second insulating layer, An insulating collar is formed in the upper half of the sidewall in the trench; (0) the bottom insulating layer is removed, and the lower half of the sidewall in the trench is exposed; (g) anisotropic etching To roughen the surface of the lower half of the sidewall in the trench, thereby increasing the surface area of the trench. 2. The method as described in item 1 of the scope of patent application, wherein, in step (b), the first The insulating layer is formed of an oxide material. 3. The method as described in item 2 of the scope of the patent application, wherein in step (c) 'the predetermined depth is used to mark the start of the deep trench to be formed. 4. Such as The method described in claim 3 of the patent scope, wherein, in step (d), the second insulating layer is formed of a nitride material. 5. The method described in claim 4 of the patent scope, wherein Step (f) is to remove the bottom insulating layer by a wet etching method. The method according to item 5, wherein, in step 455987, the scope of patent application (f) 'is to remove the bottom insulating layer by etching with a hydrofluoric acid buffer etching solution. 7. As described in item 1 of the scope of patent application The method, wherein in step U) ′, the lower half of the sidewall in the trench is etched by an anisotropic wet etching method. 8. The method according to item 7 of the scope of patent application, wherein in step (g) 'the trench D 9 is etched with an experimental buffer solution, the method according to item 8 of the scope of patent application, where' In step (g) ', a 2% component potassium hydride solution is used to etch the groove 1 groove at a temperature of 70 ° C. 10. —A manufacturing method for increasing the surface area of a deep trench, which is suitable for increasing the capacitance value of a capacitor storage area, including the following steps: (a) providing a silicon substrate with an active area; (b) sequentially in the silicon The substrate surface is formed with a first pad oxide layer and a first pad nitride layer, which are used to form a pad structure; (c) defining the pad structure and the substrate to form a trench in the active region (D) forming a second oxide layer covering the surface of the stacked layer and filling the trench; (e) etching the second oxide layer to a predetermined depth of the trench so as to be within the trench The bottom oxide layer is left; (f) performing a thermal oxidation process to form a pad oxide layer on the sidewall of the substrate above the bottom insulating layer; (g) forming a second nitride layer to cover the pad with compliance Oxide layer, hafnium layer structure and surface of the bottom oxide layer; page 15 455987 (h)蝕刻該第二氮化物層,以在該溝槽内 和墊氧化物層側壁形成一領形物; 層結構 (i )濕#刻去除該底部氧化物層 内之基底;以及 而露出位 於該溝槽 (j )對該溝槽内之基底進行濕式蝕刻, 基底的表面粗糙化’藉以加大該溝槽内之表面/積。槽内之 11.如申請專利範圍第10項所述之方法,更包括 步驟:依序濕蝕刻去除該墊氧化物層和該領匕如下 用於電容儲存區具有大表面積之深溝槽。化物以形成該 12_如申請專利範圍第10項所述之方法,其中,於步 驟(]’)’係以鹼性緩衝溶液來蝕刻該溝槽。 、’ 13.如申請專利範圍第12項所述之方法,其中,於步 驟(j) ’係以2%成分之氫氧化鉀溶液在70 t溫度下,來姓 刻該溝槽。 1 4 · 一種深溝槽結構包括: 一半導體基底,形成有一深入於該半導體基底内之溝 槽’該溝槽具有一第一深度及一隨該第一深度變化之溝槽 寬度; S —侧壁,由該半導體基底圍繞該溝槽形成,其依據一 第二深度區分為一上部和一底部;其中,該側壁之底部具 有凹凸不平之表面,且該第二深度小於該第一深度。 15.如申請專利範圍第14項所述之結構,其中該溝槽 具有基底表面之溝槽寬度小於〇. 2 5 um ’該溝槽之深寬比則 大於1 5。(h) etching the second nitride layer to form a collar in the trench and the side wall of the pad oxide layer; layer structure (i) wet-etching to remove the substrate in the bottom oxide layer; and exposed The substrate in the trench (j) is wet-etched, and the surface of the substrate is roughened to increase the surface / area in the trench. 11. The method as described in item 10 of the scope of the patent application, further comprising the step of sequentially removing the pad oxide layer and the collar by wet etching as follows for a deep trench having a large surface area in a capacitor storage area. The method described in item 10 of the scope of patent application, wherein the trench is etched with an alkaline buffer solution in step (] ')'. 13. The method according to item 12 of the scope of patent application, wherein in step (j) ', the groove is engraved with a 2% potassium hydroxide solution at a temperature of 70 t. 1 4 · A deep trench structure includes: a semiconductor substrate formed with a trench deep in the semiconductor substrate; the trench has a first depth and a trench width that varies with the first depth; S-sidewall The semiconductor substrate is formed around the trench, and is divided into an upper portion and a bottom portion according to a second depth. The bottom of the side wall has an uneven surface, and the second depth is smaller than the first depth. 15. The structure according to item 14 of the scope of the patent application, wherein the groove has a substrate surface with a groove width of less than 0.2 5 um ′, and the groove has an aspect ratio greater than 15. 第16頁 455987 六、申請專利範圍 16. 如申請專利範圍第14項所述之結構’其中該第二 /衣度為距該基底表面約1UB1。 17. 如申請專利範圍第14項所述之結構’其中該溝槽 側壁之底部具有一隨深度漸減之溝槽寬度變化。 曰 18. 如申請專利範圍第丨4項所述之結構’其中該第一 深度為距該基底表面約7. 5 u m。 19. 一種增加深溝槽表面積之製造方法,適用於一基 底’該方法包括下列步驟: (a) 提供能予以選擇性蝕刻之第一和第二絕緣材料; (b) 形成一深入該基底之溝槽,該溝槽具有一側壁; (c )形成一由該第一絕緣枒斜組成之第一絕緣層,以 填入該溝槽; (d) 蝕刻該第一絕緣層至一既定深度’以形成—低於 該既定深度之底部絕緣層; (e) 於該溝槽側壁形成一由該第二絕緣材料組成之領 形物; (f )以該領形物為罩幕,去除該底部絕緣層以露出該 底部侧壁; (g)對該底部側壁進行非等向性蝕刻’使該底部侧壁 的表面粗糙化,藉以加大該底部側壁之表面積。 2 0.如申請專利範圍第19所述之方法,其中,該第一 絕緣材料係為氧化物材質,該第二絕緣材料為氮化物材 質。 2 1 ·如申請專利範圍第2 0所述之方法,其中,該領形Page 16 455987 6. Scope of patent application 16. The structure described in item 14 of the scope of patent application, wherein the second / clothing degree is about 1UB1 from the surface of the substrate. 17. The structure according to item 14 of the scope of the patent application, wherein the bottom of the sidewall of the trench has a trench width that decreases with depth. 5 u m。 18. The structure described in item 丨 4 of the scope of patent application, wherein the first depth is about 7. 5 u m from the surface of the substrate. 19. A manufacturing method for increasing the surface area of a deep trench, applicable to a substrate. The method includes the following steps: (a) providing first and second insulating materials capable of being selectively etched; (b) forming a trench deep into the substrate A trench having a sidewall; (c) forming a first insulating layer composed of the first insulating oblique to fill the trench; (d) etching the first insulating layer to a predetermined depth 'to Forming—a bottom insulating layer below the predetermined depth; (e) forming a collar made of the second insulating material on the sidewall of the trench; (f) using the collar as a cover to remove the bottom insulation Layer to expose the bottom sidewall; (g) anisotropically etch the bottom sidewall to roughen the surface of the bottom sidewall, thereby increasing the surface area of the bottom sidewall. 20. The method according to claim 19, wherein the first insulating material is an oxide material, and the second insulating material is a nitride material. 2 1 · The method as described in claim 20, wherein the collar shape 第17頁 455987 力、申請專利範圍 物之形成方式,包括: 使用化學氣相沈積製程沈積一氮化物層,並填入該溝 槽;及 使用非等向性蝕刻製程以於該溝槽側壁形成一氮化領 形物’其路出部分底部絕緣層表面。 22. 如申請專利範圍第2 1所述之方法,其中,在沈積 該氮化物層之前,更包括形成一墊氧化物層之步驟。 23. 如申請專利範圍第19所述之方法,其中,於步驟 (g) ’係以濕蝕刻方式,對該底部側壁進行蝕刻。 24. 如申請專利範圍第23項所述之方法,其中,於步 驟(g)’係以鹼性緩衝溶液來蝕刻該底部側壁。 2 5.如申請專利範圍第24項所述之方法,其中,於步 驟(g),係以2%成分之氫氧化鉀溶液在7(rc溫度下,來蝕 刻該底部側壁。Page 17 455987 The method for forming a patented application includes: depositing a nitride layer using a chemical vapor deposition process and filling the trench; and using an anisotropic etching process to form a trench sidewall. A nitride collar 'exits part of the bottom insulating layer surface. 22. The method as described in claim 21, wherein before depositing the nitride layer, a method of forming a pad oxide layer is further included. 23. The method according to claim 19, wherein in step (g) ', the bottom sidewall is etched by a wet etching method. 24. The method according to item 23 of the scope of patent application, wherein in step (g) ', the bottom sidewall is etched with an alkaline buffer solution. 25. The method according to item 24 of the scope of patent application, wherein in step (g), the bottom side wall is etched with a 2% potassium hydroxide solution at a temperature of 7 (rc). 第18頁Page 18
TW89115871A 2000-08-07 2000-08-07 Deep trench structure with large surface area and its manufacturing method TW455987B (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
TW89115871A TW455987B (en) 2000-08-07 2000-08-07 Deep trench structure with large surface area and its manufacturing method
JP2000335513A JP2002057304A (en) 2000-08-07 2000-11-02 Deep trench structure having large surface area and its manufacturing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW89115871A TW455987B (en) 2000-08-07 2000-08-07 Deep trench structure with large surface area and its manufacturing method

Publications (1)

Publication Number Publication Date
TW455987B true TW455987B (en) 2001-09-21

Family

ID=21660688

Family Applications (1)

Application Number Title Priority Date Filing Date
TW89115871A TW455987B (en) 2000-08-07 2000-08-07 Deep trench structure with large surface area and its manufacturing method

Country Status (2)

Country Link
JP (1) JP2002057304A (en)
TW (1) TW455987B (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102297835B1 (en) * 2019-11-21 2021-09-02 (재)한국나노기술원 Method for manufacturing tapered sidewall via hole
US11289404B2 (en) * 2020-01-17 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
JP7434009B2 (en) 2020-03-23 2024-02-20 株式会社東芝 Structure and its manufacturing method

Also Published As

Publication number Publication date
JP2002057304A (en) 2002-02-22

Similar Documents

Publication Publication Date Title
TW538497B (en) Method to form a bottle-shaped trench
US6495411B1 (en) Technique to improve deep trench capacitance by increasing surface thereof
US6784069B1 (en) Permeable capacitor electrode
US6204141B1 (en) Method of manufacturing a deep trench capacitor
US6703273B2 (en) Aggressive capacitor array cell layout for narrow diameter DRAM trench capacitor structures via SOI technology
JP2006140488A (en) Storage capacitor and method for manufacturing the same
TW425674B (en) Fabrication method for trench capacitor with sacrificial silicon nitride sidewall
TW508758B (en) Manufacturing method of deep trench capacitor
WO2022193602A1 (en) Method for manufacturing semiconductor device
TW455987B (en) Deep trench structure with large surface area and its manufacturing method
TW488068B (en) Semiconductor device with trench capacitors and the manufacturing method thereof
TW200423303A (en) Method of forming bottle-shaped trench and the method for fabricating bottle-shaped trench capacitors
US6750147B2 (en) Process for integration of a trench for capacitors and removal of black silicon
KR100890049B1 (en) Method for forming capacitor of semiconductor device
KR20090043325A (en) Method for forming capacitor of semiconductor device
TW399287B (en) Structure of bottle-shaped deep trench and its manufacturing method
US6444524B1 (en) Method for forming a trench capacitor
TW550742B (en) Method for forming a bottle-shaped trench
US6815356B2 (en) Method for forming bottle trench
JPH11204758A (en) Manufacture of horizontal trench capacitor buried in semiconductor substrate
TW434886B (en) Manufacturing method of stacked capacitor
TW423153B (en) Manufacturing method of the bottom electrode of DRAM capacitor
TW200421533A (en) Method for increasing the capacitance of deep trench capacitors
TW543109B (en) Formation method of bottle-shaped trench using electrochemical etching
TWI326494B (en) Method for forming bottle-shaped trench capacitor

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent