TW444349B - Manufacturing method of multi-level interconnect - Google Patents

Manufacturing method of multi-level interconnect Download PDF

Info

Publication number
TW444349B
TW444349B TW89105370A TW89105370A TW444349B TW 444349 B TW444349 B TW 444349B TW 89105370 A TW89105370 A TW 89105370A TW 89105370 A TW89105370 A TW 89105370A TW 444349 B TW444349 B TW 444349B
Authority
TW
Taiwan
Prior art keywords
layer
conductive layer
opening
metal
forming
Prior art date
Application number
TW89105370A
Other languages
Chinese (zh)
Inventor
Ke-Chin Huang
Ming-Sheng Yang
Dung-Yu Chen
Tz-Guei Jung
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to TW89105370A priority Critical patent/TW444349B/en
Application granted granted Critical
Publication of TW444349B publication Critical patent/TW444349B/en

Links

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

A manufacturing method of multi-level interconnect comprises providing a first conductive layer formed thereon a dielectric layer; then, forming an adhesive layer on the dielectric layer; forming an opening passing through from the top of the adhesive layer to the first conductive layer; after forming a barrier layer on the whole surface of the adhesive layer and the opening, forming a second conductive layer on the barrier layer and filling up the opening; subsequently, removing the second conductive layer and the barrier layer until exposing the adhesive layer; and defining a third conductive layer on the adhesive layer and the second conductive layer. The manufactured product can eliminate the problem in generating a high resistance in the via caused by peeling solution and etchant.

Description

4443 4 9 五、發明說明(1) 5-1發明領域: 本發明係有關於一種製造多重内連線的方法β 5-2發明背景: » 傳統内連線的形成方法描述如下。首先提供一金屬層 ’且其上方有一内金屬介電(IMD)層,之後於其中形成介 層窗。在内金屬介電層和介層窗内的表面上形成第—Ti/ TiN層’即結合氮化鈦層和其下的鈦層。其中,於介層窗 内的部份則做為阻障層之用。接著,在Ti/TiN層上形成鶴 (m層’並利用化學機械研磨(CMP)製程進行平坦化内 金屬介電層上的第一Ti/TiN層作為終止層,且會被移除。 之後於内金屬介電層和剩餘的鎢插塞上濺鍍第二Ti/TiN層 。此第二Ti/TiN層係做為黏著層層之用’之後於其上方形 成一層金屬層,比如鋁。隨後的製程是將此金屬層圖案化 。其方法為於金屬層上形成光阻層,之後利用光罩和曝光 製程將此光阻層圓案化。當剝除光阻層不必要的部份後, 則進行金屬層的蝕刻。之後,剝除所有剩餘的光阻層苴 結果如第一圖所示。 /、 如上所述,傳統的黏著層之形成方式係於WCMp完 ,利用Ti οι/TiN 02堆叠的薄濺鍍層,重新覆蓋因= 而摘耗的黏著層。然而,^1未著陸的介層窗準則時’4443 4 9 V. Description of the invention (1) 5-1 Field of the invention: The present invention relates to a method for manufacturing multiple interconnects β 5-2 Background of the invention: »The method for forming a conventional interconnect is described below. First, a metal layer is provided and an inner metal dielectric (IMD) layer is provided thereon, and then a dielectric window is formed therein. A first Ti / TiN layer, that is, a combination of a titanium nitride layer and a titanium layer thereunder, is formed on the inner metal dielectric layer and the surface inside the dielectric window. Among them, the part inside the interlayer window is used as a barrier layer. Next, a crane (m-layer ') is formed on the Ti / TiN layer and the first Ti / TiN layer on the inner metal dielectric layer is planarized using a chemical mechanical polishing (CMP) process as a stop layer and will be removed. A second Ti / TiN layer is sputtered on the inner metal dielectric layer and the remaining tungsten plug. This second Ti / TiN layer is used as an adhesive layer layer, and then a metal layer, such as aluminum, is formed thereon. The subsequent process is to pattern the metal layer. The method is to form a photoresist layer on the metal layer, and then use the photomask and exposure process to round the photoresist layer. When the unnecessary part of the photoresist layer is stripped After that, the metal layer is etched. After that, the remaining photoresist layer is stripped. The result is shown in the first figure. TiN 02 stack of thin sputtered layers, re-covering the adhesive layer dissipated due to =. However, ^ 1 for unlanded via window guidelines'

第4頁 • 4443 4 9 五、發明說明(2) 上述之方式會產生Ti腐蝕的問題。在n 〇1/溶劑/W 03之 間的電化學反應會腐蝕Ti層01,並導致介層窗的阻值提高 〇 由於上述、的原因,故需要一種用於形成多重内連線的 方法,可避免在光阻剝除時發生的高介層窗陡值。 5-3發明目的及概述: 根據本發明’提出一種形成多重内連線的方法,可實 質上解決高介層窗阻障的問題。在一較佳實施例中,首先 於導電層上提供一介電層。在介電層上形成第一 Ti/TiN層 。接著,形成開口 ’比如是介層窗開口或接觸窗開口。在 第一 Ti/TiN層和開口所有的表面上形成第二Ti/TiN層隨後 在第二Ti/TiN層上形成一層鎢層,以填滿開口。進行化學 機械研磨,以磨除鎢層和第二Ti/TiN層,直至第一Ti/TiN 層暴露出為止。最後,在第一 Ti/TiN層上形成且定義一金 屬層,其中金屬層與鎢層接觸。 發明詳細說明: 本發明主要是在多重内連線的製造上’改變黏著層製 程的順序》Page 4 • 4443 4 9 V. Description of the invention (2) The above method will cause the problem of Ti corrosion. The electrochemical reaction between n 0 / solvent / W 03 will corrode the Ti layer 01 and cause the resistance of the interlayer window to increase. Because of the reasons mentioned above, a method for forming multiple interconnects is needed. It can avoid the high interlayer window steepness that occurs during photoresist stripping. 5-3 Objects and Summary of the Invention: According to the present invention, a method for forming multiple interconnects is provided, which can effectively solve the problem of barriers of high-dielectric windows. In a preferred embodiment, a dielectric layer is first provided on the conductive layer. A first Ti / TiN layer is formed on the dielectric layer. Next, an opening is formed, for example, a via window opening or a contact window opening. A second Ti / TiN layer is formed on all surfaces of the first Ti / TiN layer and the opening, and then a tungsten layer is formed on the second Ti / TiN layer to fill the opening. Chemical mechanical polishing is performed to remove the tungsten layer and the second Ti / TiN layer until the first Ti / TiN layer is exposed. Finally, a metal layer is formed and defined on the first Ti / TiN layer, wherein the metal layer is in contact with the tungsten layer. Detailed description of the invention: The present invention is mainly in the manufacture of multiple interconnects' to change the order of the adhesive layer process. "

Γ 4443 4 b 五、發明說明(3) 請參照第二圖,提供第一導電層1〇,且其上有一介電 層20。第一導電層10可能是金屬或基底,而金屬則包括鋁 或銅。 請參照第三圖’在介電層2〇上形成第一Ti /TiN層30, 此第一 Ti/TiN層30做為黏著層。此第一 Ti/TiN層,如第一 圖所示,為氮化鈦膜02和底層鈦膜〇1的結合。其中,當濺 链完Ti膜01後,進行快速熱製程或反應濺鍍,以形成TiN 膜02。 請參照第四圊,進行微影蚀刻以形成開口 4 〇,此開口 40係從黏著層30的頂表面到第一導電層10。當第一導電層 為金屬時,此開口40稱為介層窗開口;當第一導電層1〇為 基底時’則稱為接觸窗開口。 請參照第五囷,在黏著層30和開口40所有的表面上形 成第二Ti/TiN層50,此第二Ti/TiN—層50係做為阻障層之用 。之後’利用化學氣相沈積(CVD )法,在第二了丨/丁“層” 上形成第二導電層60,第二導電層60的材質可為鎢或鋁。 請參照第六圚,進行回蝕刻製程或化學機械研磨製程 ’以移除第二導電層不必要的部份。之後,該位於黏著層 30上且作為CMP終止層用的第二Ti/TiN層50部分,會連同Γ 4443 4 b 5. Description of the invention (3) Please refer to the second figure, and provide a first conductive layer 10 and a dielectric layer 20 thereon. The first conductive layer 10 may be a metal or a substrate, and the metal includes aluminum or copper. Referring to the third figure, a first Ti / TiN layer 30 is formed on the dielectric layer 20, and the first Ti / TiN layer 30 is used as an adhesive layer. This first Ti / TiN layer, as shown in the first figure, is a combination of the titanium nitride film 02 and the underlying titanium film 01. After the Ti film 01 is sputtered, a rapid thermal process or reactive sputtering is performed to form a TiN film 02. Referring to the fourth step, lithographic etching is performed to form an opening 40. The opening 40 is from the top surface of the adhesive layer 30 to the first conductive layer 10. When the first conductive layer is a metal, the opening 40 is called a via window opening; when the first conductive layer 10 is a substrate, it is called a contact window opening. Referring to the fifth step, a second Ti / TiN layer 50 is formed on all surfaces of the adhesive layer 30 and the opening 40. This second Ti / TiN-layer 50 is used as a barrier layer. After that, a second conductive layer 60 is formed on the second layer by using a chemical vapor deposition (CVD) method. The material of the second conductive layer 60 may be tungsten or aluminum. Please refer to the sixth step, perform an etch-back process or a chemical mechanical polishing process' to remove unnecessary portions of the second conductive layer. After that, the portion of the second Ti / TiN layer 50 located on the adhesive layer 30 and used as the CMP stop layer will be combined with

1H· IM 第6頁 4443 4 9 五、發明說明(4) 部分第二導電層60 —齊被移除。於是暴露出黏著層_3〇。剩 餘的第二導電層60則做為多重内連線用的插塞。 請參照第七圖,在黏著層上定義一金屬層,此金屬層 的材質比如是鋁或銅,且此金屬層直接與插塞接觸。在製 程中’除了第三導電層下面的部份外,其餘黏著層會被蝕 刻而移除。 微影用之溶劑和蝕刻用之蝕刻劑,不會產生T i /溶劑 /F之間的電化學反應》因此,Ti和^之間的界面不會減少 ’所以不會發生高介層窗阻值的問題。 以上所述僅為本發明之較佳實施例而已,並非用以限 及本發明之申請專利範圍;凡其它未脫離本發明所揭示之 精神下所完成之等效改變或修飾,均應包含在下述之申請 專利範圍内。1H · IM Page 6 4443 4 9 V. Description of the Invention (4) Part of the second conductive layer 60 is removed. Then the adhesive layer_30 is exposed. The remaining second conductive layer 60 serves as a plug for multiple interconnects. Referring to the seventh figure, a metal layer is defined on the adhesive layer. The material of the metal layer is, for example, aluminum or copper, and the metal layer directly contacts the plug. In the process, except for the portion below the third conductive layer, the remaining adhesive layer is etched and removed. Solvent for lithography and etchant for etching will not produce electrochemical reaction between T i / solvent / F "Therefore, the interface between Ti and ^ will not decrease 'so high interlayer window resistance will not occur Question of value. The above descriptions are merely preferred embodiments of the present invention, and are not intended to limit the scope of patent application of the present invention; all other equivalent changes or modifications made without departing from the spirit disclosed by the present invention should be included in the following Within the scope of the patent application.

4443 4 9 圖式简單說明 第一圖係繪示由傳統方法所形成的結構 第二圖係燴示本發明一較佳實施例首先提供的基本結 構。 第三圖係主要繪示在基本結構上形成黏著層。 第四圖係主要繪示在先前的結構上形成開口。 第五圖係主要繪示未研磨前之阻障層和鎢。 第六圖係繪示研磨後做為插塞的鎢。 第七圈係續·示由本發明所形成的結構。 主要部分之代表符號: 〇1 Ti 層 〇2 TiN層 〇3 W層 10 第一導電層 20 介電層 3〇 Ti/TiN層(黏著層) 4〇開口 50 第二Ti/TiN層(阻障層) 60 第二導電層4443 4 9 Brief description of the drawings The first diagram shows a structure formed by a conventional method. The second diagram shows a basic structure provided by a preferred embodiment of the present invention. The third picture shows the formation of an adhesive layer on the basic structure. The fourth diagram mainly illustrates the formation of openings in the previous structure. The fifth figure mainly shows the barrier layer and tungsten before grinding. The sixth figure shows tungsten as a plug after grinding. The seventh circle is continued to show the structure formed by the present invention. Representative symbols of the main parts: 〇1 Ti layer 〇2 TiN layer 〇3 W layer 10 First conductive layer 20 Dielectric layer 30Ti / TiN layer (adhesive layer) 40 Opening 50 Second Ti / TiN layer (barrier Layer) 60 second conductive layer

IHHH 第8頁IHHH Page 8

Claims (1)

4443 4 9 六、申請專利範圍 1. 一種多重内連線的形成芝法,包括: 提供一第一導電層,該第一導電層上有一介電層; 在該介電層上形成一黏著層; 形成一開口,該開口從該黏著層的頂表面到該第一導 電層; 在該黏著層和該開口中所有的表面上形成一阻障層; 在該阻障層上形成一第二導電層,且該第二導電層填 滿該開口; 移除該第二導電層和該阻障層,直到暴露出該黏著層 ;以及 在該黏著層和該第二導電層上形成一第一導電層。 2. 如申請專利範圍第1項之方法,其中該第一導電層包括 銘。 3. 如申請專利範圍第1項之方法,其中該第一導電層包括 銅。 4. 如申請專利範圍第1項之方法,其中該第一導電層包括 一基底。 5. 如申請專利範圍第1項之方法,其宁該介電層包括氧化 物。4443 4 9 6. Scope of patent application 1. A method for forming multiple interconnects including: providing a first conductive layer, the first conductive layer having a dielectric layer; and forming an adhesive layer on the dielectric layer Forming an opening from the top surface of the adhesive layer to the first conductive layer; forming a barrier layer on the adhesive layer and all surfaces in the opening; forming a second conductive layer on the barrier layer Layer, and the second conductive layer fills the opening; removing the second conductive layer and the barrier layer until the adhesive layer is exposed; and forming a first conductive layer on the adhesive layer and the second conductive layer Floor. 2. The method of claim 1, wherein the first conductive layer includes an inscription. 3. The method of claim 1, wherein the first conductive layer includes copper. 4. The method of claim 1, wherein the first conductive layer includes a substrate. 5. If the method of claim 1 is applied, the dielectric layer preferably includes an oxide. 4443 4 ^ 六、申請專利範圍 6.如申請專利範圍第1項之方法’其中該黏著層包括Ti/ TiN 層。 7·如申請專利範圍第1項之方法’其中該開口包括介層窗 開口。 * 8.如申請專利範圍第1項之方法,其中該開口包括接觸窗 開口。 9·如申請專利範圍第1項之方法,其中該阻障層包括T i / TiN 層。 1 〇.如申請專利範圍第1項之方法,其中該第二導電層包括 金屬。 11,如申請專利範圍第10項之方法,其中該金屬包括鎢。 12·如申請專利範圍第10項之方法,其中該金屬包括鋁。 13·如申請專利範圍第1項之方法,其中用於移除該第二導 電層和該阻障層的製程包括化學機械研磨製程。 如申請專利範圍第1項之方法,其中該第三導電層包括 金屬。4443 4 ^ 6. Patent application scope 6. The method according to item 1 of the patent application scope, wherein the adhesive layer includes a Ti / TiN layer. 7. The method according to item 1 of the scope of patent application, wherein the opening includes an interlayer window opening. * 8. The method according to item 1 of the patent application scope, wherein the opening includes a contact window opening. 9. The method of claim 1, wherein the barrier layer comprises a Ti / TiN layer. 10. The method of claim 1, wherein the second conductive layer includes a metal. 11. The method of claim 10, wherein the metal includes tungsten. 12. The method of claim 10, wherein the metal includes aluminum. 13. The method of claim 1, wherein the process for removing the second conductive layer and the barrier layer includes a chemical mechanical polishing process. The method of claim 1, wherein the third conductive layer includes a metal. 第10頁 4443 4 9Page 10 4443 4 9 15. 如申請專利範圍第14項之方法,其中該金屬包… 16. 如申請專利範圍第14項之方法,其中該金屬包括銅。 17. 如申請專利範圍第丨項之方法,其中該第主導電層為已 18. —種形成多重内連線的方法,包括: 提供一導電層’該導電層上有一介電層; 在該介電層上形成一第一 Ti/TiN層: 形成一開口,該開口從該第一 T i / τ丨n層的頂表面到該 第一導電層; 在該第一 Ti/TiN層和該開口所有的表面上形成一第二 Ti/TiN 層; 在該第二Ti/TiN層上形成一鎢層,且該鎢層填滿該開 σ ; 使用化學機械研磨以研除該鎢層和該第二T i /T i Ν層’ 直至該第一Ti/TiN暴露出為止;以及 於該第一 Ti/TiN層上定義一金屬層’且該金屬層與該 鎢層接觸。 19.如申請專利範圍第18項之方法,其中該導電廣包括金 屬。15. The method as claimed in item 14 of the scope of patents, wherein the metal bag ... 16. The method as claimed in item 14 of the scope of patents, wherein the metal includes copper. 17. The method according to the scope of application for patent, wherein the first main conductive layer is 18. 18. A method for forming multiple interconnects, including: providing a conductive layer 'the conductive layer has a dielectric layer; Forming a first Ti / TiN layer on the dielectric layer: forming an opening from the top surface of the first Ti / τn layer to the first conductive layer; between the first Ti / TiN layer and the first A second Ti / TiN layer is formed on all surfaces of the opening; a tungsten layer is formed on the second Ti / TiN layer, and the tungsten layer fills the opening σ; chemical mechanical polishing is used to remove the tungsten layer and the A second T i / T i Ν layer 'until the first Ti / TiN is exposed; and a metal layer is defined on the first Ti / TiN layer' and the metal layer is in contact with the tungsten layer. 19. The method of claim 18, wherein the conductive material includes a metal. 第11頁 4443 4 9 六、申請專利範® 20. 如申請專利範圍第1 8項之方法,其中該導電層包括基 底 21. 如申請專利範圍第1 8項之方法,其中該介電層包括氧 化物。 22. 如申請專利範圍第1 8項之方法,其中該開口包括介層 窗開口。 23. 如申請專利範圍第1 8項之方法,其中該開口包括接觸 窗開口。 24. 如申請專利範圍第1 8項之方法,其中該金屬層包括鋁 25.如申請專利範圍第18項之方法,其中該金屬層包括銅Page 11 4443 4 9 VI. Patent Application ® 20. If the method of applying for the scope of the patent No. 18, wherein the conductive layer includes the substrate 21. If the method of applying for the scope of the patent for the 18th method, wherein the dielectric layer includes Oxide. 22. The method of claim 18, wherein the opening includes an interlayer window opening. 23. The method of claim 18, wherein the opening includes a contact window opening. 24. The method according to claim 18, wherein the metal layer comprises aluminum 25. The method according to claim 18, wherein the metal layer comprises copper 第12頁Page 12
TW89105370A 2000-03-23 2000-03-23 Manufacturing method of multi-level interconnect TW444349B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW89105370A TW444349B (en) 2000-03-23 2000-03-23 Manufacturing method of multi-level interconnect

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW89105370A TW444349B (en) 2000-03-23 2000-03-23 Manufacturing method of multi-level interconnect

Publications (1)

Publication Number Publication Date
TW444349B true TW444349B (en) 2001-07-01

Family

ID=21659178

Family Applications (1)

Application Number Title Priority Date Filing Date
TW89105370A TW444349B (en) 2000-03-23 2000-03-23 Manufacturing method of multi-level interconnect

Country Status (1)

Country Link
TW (1) TW444349B (en)

Similar Documents

Publication Publication Date Title
JP3300643B2 (en) Method for manufacturing semiconductor device
TWI229412B (en) Method for forming metal interconnect structures
US6943111B2 (en) Barrier free copper interconnect by multi-layer copper seed
JP2001015479A (en) Method of manufacturing semiconductor
JP2009135518A (en) Mutual connection manufacturing method
JP2009111429A (en) Process for manufacturing interconnection
US6387754B2 (en) Method of forming an on-chip decoupling capacitor with bottom hardmask
US6670271B1 (en) Growing a dual damascene structure using a copper seed layer and a damascene resist structure
TWI227046B (en) Process of metal interconnects
US6831007B2 (en) Method for forming metal line of Al/Cu structure
TW444349B (en) Manufacturing method of multi-level interconnect
US20060276021A1 (en) Method for forming metal line of semiconductor device
JPH10189592A (en) Manufacturing method of semiconductor device
JP2001168192A (en) Method of manufacturing semiconductor device
US6534415B2 (en) Method of removing polymer residues after tungsten etch back
TW548789B (en) Method of forming metal line
JP2003031665A (en) Method of manufacturing semiconductor device
TW479323B (en) Manufacturing method of dual damascene
US6214742B1 (en) Post-via tin removal for via resistance improvement
JP3166912B2 (en) Method for manufacturing semiconductor device
Iggulden et al. Dual damascene aluminum for 1-Gbit DRAMs, part 3
JPH05121378A (en) Method of manufacturing semiconductor device
JP2007027234A (en) Semiconductor device and its manufacturing method
US20050074976A1 (en) Method for polishing copper layer and method for forming copper layer wiring using the same
JPH01102938A (en) Manufacture of semiconductor integrated circuit

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent