TW413931B - Manufacturing method of the crown-type capacitor in DRAM cell - Google Patents

Manufacturing method of the crown-type capacitor in DRAM cell Download PDF

Info

Publication number
TW413931B
TW413931B TW88103296A TW88103296A TW413931B TW 413931 B TW413931 B TW 413931B TW 88103296 A TW88103296 A TW 88103296A TW 88103296 A TW88103296 A TW 88103296A TW 413931 B TW413931 B TW 413931B
Authority
TW
Taiwan
Prior art keywords
layer
manufacturing
opening
scope
item
Prior art date
Application number
TW88103296A
Other languages
Chinese (zh)
Inventor
Jeng-Jung Lin
Yi-Nan Chen
Original Assignee
Nanya Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nanya Technology Corp filed Critical Nanya Technology Corp
Priority to TW88103296A priority Critical patent/TW413931B/en
Application granted granted Critical
Publication of TW413931B publication Critical patent/TW413931B/en

Links

Abstract

There is disclosed a manufacturing method of the crown-type capacitor in DRAM cell. The method utilizes a selective etching process to transform the flat sidewall of a stack structure into a ragged shape. Then, the stack structure is used as a model to transfer the ragged sidewall to the protrusions of the bottom electrode in the crown-type capacitor. Therefore, the surface area of the top and bottom electrodes can be increased, such that the crown-type capacitor is able to have a large capacitance.

Description

413931 五、發明說明(1) 本發明係有關於半導體記憶元件的製造方法,特別是 有關於一種動態隨機存取記憶單元(dynamic random access memory, DRAM)之冠狀電容器之製造方法。 動態隨機存取記憶體為一廣泛應用的積體電路元件,, 特別在現今的資訊電子產業中更有不可或缺的地位。隨著 技術的演進,目前生產線上常見的DRAM記憶單元大多是由 一電晶體T和一電容器C所構成,如第1圖的電路示意圖所 示。電晶體T的源極(source)係連接到一對應的位元線 (bit line,BL)和波極(drain)連接到一電容器c的上電極 (upper electrode),而閘極(gate)則連接到一對應的字 元線(word line,WL),電容器C的一下電極(i〇wer electrode)係連接到一固定電壓源’而在上電極和下電極 間隔著一介電層。 電 電容量 頻率。 減少介 電層的 層。然 於50埃 tunnel 目前許 容的電 在 容器C是用來儲存電子資訊的,其應具備足夠大的 ’以避免資料的流失並減低充電更新(refresh)的 可由兩個方向著手來增加電容器c的電容量,一為 電層的厚度’一為增加上電極的表面積。在減少介 厚度方面’現今製造的電容器均已使用極薄的介電 而其厚度並非能無限制的縮小,當介電層的厚度小 時極可月t•因為直接載子隧穿(direct carrier ing)而產生過大的漏電流,影響元件的性質。因此 夕研發都致力於增加上電極的表面積,藉以提升電 容量,改善元件之品質。 傳統少於一百萬位、元(1 MB)的DRAM製程中,一般多413931 V. Description of the invention (1) The present invention relates to a method for manufacturing a semiconductor memory element, and more particularly to a method for manufacturing a crown capacitor of a dynamic random access memory (DRAM). Dynamic random access memory is a widely used integrated circuit component, especially in today's information electronics industry. With the evolution of technology, the DRAM memory cells commonly used in production lines are mostly composed of a transistor T and a capacitor C, as shown in the circuit diagram in Figure 1. The source of the transistor T is connected to a corresponding bit line (BL) and the drain is connected to the upper electrode of a capacitor c, and the gate is Connected to a corresponding word line (WL), the lower electrode of the capacitor C is connected to a fixed voltage source 'with a dielectric layer between the upper and lower electrodes. Capacitance Frequency. Reduce the number of dielectric layers. However, the current capacity of 50A tunnel is used to store electronic information in container C, which should be large enough to avoid data loss and reduce charging refresh. The capacitor c can be increased in two directions. Capacitance, one is the thickness of the electrical layer, one is to increase the surface area of the upper electrode. In terms of reducing the dielectric thickness, the capacitors manufactured today have used extremely thin dielectrics and their thickness cannot be reduced indefinitely. When the thickness of the dielectric layer is small, it is extremely possible. • Because of direct carrier tunneling (direct carrier ing ) And generate excessive leakage current, which affects the properties of the device. Therefore, R & D is committed to increasing the surface area of the upper electrode to increase the capacitance and improve the quality of the component. In traditional DRAM processes with less than 1 million bits and 1 MB, more

413931 五、發明說明(2) ' ~ =用二度空間的電容器來儲存資料,亦即泛稱的平面型電 谷(planar-type capacitor)。然而,平面型電容哭雹刺 用基底-相當大的面積來形成上電極,7Λ供足°夠:電 容量,所以並不適用於目前日益高度積集化之DRAM元件-· 的製程要求。 通常’高度積集化的DRAM,例如具有大於16M位元的 儲存容量者’需要利用三度空間的電容器結構,例如凹 型(trench type)或!堆疊>(stack type)的電容器記憶IT·〆 .件。而由於餘刻凹槽來製作電容器時會不可避免地在基底 產生晶格缺陷(defects),造成漏電流的增加而影響元件 性質,且隨者凹槽縱橫比(aspect ratio)的增加,其餘刻 速率將遞減’不僅增加製程的困難度,也影響了生產效 率’因此凹槽型電容器的製程在實際生產線上的應用有其 困難度。 相反的,堆疊型電容的製程並不會產生上述缺點,在 各種堆疊型電容器的記憶元件中,電極具有向上突出部分 的冠狀電容器(crown-type capacitor)構造,由於其内外 側表面均可提供有效的電容面積,相當適合於製造高度積 集化的元件,特別是大於64MB位元的記憶元件。所以許多 技術均係針對此一形式的冠狀電容器進行改良,以達到在 元件尺寸縮小時仍可以確保提供足夠大之電容量之目的。 因此,本發明之目的為提供一冠狀電容器的製造方 法,其可達到提高動態隨機存取記憶體之電容量之目的。 根據上述目的,本發、明提出一種動態隨機存取記憶單413931 V. Description of the invention (2) '~ = Use a two-dimensional capacitor to store data, which is also known as a planar-type capacitor. However, planar capacitors use a substrate-a relatively large area to form the upper electrode, and 7Λ is sufficient: capacitance, so it is not suitable for the current process requirements of increasingly highly integrated DRAM devices. Usually 'highly integrated DRAMs, such as those with a storage capacity greater than 16M bits', need to use a three-dimensional capacitor structure, such as a trench type or a! Stack type capacitor memory IT · 〆 .Pieces. And when the grooves are used to make capacitors, lattice defects will inevitably be generated on the substrate, which will increase the leakage current and affect the properties of the components. As the aspect ratio of the grooves increases, the remaining The rate will decrease 'not only increases the difficulty of the process, but also affects the production efficiency'. Therefore, the application of the process of the groove type capacitor in the actual production line has its difficulty. In contrast, the manufacturing process of stacked capacitors does not produce the above disadvantages. In the memory elements of various stacked capacitors, the electrodes have a crown-type capacitor structure that protrudes upwards, because the inner and outer surfaces can provide effective The capacitor area is quite suitable for manufacturing highly integrated components, especially memory devices larger than 64MB bits. Therefore, many technologies have been modified for this form of crown capacitor to achieve the purpose of ensuring a sufficient capacitance when the component size is reduced. Therefore, an object of the present invention is to provide a method for manufacturing a crown capacitor, which can achieve the purpose of increasing the capacity of a dynamic random access memory. According to the above purpose, the present invention and the present invention propose a dynamic random access memory list

第5頁 413931 五、發明說明(3) 元之冠狀電容器 體基底上製造上 步驟:於上述基 開口之絕緣層; 述絕緣層和導電 内形成第二開口 於上述第二開口 述第一開口内形 第一導電層成為 上形成一介電層 以當作上述冠狀 根據上述目 單元之冠狀電容 導體基底上製造 列步驟:於上述 —開口之絕緣層 上述絕緣層和上 蝕刻阻絕層上形 少一堆疊層;於 二開口’以界定 去除部分上述第 述第二開口之部 上述第二開口内 蝕刻阻絕層,而 於具有 上述製 至上述 形成一 犧牲層 電容器 至少一 除上述 下電極 層上形 一種動 用於具 而上述 通至上 内形成 —钱刻 牲層及 上述堆 ;經由 述触刻 口連通 去除上 成為上 開關元 造方法 開關元 導電插 ;於上 之範圍 者的部 犧牲層 ;在上 成第二 態隨機存 有開關元 製造方法 述開關元 取記憶 件之半 包括下 件之第 導電插塞;在 在上述 阻絕層; 第二犧牲 疊層内形 上述第二 阻絕層暴 至上述插 述堆疊層 述冠狀電 的製造方 述冠狀電 底上形成 於上述第 插塞上形 ,以界定 内之上述 成第一導 上述冠狀 ;以及在 電容器之 的,本發 器的製造 上述冠狀 基底上形 ;在上述 述導電插 成至少具 上述導電 上述電容 一犧牲層 分’使上 形成第一 使上述第 法,適用 容器,而 具有連通 一開σ内 成複數層 上述冠狀 犧牲層中 電層;去 電容器之 上述介電 上電極。 明提出另 方法,適 電容器, 成具有連 第一開口 塞上形成 有第一犧 插塞上之 器之範圍 ;去除上 述第二開 導電層; 、一導電層 件之半導 包括下列. 件之第一 塞;於上_ 述犧牲層 ;去除位 分;於上 而使上述 述下電極 導電層, 層的至 成一第 開口而 露在上 塞;在 及上述 容器之Page 5 413931 V. Description of the invention (3) The manufacturing steps on the base of the crown-shaped capacitor body: the insulating layer on the base opening; the insulating layer and the conductive body form a second opening in the second opening and the first opening; The first conductive layer is formed on top of a dielectric layer to be used as the above-mentioned crown-shaped capacitor base substrate according to the above-mentioned unit. The steps are as follows: the above-opened insulating layer, the above-mentioned insulating layer and the upper etching stop layer are formed one less. Stacked layers; etch stop layer in two openings to define and remove a part of the second opening mentioned above, and form a kind of capacitor having at least one of the above-mentioned to form a sacrificial layer capacitor in addition to the above-mentioned lower electrode layer It is used for the formation of the above-mentioned access to the upper and upper layers—the money-carved animal layer and the above pile; through the connection of the contact-cut port, the conductive element of the switching element that becomes the upper switching element is removed; the sacrificial layer of the above range; The second state randomly stores the switching element manufacturing method. The switching element takes half of the memory element and includes the first conductive plug of the lower part; The above barrier layer; the second sacrificial stack inner shape, the second barrier layer being exposed to the above-mentioned stacking layer, the manufacturing of the coronal electricity, and the coronal electricity base being formed on the first plug to define the above-mentioned formation within A guide to the above-mentioned crown; and a shape of the above-mentioned crown-shaped base for the manufacture of the capacitor; the above-mentioned conductive plug is formed with at least the above-mentioned conductivity and the above-mentioned capacitor is a sacrificial layer, so that the first method is applicable, The container has a plurality of layers of the above-mentioned coronal sacrificial layers interconnected within an opening σ; the above-mentioned dielectric upper electrode of the capacitor is removed. Ming proposed another method, the capacitor, so that the first opening plug is formed with a range of the first sacrificial plug on the device; remove the above-mentioned second open conductive layer ;, a conductive layer of the semiconductor includes the following. The first stopper; the sacrifice layer described above; removing the position; the upper conductive layer of the lower electrode mentioned above, the first opening of the layer is exposed to the top stopper; and

第6頁 413931 7*":—___ _____ 五、發明說明~ I電極;在上述下電極上形成一介電層;以及在上述介電 上形成一第二導電層,以當作上述冠狀電容器之上電‘ 極0 兴^為了讓本發明之上述目的和特點更明顯易懂’下文特-牛若干較佳實施例,並配合所附圖式,做詳細說明如下: 圖式之簡單說明 第1圖係一般動態隨機存取記憶單元的電路示意圖; 第2至第7圖均為剖面示意圖,用以說明依據本發明的 一較佳實施例的製造流程。 符號說明 r 1 0〜基底;1 2〜場氧化層;G〜閘極構造:2 〇〜閘極間隔 物;22〜源極/汲極區;24〜絕緣層;26~導電插塞;28〜餘 刻阻絕層;30〜硼磷矽玻璃層;32 ;氮氧化矽層;34〜硼磷 矽玻璃層;36〜氮氧化矽層;4〇~第一堆疊結構:40’〜第二 堆疊結構;4 0 *·第一開口; 41,〜第二開口; 4 2〜第一導電 層,46~介電層;48·•第二導電層。 實施例 本發明所述以動態隨機存取記憶單元之冠狀電容器之 製造方法可施行於一記憶體元件上,例如使用具N通道之 金屬氧化半導體場效電晶體(M〇SFET)為開關元件之DRAM元( 件。然而,本發明所描述之動態隨機存取記憶單元之冠狀 電容器之製造方法也可施行於具p通道的M〇SFET元件。 *凊參見第2圖,其顯示本發明之起始步驟。先提供一 半導體基底10,在此以晶格方位為<1〇〇>之p塑矽基底為Page 6 413931 7 * ": —___ _____ 5. Description of the invention ~ I electrode; forming a dielectric layer on the above lower electrode; and forming a second conductive layer on the above dielectric as the above-mentioned crown capacitor In order to make the above-mentioned objects and features of the present invention more obvious and easy to understand, the following specific embodiments of the present invention are described in detail with the accompanying drawings as follows: Brief description of the drawings Fig. 1 is a schematic circuit diagram of a general dynamic random access memory unit; Figs. 2 to 7 are cross-sectional diagrams for explaining a manufacturing process according to a preferred embodiment of the present invention. Symbol description r 1 0 ~ substrate; 1 2 ~ field oxide layer; G ~ gate structure: 2 0 ~ gate spacer; 22 ~ source / drain region; 24 ~ insulating layer; 26 ~ conductive plug; 28 ~ Resistance barrier layer; 30 ~ borophosphosilicate glass layer; 32; silicon oxynitride layer; 34 ~ borophosphosilicate glass layer; 36 ~ silicon oxynitride layer; 40 ~ first stack structure: 40 '~ second stack Structure; 40 * first opening; 41, ~ second opening; 4 2 ~ first conductive layer, 46 ~ dielectric layer; 48 · • second conductive layer. Embodiments The method for manufacturing a crown capacitor using a dynamic random access memory unit according to the present invention can be implemented on a memory element, for example, a metal oxide semiconductor field effect transistor (MOS transistor) with N channels is used as a switching element. DRAM cells. However, the method for manufacturing the crown capacitor of the dynamic random access memory cell described in the present invention can also be applied to a MOSFET device with p-channels. * 凊 Refer to FIG. 2 which shows the beginning of the present invention. The first step is to first provide a semiconductor substrate 10, where the p-type silicon substrate with a lattice orientation of < 100 > is

413931 五、發明說明(5) 例。在基底10上以一熱氧化製程,如局部氧化法(L0C0S) 形成一場氧化層1 2以界定出將形成記憶單元的元件區 (active area)。接著依序形成一閘氧化層14、一複晶矽 層1 6、一石夕化鶴層(WS ix) 1 8後’用微影技術和非等向性蝕· 刻程序對上述各層蝕刻圓案’以形成一閘極構造G ^接著 在閘極構造G旁形成一淡摻雜的源極/汲極區,例如以閘極 構造G為罩幕’離子植入如磷或砷之n型摻源進入半導體基 底1 0而形成。然後在閘極構造G的側壁上形成一間隔物 (s p a c e r ) 2 0 ’例如沈積及非等向性地回蝕一絕緣層。之後 形成濃摻雜的源極/汲極區’完成源極/汲極區2 2之製造^ < 例如以間隔物20為罩幕’離子植入較高濃度的之N型摻 源’如鱗或砷,進入半導體基底1〇。至此,完成一電晶體 元件的製造。 接著在上述包含電晶體元件的基底10上沈積至少一層 絕緣層’以隔離電晶體與後續形成之導電層,及提供利於 後續製程之平坦表面,並可依不同的元件設計製作必須的 導線於其間。例如在基底〗〇上形成一絕緣層24。絕緣層24 可以是硼磷矽玻璃層、磷矽玻璃層、硼矽玻璃層或氧化矽 層 然後以微影技術和餘刻程序在絕緣層2 4中形成一第—〔 開口(未標號)’以露出電晶體之源極/汲極區22。接著在 上述開口内形成一導電插塞26,藉此和源極/汲極區22形 成電性連接。導電插塞26可由鎢、矽化鎢或摻雜之複晶矽 構成’其中由鎢構成較佳、。例如在絕緣層2 4沈積一層毯覆 413931 五、發明說明(6) 式金屬嫣(Blanket Tungsten)後,以一乾触刻步驟將金屬 鎢覆蓋於絕緣層24表面上之部分去除,便完成一鎢插塞之. 製作。之後,沈積一餘刻阻絕層(etching stopper)28在 上述絕緣層24和導電插塞26上。蝕刻阻絕層28由氮化矽構 成較佳。 接著,請參見第3圖。在蝕刻阻絕層2 8上沈積複數層 堆叠層,上述堆疊層由一棚碟;6夕玻璃層和一氮氧化石夕 (S i OxNy)層構成《而上述堆疊層之數目約卜1 〇為佳,在此 以二層堆疊層為例。依序在蝕刻阻絕層28上沈積一硼磷矽 玻璃層30、一氮氧化梦層32、一棚填ΐ夕破璃層34和一氮氧 化矽層36。之後對層30、層32、層34和層36蝕刻一第一開 口’以界定出電容器之範圍,並形成一具平坦侧壁之第一 堆疊結構4 0 ’結果如第3圖所示。 之後’參見第4圖,其顯示進行製作本發明之冠狀電 極之重要步驟。對第一堆疊結構4〇施行—對硼磷矽玻璃之 選擇性大於氮氧化矽之蝕刻法,使堆疊結構4〇之平坦側壁 轉變成凹凸狀。例如以〇, 5%」.5%之稀釋的氫氟酸 (Diluted Hydrogen nU〇ride,DHF)為主蝕刻液體之濕蝕 刻法’因為其對硕碟石夕玻璃和氣氧化梦之選擇性為^ 3, 所以用上述濕敍刻法處理—辟昧 .,, 凹層多’使第一堆叠結構4°之侧壁轉變成 5 t留ί 阻絕層28未被堆叠結構40覆蓋之部 ί嫌SI刻阻絕層28、層3〇、層32、層34和⑽, 便構成其側壁為凹凸狀、之第二堆疊結構40,。並形成一413931 V. Description of Invention (5) Example. An oxide layer 12 is formed on the substrate 10 by a thermal oxidation process, such as a local oxidation method (LOCOS), to define an active area that will form a memory cell. Next, a gate oxide layer 14, a polycrystalline silicon layer 16 and a lithographic crane layer (WS ix) 1 8 are formed in sequence. The above-mentioned layers are etched using lithography and anisotropic etching and etching procedures. 'To form a gate structure G ^ Next, a lightly doped source / drain region is formed next to the gate structure G, for example, using the gate structure G as a screen' Ion implantation such as phosphorus or arsenic n-type doping The source is formed by entering the semiconductor substrate 10. A spacer (s p a c e r) 2 0 ′ is then formed on the sidewall of the gate structure G, such as depositing and anisotropically etching back an insulating layer. Afterwards, a heavily doped source / drain region is formed 'to complete the fabrication of the source / drain region 2 2 < For example, using a spacer 20 as a mask' I-implanted N-type doped source with a higher concentration 'such as Scales or arsenic enter the semiconductor substrate 10. So far, the manufacture of a transistor element is completed. Then deposit at least one insulating layer 'on the above-mentioned substrate 10 including the transistor element to isolate the transistor from the subsequent conductive layer, and provide a flat surface that is beneficial to the subsequent process, and the necessary wires can be made between different element designs. . For example, an insulating layer 24 is formed on the substrate. The insulating layer 24 may be a borophosphosilicate glass layer, a phosphosilicate glass layer, a borosilicate glass layer, or a silicon oxide layer, and then a lithography technique and a remaining process are used to form a first- [opening (not labeled) 'in the insulating layer 24. The source / drain region 22 of the transistor is exposed. Then, a conductive plug 26 is formed in the opening, thereby forming an electrical connection with the source / drain region 22. The conductive plug 26 may be composed of tungsten, tungsten silicide or doped polycrystalline silicon. Among them, tungsten is preferred. For example, after a layer of blanket 413931 is deposited on the insulating layer 24. 5. Description of the invention (6) Blanket Tungsten, a portion of the metal tungsten covering the surface of the insulating layer 24 is removed by a dry contact etch step, and a tungsten is completed. Plug it. Production. After that, an etching stopper 28 is deposited on the insulating layer 24 and the conductive plug 26 described above. The etching stopper layer 28 is preferably made of silicon nitride. Next, see Figure 3. A plurality of stacked layers are deposited on the etch stop layer 28, and the above stacked layer is composed of a dish; a glass layer and a oxynitride layer; and the number of the above stacked layers is about 1.0. It ’s better to take two stacked layers as an example. A borophosphosilicate glass layer 30, a nitrogen oxynitride layer 32, a glass filling layer 34, and a silicon nitride oxide layer 36 are sequentially deposited on the etch stop layer 28. Then, a first opening is etched to the layers 30, 32, 34 and 36 to define the range of the capacitor, and a first stacked structure 40 'with a flat sidewall is formed. The result is shown in FIG. Hereafter, referring to Fig. 4, it shows the important steps for carrying out the production of the crown electrode of the present invention. Implementation of the first stacked structure 40-an etching method that has a higher selectivity to borophosphosilicate glass than silicon oxynitride, so that the flat sidewalls of the stacked structure 40 are turned into irregularities. For example, a wet etching method based on 5% ". 5% diluted hydrofluoric acid (Diluted Hydrogen nUolaride, DHF) as the main etching liquid, because its selectivity to Shuishida Shixi glass and gas oxidation dream is ^ 3, so the above wet engraving method is used to deal with the problem-the concavity layer is more, so that the side wall of the first stacked structure 4 ° is transformed into 5 t. The barrier layer 28 is not covered by the stacked structure 40. The etch stop layer 28, the layer 30, the layer 32, the layer 34, and the yttrium constitute a second stacked structure 40 having a concave-convex sidewall. And form one

第9頁 ^ 段時間後’被去除之硼磷矽破 五、發明說明(7) 與導電插塞26相連通之第二開口 4丨,結果如第4圖所示。 例如以含約1 50〜1 70。(:之熱磷酸為蝕刻液體之濕蝕刻法來 去除蝕刻阻絕層28。 之後,請參見第5圖,以化學氣相沈積法(CVD)在第二-堆疊結構40*上沈積一順應性(confromal)的第一導電層 42 ’其經由第二開口 41和導電插塞2 6相連接。第一導電層 4 2可為一摻雜之複晶矽層、鎢層、矽化鎢和氮化鈦,其中 換雜之複晶珍層較佳。 接著’請參見第6圖。去除在第二堆疊結構4〇,上支第 一導電層42 ’形成本發明之冠狀電容器之上電極42,。由 於以化學氣相沈積法(CVD)沈積第一導電層42,所以第二 堆疊結構40,上之凹凸狀側壁將會轉移至上電極42,之向一上 突起’使上電極之表面積提高’因此本發明容 可較習知電容器具有較大電容量。 第_ 第導電層42上形成-光阻44,光阻44並填滿 之後以—化學機械研磨法將光阻44和第- 第二堆叠結構4〇,之頂端表面。之後去 ,、第一隹疊釔構40,、光阻和蝕刻阻絕 所示之上電極42,。 屬便瓜成如第6圖 I佼,睛參見第7圖’其顯示完成動離哼嬙 卓元之冠狀電容p之紝罢^ 動w隨機存取g 钧於上電極42, ^ T先,順應性地沈積—介 電極42的表面上。之後在介電居4β K + ^ 導電層48作下雷炻田2;, 电增46上沈積一第 電極48即可组人成太| B 4 ,上電極42’ 、介電層46和 山。成本發明、之冠狀電容器。介電層飩可Page 9 ^ After a period of time, the removed borophosphosilicate is broken. 5. Description of the invention (7) The second opening 4 丨 which is in communication with the conductive plug 26 is shown in FIG. 4. For example to contain about 1 50 ~ 1 70. (: The thermal phosphoric acid is a wet etching method of an etching liquid to remove the etching stopper layer 28. After that, referring to FIG. 5, a chemical vapor deposition (CVD) method is used to deposit a compliance on the second-stacked structure 40 * ( confromal) first conductive layer 42 'which is connected to the conductive plug 26 through the second opening 41. The first conductive layer 42 may be a doped polycrystalline silicon layer, a tungsten layer, tungsten silicide, and titanium nitride Among them, the mixed crystal layer is better. Then, please refer to FIG. 6. Remove the upper conductive layer 42 from the second stacked structure 40 and form the upper electrode 42 of the crown capacitor of the present invention. The first conductive layer 42 is deposited by chemical vapor deposition (CVD), so the uneven sidewalls on the second stacked structure 40 will be transferred to the upper electrode 42, which will protrude upward to increase the surface area of the upper electrode. The invention capacitance can have a larger capacitance than the conventional capacitor. A photoresist 44 is formed on the _th conductive layer 42, and the photoresist 44 is filled with the photoresist 44 and the second stack structure by a chemical mechanical polishing method. 40。 The top surface. After that, the first yttrium yttrium structure 40, light Resist and etch resist the upper electrode 42 as shown in Fig. 6. It is as good as Fig. 6 and see Fig. 7 for a complete picture. It shows that the crown capacitor p of the moving capacitor is completely removed. Take g as the upper electrode 42, ^ T, first, conformally deposited on the surface of the dielectric electrode 42. Then, the dielectric layer 4βK + ^ conductive layer 48 is used as the lower Leitian 2; The fourth electrode 48 can be assembled into a ceramic | B 4, the upper electrode 42 ', the dielectric layer 46, and the mountain. The invention is a crown capacitor. The dielectric layer is not acceptable.

第10頁 413931 五、發明說明(8)Page 10 413931 V. Description of the invention (8)

化石夕/氮化妙/氧化石夕層(ONO : oxide/nitride/oxide)或 氧化组(Ta205)等具高介電係數之材料所構成。第二導電 層48可為一摻雜之複晶石夕層、鎢層、矽化鎢和氮化敛,其 中摻雜之複晶矽層較佳D 基於上述製程,也可很容易地增加上電極42,之表面 積’提供更大的電容量。例如可於形成介電層46之前,以 習知程序先在上電極42’的表面上形成複數個半球形矽晶 粒(hemi-spherical silicon grain, HSG),或是以低壓 氣相沈積法(LPCVD)形成縐褶狀複晶矽(rugged polysilicon)構造,均可有效增加上電極42,的表面積。 之後’依序形成介電層46和第二導電層48便完成一動態隨 機存取記憶單元之電容器。 由於本發明所提出之動態隨機存取記憶單元之冠狀電 容器的製造方法有下列特性:不需繁瑣之微影製程步 驟(以選擇性蝕刻程序便可製造ώ具凹凸側壁之下電極): 以及(2)可視情況需要增加堆疊層之數目來提高電容量, 所以可達到下一世代之高記憶體及有效之空間利用的需 求。同時,熟知此技藝者應可瞭解,本發明可用之物質材 料並不限於實施例中所引述者,其能由各穣恰當特性之物 質和形成方法所置換,並且本發明之結構空間亦不限於 施例所引用之尺寸大小。 '貫 雖然本發明已以較佳實施例揭露如上,缽其並非用以 限定本發明,任何熟習此技藝者,在不脫離本發明之精神 和範圍内,當可作各種之、更動與潤飾,因此本發明之保護It is composed of materials with high dielectric constant such as fossil / nitride / oxide layer (ONO: oxide / nitride / oxide) or oxide group (Ta205). The second conductive layer 48 may be a doped polycrystalline silicon layer, a tungsten layer, a tungsten silicide layer, and a nitride. Among them, the doped polycrystalline silicon layer is preferred. Based on the above process, the upper electrode can be easily added. 42, the surface area 'provides greater capacitance. For example, prior to forming the dielectric layer 46, a plurality of hemi-spherical silicon grains (HSG) may be formed on the surface of the upper electrode 42 'by a conventional procedure, or a low-pressure vapor deposition method ( LPCVD) forms a rugged polysilicon structure, which can effectively increase the surface area of the upper electrode 42 ′. After that, a dielectric layer 46 and a second conductive layer 48 are sequentially formed to complete a capacitor for a dynamic random access memory cell. Because the method for manufacturing a crown capacitor of a dynamic random access memory unit proposed by the present invention has the following characteristics: no complicated lithography process steps are needed (the selective etching process can be used to fabricate electrodes with uneven sidewalls): and ( 2) Depending on the situation, it is necessary to increase the number of stacked layers to increase the capacitance, so it can meet the requirements of the next generation of high memory and effective space utilization. At the same time, those skilled in the art should understand that the material materials usable in the present invention are not limited to those cited in the examples, they can be replaced by substances and forming methods of appropriate characteristics, and the structural space of the present invention is not limited to The dimensions cited in the examples. 'Although the present invention has been disclosed as above with preferred embodiments, it is not intended to limit the present invention. Any person skilled in the art can make various changes, modifications, and decorations without departing from the spirit and scope of the present invention. Therefore the protection of the present invention

第11頁 413931Page 11 413931

第12頁Page 12

Claims (1)

413931 六'申請專利範圍 1. 一種動態隨機存取記憶單元之冠狀電容器的製造方 法’適用於具有開關元件之半導體基底上製造上述冠狀電— 容器,而上述製造方法包括下列步驟: 於上述基底上形成具有連通至上述開關元件之第一開· 口之絕緣層; 於上述第一開口内形成一導電插塞 於上述絕緣層和導電插塞上形成複數層犧牲層: 於上述犧牲層内形成第二開口,以界定上述冠狀電容 器之範圍; 去除位於上述第二開口内之上述犧牲層中至少—者的 f 部分; 於上述第一開口内形成第一導電層; 去除上述犧牲層而使上述第一導電層成為上述冠狀電 容器之下電極; 在上述下電極上形成一介電層;以及 在上述介電層上形成第二導電層’以當作上述冠狀 容器之上電極。 2. 如申請專利範圍第1項所述之製造方法,其中上述 第—導電層係摻雜之複晶矽所構成。 、 3. 如申請專利範圍第1項所述之製造方法,其中上述 ( 第二導電層係摻雜之複晶矽所構成。 ' 4_如申請專利範圍第1項所述之製造方法,其中上述 絕緣層係硼磷矽玻璃所構成。 乂 5·如申請專利範圍第《項所述之製造方法,其中上述413931 Six 'Scope of Patent Application 1. A method for manufacturing a crown capacitor of a dynamic random access memory cell' is suitable for manufacturing the above-mentioned crown-shaped electrical container on a semiconductor substrate having a switching element, and the above manufacturing method includes the following steps: On the above substrate Forming an insulating layer having a first opening and opening communicating with the switching element; forming a conductive plug in the first opening; forming a plurality of sacrificial layers on the insulating layer and the conductive plug; forming a first sacrificial layer in the sacrificial layer; Two openings to define the range of the crown capacitor; removing at least one of the f of the sacrificial layer in the second opening; forming a first conductive layer in the first opening; removing the sacrificial layer to make the first A conductive layer becomes the lower electrode of the crown-shaped capacitor; a dielectric layer is formed on the lower electrode; and a second conductive layer is formed on the dielectric layer to serve as the upper electrode of the crown-shaped container. 2. The manufacturing method described in item 1 of the scope of patent application, wherein the above-mentioned first conductive layer is composed of doped polycrystalline silicon. 3. The manufacturing method described in item 1 of the scope of patent application, wherein the second conductive layer is composed of doped polycrystalline silicon. '4_ The manufacturing method described in item 1 of the scope of patent application, wherein The above-mentioned insulating layer is composed of borophosphosilicate glass. · 5. The manufacturing method as described in the item of the scope of application for a patent, wherein the above 第13頁 413931Page 13 413931 六、申諳專利範圍 導電插塞係由摻雜之複晶矽、金屬鎢或矽化嫣所構 6·如申請專利範圍第5項所述之製造方法,其中成°、 去除位於上述第二開口内之上述犧牲層中至少一者的部述、 之步驟係以一對上述犧牲層之#刻選擇性不同之触刻法處 理而完成。 7· —種動態隨機存取記憶單元之冠狀電容器的製造方 法’適用於具有開關元件之半導體基底上製造上述冠狀電 容器’而上述製造方法包括下列步驟: 於上述基底上形成具有連通至上述開關元件之第一開 口之絕緣層; 在上述第一開口内形成一導電插塞; 在上述導電插塞和上述絕緣層上形成至少具有第一犧 牲層及第二犧牲層的至少一堆疊層; 於上述導電插塞上之上述堆疊層内形成—第二開口, 以界定上述電容器之範圍; 經由上述第二開口而去除部分上述第一犧牲層 在上述第二開口内形成第一導電層; 電容而使上述第一導電層成為上述冠狀 在上述下電極上形成一介電層;以及 以當作上述冠狀 在上述介電層上形成一第二導電層, 電容器之上電極。 如申請專利範圍第7項所述之製造方法’其中上 一導電層係摻雜之複晶、碎所構成6. The patented conductive plug in the patent scope is composed of doped polycrystalline silicon, metallic tungsten or siliconized silicon. 6. The manufacturing method described in item 5 of the scope of patent application, wherein The steps of at least one of the above-mentioned sacrificial layers are completed by a touch-engraving method with a difference in #selectivity between the pair of sacrificial layers. 7. · A method for manufacturing a crown capacitor of a dynamic random access memory unit 'suitable for manufacturing the above-mentioned crown capacitor on a semiconductor substrate having a switching element', and the above-mentioned manufacturing method includes the following steps: forming on the substrate having a connection to the switching element An insulating layer of the first opening; forming a conductive plug in the first opening; forming at least a stacked layer having at least a first sacrificial layer and a second sacrificial layer on the conductive plug and the insulating layer; A second opening is formed in the stacked layer on the conductive plug to define the range of the capacitor; a portion of the first sacrificial layer is removed through the second opening to form a first conductive layer in the second opening; The first conductive layer has a crown shape to form a dielectric layer on the lower electrode; and a second conductive layer is formed on the dielectric layer as the crown shape to form an upper electrode of the capacitor. The manufacturing method described in item 7 of the scope of the patent application, wherein the previous conductive layer is composed of doped multiple crystals and fragments. 413931 六、申請專利範圍 9. 如申請專利範圍第8項所述之製造方法,其中上述 第二導電層係摻雜之複晶矽所構成。 - 10. 如申請專利範圍第9項所述之製造方法,其中上述 絕緣層係硼磷矽玻璃所構成。 11. 如申請專利範圍第1 〇項所述之製造方法,其中上 述導電插塞係由摻雜之複晶矽、金屬鎢或矽化鎢所構成。 12. 如申請專利範圍第11項所述之製造方法,其中上 述去除位於上述第二開口内之部分上述第一犧牲層之步驟 係以一對上述第一犧牲層之蝕刻選擇性大於上述第二犧牲 層之蝕刻法處理而完成。 13. —種動態隨機存取記憶單元之冠狀電容器的製造 方法,適用於具有開關元件之半導體基底上製造上述冠狀 電容器,而上述製造方法包括下列步驟: 於上述基底上形成具有連通至上述開關元件之第一開 口之絕緣層; 在上述第一開口内形成一導電插塞; 在上述絕緣層和上述導電插塞上形成一蝕刻阻絕層; 在上述蝕刻阻絕層上形成至少具有第一犧牲層及第二 犧牲層的至少一堆燊層’ 於上述導電插審亡之上述堆疊層内形成一第二開口, 以界定上述電容器之範圍; 經由上述第二開口而去除部分上述第一犧牲層; 去除上述蝕刻陴絕層暴露在上述第二開口之部分, 上述第二開口連通炱上述辨塞;413931 6. Scope of patent application 9. The manufacturing method as described in item 8 of the scope of patent application, wherein the second conductive layer is composed of doped polycrystalline silicon. -10. The manufacturing method according to item 9 of the scope of patent application, wherein the above-mentioned insulating layer is made of borophosphosilicate glass. 11. The manufacturing method according to item 10 of the scope of patent application, wherein the conductive plug is composed of doped polycrystalline silicon, metal tungsten or tungsten silicide. 12. The manufacturing method according to item 11 of the scope of patent application, wherein the step of removing a portion of the first sacrificial layer located in the second opening is performed by a pair of the first sacrificial layers having an etching selectivity greater than that of the second The sacrifice layer is processed by etching. 13. —A method for manufacturing a crown capacitor of a dynamic random access memory unit, which is suitable for manufacturing the above-mentioned crown capacitor on a semiconductor substrate having a switching element, and the manufacturing method includes the following steps: forming on the substrate having a connection to the switching element; An insulating layer of the first opening; forming a conductive plug in the first opening; forming an etch stop layer on the insulating layer and the conductive plug; forming at least a first sacrificial layer on the etch stop layer and At least one stack of plutonium layers of the second sacrificial layer forms a second opening in the stacked layer of the conductive plug to define the range of the capacitor; a part of the first sacrificial layer is removed through the second opening; A part of the etching layer is exposed on the second opening, and the second opening communicates with the plug; 413931 ---------------- " '—____ 六、申請專利範圍 在上述第二開口内形成第一導電層; 去除上述堆疊層及上述姓刻限絕層’而使上迷第一導 電層成為上述冠狀電容器之下電極; 在上述下電極上形成一介電層;以及 - 在上述介電層上形成一第二導電層’以當作上述冠狀 電容器之上電極。 1 4.如申請專利範圍第1 3項所述之製造方法,其中上 述第一導電層係摻雜之複晶矽所構成。 15. 如申請專利範圍第13項所述之製造方法,其中上 述第二導電層係摻雜之複晶矽所構成。 ^ 16. 如申請專利範圍第13項所述之製造方法,其中上 述絕緣層係由硼磷矽玻璃構成。 17·如申請專利範圍第16項所述之製造方法,其中上 述導電插塞係由摻雜之複晶矽、金屬鎢或矽化鎢所構成。 18. 如申請專利範園第17項所述之製造方法,其中上 述蝕刻阻絕層係氮化矽所構成。 19, 如申請專利範圍第18項所述之製造方法,其中上 述第一犧牲層係由硼磷矽玻璃所構成’上述第二犧牲層係 由気氧化矽所構成。 2 0.如申請專利範圍第1 9項所述之製造方法,其中經 ( 由上述第二開口而去除部分上述第一犧牲層之上述步驟係 以—對硼磷矽玻璃之蝕刻選擇性大於氮氧化矽之蝕刻法處 理而完成。 21.如申請專利範圍第、20項所述之製造方法,其中上 -413931 ---------------- " '—____ VI. The scope of the application for a patent forms a first conductive layer in the second opening; removes the stacked layer and the last-named insulation layer' Making the first conductive layer of the upper capacitor the lower electrode of the crown capacitor; forming a dielectric layer on the lower electrode; and-forming a second conductive layer on the dielectric layer to be used as the crown capacitor electrode. 14. The manufacturing method according to item 13 of the scope of the patent application, wherein the first conductive layer is composed of doped polycrystalline silicon. 15. The manufacturing method according to item 13 of the scope of patent application, wherein the second conductive layer is composed of doped polycrystalline silicon. ^ 16. The manufacturing method according to item 13 of the scope of the patent application, wherein the insulating layer is made of borophosphosilicate glass. 17. The manufacturing method according to item 16 of the scope of the patent application, wherein the conductive plug is composed of doped polycrystalline silicon, metal tungsten or tungsten silicide. 18. The manufacturing method according to item 17 of the patent application park, wherein the etching stopper layer is made of silicon nitride. 19. The manufacturing method as described in item 18 of the scope of the patent application, wherein the first sacrificial layer is composed of borophosphosilicate glass' and the second sacrificial layer is composed of hafnium silicon oxide. 20. The manufacturing method as described in item 19 of the scope of the patent application, wherein the step of removing a part of the first sacrificial layer through the second opening is based on-the etching selectivity of borophosphosilicate glass is greater than nitrogen The silicon oxide is etched and processed. 21. The manufacturing method as described in item 20 of the patent application scope, wherein- 第16頁 413931 六、申請專利範圍 述敍刻法係採用雜雜+ 木用稀釋之氫氟酸溶液。 一種動態隨機存取印 α 方法,適用於具有開關元件^早7"之冠狀電容器的製造 而上述製造方法包括下列步驟+導體基底上製造電容器, 口之:ΐΪίϊΊ1形成具有連通至上述開關元件之第-開 f上述第一開口内形成-導電插塞; 上述導電插塞和上述絕緣層上形成一氮化矽; 在上述蝕刻阻絕層上形成具有硼磷矽玻璃層和氮氧化 矽層的至少一堆疊層; 於上述導電插塞上之上述堆疊層内形成一第二開口, 以界定上述電容器之範圍; 經由上述第二開口對上述堆疊層施行一對硼磷矽玻璃 之選擇性大於氮氧化矽之蝕刻法而去除部分上述硼磷矽玻 璃層; 去除上述蝕刻阻絕層暴露於上述第二開口之部分,而 使上述第二開口連通至上述導電插塞; 在上述第二開口内形成第一導電層, 去除上述堆疊層及上述蝕刻阻絕層’而使上述第一導 電層成為上述冠狀電容器之下電極; 在上述下電極上形成一介電層;以及 在上述介電層上形成一第二導電層,以當作上述冠狀 電容器之上電極。 23_如申請專利範圍第22項所述之製造方法,其中上Page 16 413931 VI. Scope of patent application The narrative method adopts the impurity + wood dilute hydrofluoric acid solution. A dynamic random access method α is suitable for the manufacture of a crown capacitor with a switching element ^ early 7 " and the above manufacturing method includes the following steps + manufacturing a capacitor on a conductor substrate: ΐΪίΐΪ1 is formed to have -Forming a conductive plug in the first opening; forming a silicon nitride on the conductive plug and the insulating layer; forming at least one of a borophosphosilicate glass layer and a silicon oxynitride layer on the etching stop layer; A stacked layer; forming a second opening in the stacked layer on the conductive plug to define the scope of the capacitor; applying a pair of borophosphosilicate glass to the stacked layer via the second opening has a greater selectivity than silicon oxynitride The etching method removes part of the borophosphosilicate glass layer; removes the part of the etching stop layer exposed to the second opening, so that the second opening communicates with the conductive plug; and forms a first conductive in the second opening Layer, removing the stack layer and the etch stop layer to make the first conductive layer the crown capacitor A lower electrode of the device; forming a dielectric layer on the lower electrode; and forming a second conductive layer on the dielectric layer as the upper electrode of the crown capacitor. 23_ The manufacturing method described in item 22 of the scope of patent application, wherein the above 第17頁 413931 六、申請專利範圍 述導電插塞 24·如 ΐ 蝴碟夕玻璃 釋之氫氟酸 25.如右 述堆疊層係 2 6.如 ^ 除上述蝕刻 係由摻雜之複晶矽、金屬鎢或矽化鎢所構成 ?請專利範圍第22項所述之製造方法,其中/ 之選擇性大於氮氧化梦之上述餘刻法係 对 溶液。 诉採用稀 ?請專利範圍第24項所述之製造方法,其中 採用一稀釋之氫氟酸溶液來去除。 、上 7請專利範圍第25項所述之製造方法,其 阻絕層之步驟係採用一磷酸溶液。 、去Page 17 413931 VI. The scope of the application patent describes the conductive plug 24. Hydrofluoric acid with glass release from Rugao Butterfly 25. Stacked layer system 2 as described on the right 2 6. Such as ^ In addition to the above etching, doped polycrystalline silicon , Metal tungsten or tungsten silicide? Please refer to the manufacturing method described in item 22 of the patent, wherein the selectivity of / is greater than the above-mentioned method of oxynitride to the solution. V. Use the dilute manufacturing method described in item 24 of the patent, which uses a dilute hydrofluoric acid solution to remove it. 7. The manufacturing method described in item 7 of the above patent scope, wherein the step of the barrier layer uses a phosphoric acid solution. ,go with
TW88103296A 1999-03-04 1999-03-04 Manufacturing method of the crown-type capacitor in DRAM cell TW413931B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW88103296A TW413931B (en) 1999-03-04 1999-03-04 Manufacturing method of the crown-type capacitor in DRAM cell

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW88103296A TW413931B (en) 1999-03-04 1999-03-04 Manufacturing method of the crown-type capacitor in DRAM cell

Publications (1)

Publication Number Publication Date
TW413931B true TW413931B (en) 2000-12-01

Family

ID=21639853

Family Applications (1)

Application Number Title Priority Date Filing Date
TW88103296A TW413931B (en) 1999-03-04 1999-03-04 Manufacturing method of the crown-type capacitor in DRAM cell

Country Status (1)

Country Link
TW (1) TW413931B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102800164A (en) * 2012-07-13 2012-11-28 华北电力大学(保定) Rapid banking device and method for goods with electronic tag

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102800164A (en) * 2012-07-13 2012-11-28 华北电力大学(保定) Rapid banking device and method for goods with electronic tag

Similar Documents

Publication Publication Date Title
US5436186A (en) Process for fabricating a stacked capacitor
US5418180A (en) Process for fabricating storage capacitor structures using CVD tin on hemispherical grain silicon
TWI222212B (en) Crown-type capacitor and its manufacturing method
US5340765A (en) Method for forming enhanced capacitance stacked capacitor structures using hemi-spherical grain polysilicon
US5482885A (en) Method for forming most capacitor using poly spacer technique
US6064085A (en) DRAM cell with a multiple fin-shaped structure capacitor
US6137131A (en) Dram cell with a multiple mushroom-shaped capacitor
TW406405B (en) Manufacture method of the trench-type capacitor
US5492848A (en) Stacked capacitor process using silicon nodules
US5933742A (en) Multi-crown capacitor for high density DRAMS
TW388984B (en) Dynamic random access memory manufacturing
US5466627A (en) Stacked capacitor process using BPSG precipitates
US5879987A (en) Method of fabricating dynamic random access memory having a stacked capacitor
TW413931B (en) Manufacturing method of the crown-type capacitor in DRAM cell
US6100135A (en) Method of forming a crown-fin shaped capacitor for a high density DRAM cell
US6232648B1 (en) Extended self-aligned crown-shaped rugged capacitor for high density DRAM cells
US6171924B1 (en) Method of fabricating a dynamic random access memory capacitor
TW412864B (en) DRAM capacitor manufacturing
US5970359A (en) Method of forming a capacitor for DRAM
US6060366A (en) Method for manufacturing dram capacitor incorporating liquid phase deposition
US6236080B1 (en) Method of manufacturing a capacitor for high density DRAMs
TW408486B (en) The manufacture method of crown shape capacitor with rough surface
US6051463A (en) Method fabricating a data-storage capacitor for a dynamic random-access memory device
US6232240B1 (en) Method for fabricating a capacitor
TW415002B (en) Fabrication of multi-anchor capacitor of semiconductor memory

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent