TW401606B - Shield or ring surrounding semiconductor workpiece in plasma chamber - Google Patents

Shield or ring surrounding semiconductor workpiece in plasma chamber Download PDF

Info

Publication number
TW401606B
TW401606B TW87114500A TW87114500A TW401606B TW 401606 B TW401606 B TW 401606B TW 87114500 A TW87114500 A TW 87114500A TW 87114500 A TW87114500 A TW 87114500A TW 401606 B TW401606 B TW 401606B
Authority
TW
Taiwan
Prior art keywords
workpiece
dielectric
reaction chamber
anode
plasma
Prior art date
Application number
TW87114500A
Other languages
Chinese (zh)
Inventor
Kuang-Han Ke
Bryan Y Pu
Hong-Ching Shan
James Wang
Henry Fong
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TW401606B publication Critical patent/TW401606B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

A ring or collar surrounding a semiconductor workpiece in a plasma chamber. According to one aspect, the ring has an elevated collar portion having an inner surface oriented at an obtuse angle to the plane of the workpiece, this angle preferably being 135 DEG C. This angular orientation causes ions bombarding the inner surface of the elevated collar to scatter in a direction more parallel to the plane of the workpiece, thereby reducing erosion of any dielectric shield at the perimeter of the workpiece, and ameliorating spatial non-uniformity in the plasma process due to any excess ion density near such perimeter. In a second aspect, the workpiece is surrounded by a dielectric shield, and the shield is covered by a non-dielectric ring which protects the dielectric shield from reaction with, or erosion by, the process gases. In a third aspect, the dielectric shield is thin enough to couple substantial power from the cathode to the plasma, thereby improving spatial uniformity of the plasma process near the perimeter of the workpiece. In a forth aspect, azimuthal non-uniformities in process performance can be ameliorated by corresponding azimuthal variations in the dimensions of the elevated collar and/or the dielectric shield surrounding the workpiece.

Description

B7 五、發明説明() 申請案之相關資料: 本專利申請案係為以1996年11月29曰由Bryan Pu et al.向美國專利商標局申請之"Apparatus for Improving Wafer and Chuck Edge Protection”的部分續案(cip)(其申 請案號為SN08/758,531),及於97年1月2日由Hongching Shan et al.申請之"Magnetically-Enhanced Plasma Chamber with Non-Uniform Magnetic Field”部分續案(其申請案號 為SN 0 8/7 3 5,444)的内容為基礎者。 發明領域: 本發明係有關於一種在電漿反應室中固設一半導體 工件之電極,更明確而言,本發明係有關於一種環繞工件 之一軸環或環,而可在反應室内一半導體製程中提供更進 步之空間均勻性者。 發明背景: 經濟部中央標準局貝工消費合作社印^ 在各種半導體製程中,如輔助電漿(plasma_assisted) 蚀刻或化學汽相沉積,係在一電漿反應室中處理,其内設 有一工件20可固設於一金屬電極22上(請參閱第1圖及 第2圖)。當該工件20係為一圓形半導體晶圓時,其陽極 22通常係具有一圓形頂表面以擺設該晶圓β 一般而言,一 種製程試劑之混合物可藉由一幫浦而被導引進入—内部 經常保持真空狀態之反應室中,而一電源供應器則可將該 製程反應氣體混合物激發成電漿態樣。基本上,一射頻(rF) ______ 第5頁 本紙張尺度適用中國國家標準(CNS ) Λ4規輅(210X 297公'" ----- A7 B7 401606 五、發明説明( 電源供應器24將容抗連接該電極 , %從22 ’以致於在該電極產 生一相對於電漿體為負偏壓狀態。 設計一電漿製程反應室之— 目標係致力一可在反應 室操作下之增強電漿製程反應率 、千·Γ邊為最大值,該製程反 應率將會減少從電漿至陽極之離子击 囉f束任何邵分。再者,可 聚集RF電流射向工件20,一般而丄 人 ^ 敢而s —介電屏蔽28將可 覆蓋陽極22之侧邊,而該屏蔽28伤·5γιθ , 8係可厚到足以致使在電 裝與陽極侧邊間流動之RF電流存在_乂 ^ 巧電阻。 在很多習用之電漿反應室中’其陽極以且有比工件 還大尺寸之直徑。為㈣RF電流流向電栽與工件周邊外 侧 < 陽極部分間,陽極之部分習慣將 册籍由—介電頂屏蔽或 軸環30來覆蓋’就如屏蔽28 一般’頂屏蔽則亦必須 粗厚到足以使得在電㈣工件周邊外側之陽極部分間流 動之RF電流所產生之電阻減少至一微小層級。 但習用之介電屏蔽28、30將存在—' 卞仕問題,即是其所 使用之製程成份,尤其係使用於電漿中 & τ < —些化學種類總 經濟部中央標隼局貝工消费合作社印製 θ腐&頂屏蔽之暴露表面,以致於頂屏蔽必須周期性的予 以更換。而在反應室中,若缺乏頂屏《3〇,則其邊屏蔽 28也將暴露在電漿中,其還是會被面臨 做腐蝕之問題。時 常更換頂屏蔽係非常不方便之設計,因為 ”如此即必須在反 應室停止運作時中止生產線之動作。而介 、 1電屏蔽之腐蝕情 形尤其係在半導體工件在進行蝕刻介電層 θ , 甲取為嚴重,因 為此時姓刻要素在蝕刻介電層時也同時 τ蚀刻其介電袖 環。 第6頁 本紙張尺度適用中國國家標準(CNS ) Λ4現格(210Χ297公龄) 五、發明説明( A7 B7 經濟部中央標準局貝工消费合作社印製 、設計-半導體製程電聚反應室之另一目標在於達成 於製程中覆蓋工件表面之空間均勻性,例如在反應離子蝕 刻過程及化學氣相沉積過程中 ,Λ 洞W狂γ,其在工件中心之反應率 (如蝕刻率及沉積率)也許將低於接近在工件周邊之反應 率,因為在接近工件中心之反應種類將相較於接近工件周 邊者有更多之損耗’換言之’如此之過程將造成徑向非均 勻之損害。 一種習用之方法可用來改善其徑向之空間均勻性,其 主要係利用一架高之圓柱軸環或屏蔽環繞於工件之周 邊,有時即稱其為聚焦環。該架高軸環至少將產生三個功 效’首先之兩個基本上係減少接近晶圓周邊之反應率。架 南轴環或厚蔽之第一個功效是阻礙軸環外側之反應製程 反應氣體移向其晶圓’如此該軸環將可增加在接近晶圓周 邊之反應種類的消耗,以儘量符合在接近晶圓中心處之消 耗量。架高軸環之第二個功效係取代在工件周邊軸向向上 之電漿保護層’由於從工件周邊移動其電漿保護層將引起 減少其反應種類而集中接近於工件周邊。架高軸環之第三 個功效係增加接近晶圓周邊反應種類的反應時間,如此也 可以依照使用反應物之化學特性而增加或減少接近晶圓 周邊之反應率。 該架高軸環或屏蔽無需是為一介電物質來達成上述 之功效,然而,如果該介電軸環要是包括介電物質,其還 是可執行先前所述離子束從電漿轉向至工件周邊外側陽 極部分之功效。習用之構造設計,如第1圖所示,該介電 第7頁 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X29?公§ ) 請 閲 讀 背 面 之 注 裳 頁 訂 皞 A 7 --------— B7 五·、發明説明() — - 轴環3 0軸向延伸至晶圓之砉1 ^ + 叩衣面,以致於可同時兼具先寸 所討論一架高軸環及一介電屏蔽之功能。 則B7 V. Description of the invention () Relevant information of the application: This patent application is "Apparatus for Improving Wafer and Chuck Edge Protection" applied to the US Patent and Trademark Office by Bryan Pu et al. On November 29, 1996. " Partial Renewal (cip) (its application number is SN08 / 758,531), and "Magnetically-Enhanced Plasma Chamber with Non-Uniform Magnetic Field" applied by Hongching Shan et al. On January 2, 1997 The content of the case (its application number is SN 0 8/7 3 5,444) is based. Field of the Invention: The present invention relates to an electrode in which a semiconductor workpiece is fixed in a plasma reaction chamber. More specifically, the present invention relates to a collar or ring surrounding a workpiece, and a semiconductor process can be performed in the reaction chamber. To provide more progressive spatial uniformity. Background of the Invention: Printed by the Shellfish Consumer Cooperative of the Central Standards Bureau of the Ministry of Economic Affairs ^ In various semiconductor processes, such as plasma_assisted etching or chemical vapor deposition, it is processed in a plasma reaction chamber with a workpiece 20 in it. It can be fixed on a metal electrode 22 (see Figure 1 and Figure 2). When the workpiece 20 is a round semiconductor wafer, its anode 22 usually has a round top surface to arrange the wafer. Generally, a process reagent mixture can be guided by a pump. Into the reaction chamber where the vacuum state is often maintained, and a power supply can excite the process reaction gas mixture into a plasma state. Basically, a radio frequency (rF) ______ page 5 This paper size applies the Chinese National Standard (CNS) Λ4 Regulations (210X 297 male '" ----- A7 B7 401606 V. Description of the invention (the power supply 24 will The capacitive reactance is connected to the electrode, from 22 '%, so that a negative bias state is generated at the electrode with respect to the plasma. Designing a plasma process reaction chamber-The goal is to enhance the electricity that can be operated in the reaction chamber. The reaction rate of the pulp process and the maximum value of the thousand · Γ side are the maximum. The reaction rate of the process will reduce any fraction of the f-beam from the plasma to the anode. Furthermore, the RF current can be collected and directed to the workpiece 20, which is generally People ^ Dare and s-the dielectric shield 28 will cover the side of the anode 22, and the shield 28 hurts 5γιθ, 8 series can be thick enough to cause the existence of RF current flowing between the electrical equipment and the anode side_ 乂 ^ In many conventional plasma reaction chambers, its anode has a diameter larger than that of the workpiece. For the purpose of RF current flowing between the power plant and the outside of the workpiece periphery, the anode part is used to change the volume by -Dielectric top shield or collar 30 to cover ' As the shield 28, the top shield must also be thick enough to reduce the resistance generated by the RF current flowing between the anode parts outside the periphery of the electric workpiece to a tiny level. However, the conventional dielectric shields 28 and 30 will exist. — '卞 Shi issue, that is, the process components used, especially in the plasma & τ < —Some chemical species printed by the Central Standards Bureau of the Ministry of Economic Affairs, Shellfish Consumer Cooperative, printed θrot & top shield The exposed surface is such that the top shield must be replaced periodically. In the reaction chamber, if the top screen "30" is lacking, its side shield 28 will also be exposed to the plasma, which will still be exposed to corrosion. Problem. It is very inconvenient to change the top shielding system from time to time, because "this means that the production line must be stopped when the reaction chamber stops operating. The corrosion of the dielectric and electrical shields is especially caused when the semiconductor workpiece is etching the dielectric layer θ , A is taken as serious, because at this time, the element etched the dielectric sleeve at the same time when the dielectric layer was etched. Page 6 This paper applies the Chinese National Standard (CNS) Λ4. (210 × 297 years of age) V. Description of the invention (A7 B7 Printed and designed by the Shellfish Consumer Cooperative of the Central Standards Bureau of the Ministry of Economic Affairs-Semiconductor process electropolymerization reaction chamber Another goal is to achieve spatial uniformity covering the surface of the workpiece during the process, such as During the reactive ion etching process and the chemical vapor deposition process, the reaction rate (such as etching rate and deposition rate) of the Λ hole W mad γ in the center of the workpiece may be lower than that near the workpiece, because The reaction type of the center will have more losses than those close to the periphery of the workpiece, in other words, such a process will cause radial non-uniform damage. A conventional method can be used to improve the radial spatial uniformity. It mainly uses a tall cylindrical collar or shield to surround the periphery of the workpiece, sometimes called the focus ring. This elevated collar will produce at least three functions. The first two will basically reduce the response rate close to the wafer periphery. The first effect of the south frame collar or thick shield is to hinder the reaction process outside the collar from moving the reaction gas to its wafer ', so the collar will increase the consumption of reaction types near the periphery of the wafer to try to meet the requirements Consumption near the center of the wafer. The second function of the elevated collar is to replace the plasma protective layer on the periphery of the workpiece axially upwards. Moving the plasma protective layer from the periphery of the workpiece will reduce the type of reaction and concentrate close to the periphery of the workpiece. The third function of the elevated collar is to increase the reaction time close to the type of reaction around the wafer. In this way, the reaction rate near the wafer can be increased or decreased according to the chemical characteristics of the reactants used. The elevated collar or shield need not be a dielectric substance to achieve the above-mentioned effect. However, if the dielectric collar includes a dielectric substance, it can still perform the ion beam turning from the plasma to the periphery of the workpiece as previously described. The effect of the outer anode part. Conventional structural design, as shown in Figure 1. The paper on page 7 of this dielectric applies the Chinese National Standard (CNS) Λ4 specification (210X29? Public §). Please read the note page on the back to order A 7 --- -----— B7 V. Description of the invention () —-The collar 30 is axially extended to the surface of the wafer + 1 ^ + 叩, so that it can also have a high collar discussed in the previous inch And a dielectric shielding function. then

習用架高軸環雖然可改善半導體製程中之空間均 性,但改善空間均勻性之更進步方法將予下文中予H 爱L明目的與概述: 本發明之一態樣,尤其係使用於氧蝕刻過程及其它谇 強電漿半導體製程等運用介電物質的高反應過程中。在^ 發明之此目的中,其暴露在來自於電聚離予撞擊之陽極部 分將藉由一介電屏蔽予以覆蓋,且該屏蔽將可利用—非介 電物質之保護環覆蓋。更佳之狀況是該保護環將可由一i 高層級之非反應物質或抗腐蝕物質與一反應氣體所组2 而成。 如此之保護環所受到之侵蝕率將低於其下面的介電 物質,因此其必須置換之頻率將低於習用使用之介電屏 蔽。孩反應環也可藉由與來自於不利半導體製程中之反應 氣體作用而可預防反應種類之釋放。 經濟部中央標準局員工消费合作社印製 另外,利用反應氣體取代非反應物質,該保護環也可 組合而成一可與反應氣體作用之物質,而此種方式將不會 不利於半導體製程之作用效果。 在矽晶圓之氧蝕刻過程中,其介電屏蔽最好係為石 英’而其非反應保護環最好係為矽物質。 本發明之第二個態樣,在於介電屏蔽包括有一軸向較 _____第8頁 本紙張尺度中國國家) Λ4規格(2Ι〇Χ2&^ )—-—--- 五、 發明説明( A7 B7 =外屏蔽及-軸向較薄之内屏蔽 厚夕卜介電屏蔽提供一相對較 、、㈣邊。孩 —藉由外屏蔽覆蓋陽極部分^ 4擊從電聚流向 提供-較低之RF,以撞擊::。而薄内介電屏蔽將可 邊外緣陽極部分之離子,因:电榮流向剛好處於工件周 ^ ^ 、此,孩薄内介電屏蔽將延伸其 % . 周邊,如此將減少接近周邊電漿 覆盍物义任何不連續區域。較佳之狀況下,其内介衰 爻軸向厚度將可藉由實驗而蚊 於覆蓋工件電聚之徑向均勻:…到取佳值而可應用 在一選擇性的實施例中’如此之介電屏蔽, 軸環將可至少覆蓋該内屏蔽之—部分,且徑向延伸至工件 <表面°由於其可延伸至工件之表面’故該非介電軸環將 可發揮如-f用架高㈣或聚焦環藉由阻礙反應氣體轉 向工件(功效’因此’該轴環將增加接近工件周邊反應種 類之消耗,以拉近與接近工件中心消耗之數值。然而,不 同於習用之設計’本發明之此實施例中,可允許内介電屏 蔽之厚度及非介電軸環之高度可獨立調整以適合於電漿 製程過程之徑向均勻性。 本發明之第三態樣,在於提供一非介電環環繞及電接 觸於該工件,如此之非介電環可藉由減少或避免接近工件 周邊電漿覆蓋物之不連續區域以改善半導體製程之空間 均勻性。 本發明之第四態樣,在於藉由於一介電屏蔽及/或一環 繞工件架南轴環之相對方位角變化而在製程過程中改善 第9頁 本紙張尺度適用中國國家標準(CNS ) Λ4規格(2丨0X297^7 A7 B7 五、發明説明() 其一方位角非均勻性。 本發明之第五態樣,在.於藉由一具有一可相對工件表 請 先 閱 讀 背 之 注 意 事 項 再 面提高110度至145度部分之環軸以環繞該工件,而可調 整工件之周邊以讓製程時之腐蝕部分降至最低。 圖式簡單說明: 第1圖係習用介電屏蔽環之截面示意圖。 第2圖係本發明具有一包括有一厚外介電屏蔽及一薄内介 電屏蔽之介電屏蔽、及一覆蓋該内屏蔽之非介電環 軸之電漿反應室的縱向截面示意圖。 第3圖係如第2圖所示介電屏蔽及非介電環軸之部分放大 示意圖。 第4圖係本發明之另一實施例截面示意圖,其介電屏蔽及 非介電軸環之徑向尺寸相較於第3圖所示實施例 為大者。 經滴部中央標準局員工消費合作社印聚 第5圖及第6圖係為本發明另兩個實施例截面示意圖,其 更包括有一第二非介電軸環,其徑向延伸之高度大 於晶圓之表面,且第5圖所示實施例之第二環徑向 高度相較於第6圖所示實施例為大者。 第7圖係為本發明之另一實施例截面示意圖,其具有一非 介電保護軸環以覆蓋介電屏蔽之所有下表面及上 表面。 第8A圖係為本發明之一實施例截面示意圖,其具有一彈 簧可將一非介電環推向該晶圓以保持其良好之電 第10頁. 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X 297公钕) A7 B7 經濟部中央標準局負工消费合作社印製 4〇ieoa 五、發明説明( 接觸 第8B圖係為本發明之一實施例截 不葸圖,其具有一才 較於第8A圖實施例更多組合 冲艰 < 非介電環。 第9A圖係為習用MERIE反應室之部分透视圖。 第9B圖係如第9A圖所示反應室之兩絲工 < %磁石俯视圖。 第10 A圖係為本發明一波浪軸環之侮視圖。 第1 0B圖至第1 0E圖係分別為本發 發明波汲軸環之截面示清 圖。 弟11A圖及第1 1 B圖係.分別為如第1国化- 圖所示介電軸環及聋 4圖所示較進步之介電軸 衣於卵囡表面上蝕刻马 之等角示意圖。 圖號對照說明: 12 側壁 14 圓形底壁 16 蓋子 18 接地陰極 20 工件 22 陽極 23 排氣通道 24 射頻(RF)電源供應 26 耦合電容 28 屏蔽 30 介電屏蔽 32 架高表面 34 箭頭 3 6 箭頭 38 内介電屏蔽 40 電漿 42 覆蓋物 50 保護環 52 靜電夾盤 56 水平環 58 第二非介電環 60 非介電環 第11頁 本紙張尺度適用中國國家標準(CNS ) Λ4规栝(210X297公螯 五、 4〇160β Α7 Β7 發明説明() ^~__ 64 向上表面 66 較低部分 68 彈性體 76 邊緣處位置 Ί \〜 •74 電磁線圈 78 隙缝或切口 79 機械手臂 81 高部分 82 低部分 104 暴露表面 t塑詳細說明: •—般之電漿反應室 如第2圖所示, 經濟部中央標準局員工消費合作社印製 本發明可以使用之一典形半導體 ι锃反應室,該反應室係為—磁性增強電漿反應室,而可 適合應用於蝕刻或化學氣相沉積反應中(CVD)。 該真空反應1係包括有圓柱形側壁12、圓形底壁14、 及圓形頂壁或蓋子16。一接地陰極18係固設於蓋子16 义底端,該陰極之作用就如—氣體通道,可讓反應氣體通 過而進入反應g内足功效_ t,而該侧壁i 2係可為是介 電物或金屬物質’如果係金屬物質’則其亦可當作為是陰 極之一部分。半導體晶圓或工件20 /g ·η·、JL a 卞係固設於一陽極22之上,換言 之,其架設於反應室之麻迪 展响 工件20基本上係可經由一 夹俯子或藉由一如機楠点炉斗 殘械1夹環或靜電夾環(未顯示)之習用夾盤而可緊抵於陽極22夕μ,主工<上表面。一真空幫浦(未顯示)可從 反應室經由排氣通遒2 3 以排除氣體,且保持反應室内之總氣體壓力於一足夠形出 兩μ .. 列%成—電漿之低壓狀態,基本上係為 係為 1〇,^Ηί〇^^ 高 (請先閲讀背而之注意事項再填寫本頁j Φ 填ϊίΓ本 -訂 第12頁 本纸張尺度適用中國國家標準(CNS ) 401606 A7 五、發明説明() ~ ~~ ~ 層級則可分別適用於蝕刻及CVD反應中。 一射頻(RF)電源供應器24可藉由一連串之耦合電容 26而連接於陽極22’該以電源供應器可在陽極及^陰 極18間提供-射頻電壓,此可激發反應室内之氣體成為 一電漿態樣,該電漿具有一相對於陽極及陰極之均時正 电仫或電壓,其可加速已離子化之反應氣體去撞擊陽 極及陰極。 為使在晶圓20表面上之反應種類及帶電粒子濃度可 最大化JL藉此可讓在反應室中使用之一裝 enhanced)反應率亦可達到最大化,所以儘可能將電漿及陽 極22間流動之RF電流濃縮至在陽極前表面之晶圓2〇反 應區域因此陽極之所有前表面及側表面無法藉由晶圓 而被覆蓋’但習慣上可藉由-厚介電物而被覆蓋。第2圖 顯示出一介電圓柱體28來覆蓋陽極之側表面,及一介電 屏敝30置放且覆蓋在晶圓2〇周邊外緣之陽極頂表面。(第 2圖顯不< 孩介電屏蔽30及保護環50並非習用技術,而 是本發明< ~實施例’之後將會簡要敘述) 經濟部中央標準局貝工消费合作社印製 2.以保護環來預防介電屏蔽之腐蝕 第2圖及第3圖顯示出一保護環或抗蝕環50橫跨該 介電屏蔽30之徑向内部* 38,換言之,橫跨過介電物最 内部分至晶圓20之周邊。 該介電屏蔽或軸環30及保護環50 —起構成為"製程 組件",因為其任何—部分皆明顯的會被腐蝕,故其必須 本紙張尺姐财關家料 401606 A7 B7 五、發明説明( 經濟部中央標準局員工消費合作社印黎 周期的被替換’當反應室之晶圓連續被處理時,其製程組 件會更進一步的被腐蝕。由於製程組件成份的物理特性將 影響到電漿密度及接近晶圓邊緣之反應氣體分佈,其進— 步之腐蝕將改變在反應室内使用的半導體製程,因此,當 製程組件被顯著腐蝕時,一般必須替代其組件物以保持反 應濃度及均勻性。 在缺少一保護環之一習用介電屏蔽3〇(如第丨圖所 示),其介電物之暴露表面104剛好位於晶圓2〇周邊之外 緣’也就是介電屏蔽最快被腐蝕之部分。由於該厚介電物 30可防止電锻有效延伸至晶圓周邊,故可減少將造成腐钮 t離子流,當然也因此可將腐蝕問題自晶圓周邊起降低。 根據在電漿反應室内製程使用物質之化學性,利用一 抗蝕保護環50覆蓋介電屏蔽之暴露内表面1〇4也可有效 的減少製程組件之腐蝕問題,當然也可延長其使用壽命。 如第3圖所示實施例之内介電屏蔽38係相較第i圖 所示習用設計者之軸向較薄而徑向較寬者,而此即為本發 明之另-目的,將可下文中詳細說明。簡而言之,該内屏 蔽38設計成細薄者以達到在陽極22及電漿4〇間耦合rf 電源至一定數量,因此也可徑向延伸其電漿向外超過工件 20之周邊。第4圖所顯示者為本發明之另一實施例,其内 屏蔽38相較於第3圖所示者為徑向較寬者,因此延伸該 電漿更進-步徑向向外。由於在這兩個實施例中,其内介 電屏蔽38是細薄至足以在陽極及電漿間耦合大量之電 源,其可將實質離子從電漿流向内介電屏蔽,而内介電屏 第U頁 本紙張尺賴财關家縣(&S ) Λ4規格 請 閱 讀 背 面 之 注 丨裴 頁 訂 響 A7 R7 五、發明説明( 蔽之任何暴露部分也將受到 蝕,該保護環50最好可覆t '爻腐蝕。為預防此種腐 部暴露上表面。 介電厚蔽30内屏蔽38之全 抗姓環50將可包括有— 增強電漿環境之内屏蔽38八於在反應室内使用特別 質。如果内介電屏蔽38可 电物質更可抗拒腐蝕之物 因此其良好的物質將具有二反應氣體而易受腐蚀影響’ 金屬或半導體物質。 如非介電物質之抗姓性,如 在以蝕刻一工件2〇介 ^ ™ ^ ± ^ 包層<增強電漿反應中,該反 應器奴將連續蝕刻工件上 ^ A ^ J|屯物質,當然也持績地蝕刻 其介電屏蔽30,例如,包接 I括有細溥内部分38之介電屏蔽 3〇最好是可當作—石英之單1媒介。由於石英物質具有 良好的低雜質濃度特性,可避免污染物進人而停留至反應 莖内’故石英物㈣較為良好材如果工件之姓 刻層係蚀刻:氧化其化性雷同於石英物質,因此姓刻 反應也將腐蝕其蝕刻屏蔽3心另外,在一氧矽蝕刻反應室 中,其保護環50將可更有效的來保護製程組件之腐蝕作 用β 經濟部中央標隼局員工消费合作社印製 在一氧矽蚀刻反應室中,其保護環50最好係由從發 所組成,由於矽物質一般在氧蝕刻過程中’可藉由反應過 程而達到抗腐蝕之作用,且因為具有較低的不純濃度而可 達到避免污染物置留反應室之功效,故使用矽物質係較為 進步的。而單晶梦化物由於可達到更高之純度功效,故其 使用上更佳。 第15頁 本紙張尺度適用中國國家標準(CNS ) Λ4规格(210X297公益)Although the conventional elevated collar can improve the spatial uniformity in the semiconductor manufacturing process, a more advanced method for improving the spatial uniformity will be given below. H Ai L. Purpose and summary: One aspect of the present invention is particularly used in oxygen Etching process and other high-plasma semiconductor processes, such as high-reaction processes using dielectric substances. In this purpose of the invention, the portion of the anode exposed to ionization and impact will be covered by a dielectric shield, and the shield will be covered by a protective ring of a non-dielectric substance. More preferably, the guard ring will be composed of a high-level non-reactive substance or anti-corrosive substance and a reactive gas. The erosion rate of such a guard ring will be lower than that of the dielectric substance below it, so the frequency that it must be replaced will be lower than that of the conventional dielectric shield. The reaction ring can also prevent the release of reaction species by interacting with reaction gases from unfavorable semiconductor processes. Printed by the Consumer Cooperative of the Central Standards Bureau of the Ministry of Economic Affairs In addition, the reactive ring can be combined to form a substance that can react with the reactive gas by using a reactive gas instead of a non-reactive substance, and this method will not adversely affect the effect of the semiconductor process . In the oxygen etching process of silicon wafers, the dielectric shielding is preferably made of quartz, and the non-reactive protection ring is preferably made of silicon. The second aspect of the present invention is that the dielectric shield includes an axial comparison with _____, page 8 of this paper size, Chinese country) Λ4 specification (2Ι〇χ2 & ^) ----- --- 5. Description of the invention ( A7 B7 = Outer shield and-axially thinner inner shield and thicker dielectric shield provide a relatively low-profile edge. Child-cover the anode part with the outer shield ^ 4 strokes from the electricity flow to the supply-lower RF, with impact :: The thin inner dielectric shield will be able to edge the ions on the outer edge of the anode, because: the electric current flows just right around the workpiece. Therefore, the thin inner dielectric shield will extend its%. Perimeter, This will reduce any discontinuous areas close to the surrounding plasma coating. In the best case, the axial thickness of the internal dielectric decay will be uniform in the radial direction of the mosquito covering the workpiece through experiments: ... It can be applied in an alternative embodiment. 'With such a dielectric shield, the collar will cover at least part of the inner shield and extend radially to the workpiece < surface ° as it can extend to the surface of the workpiece 'So the non-dielectric collar will function as -f with an elevated frame or focus ring by The reaction gas is prevented from turning to the workpiece (the effect is 'therefore' the collar will increase the consumption of the type of reaction close to the periphery of the workpiece to draw closer and closer to the value of the consumption of the workpiece center. However, unlike the conventional design, in this embodiment of the invention, The thickness of the inner dielectric shield and the height of the non-dielectric collar can be independently adjusted to suit the radial uniformity of the plasma manufacturing process. A third aspect of the present invention is to provide a non-dielectric ring to surround and electrically In contact with the workpiece, such a non-dielectric ring can improve or reduce the spatial uniformity of the semiconductor process by reducing or avoiding the discontinuous area of the plasma cover around the workpiece. A fourth aspect of the present invention is that, due to a dielectric Electrical shielding and / or a change in the relative azimuth angle around the south collar of the workpiece holder to improve during the process. Page 9 This paper size applies the Chinese National Standard (CNS) Λ4 specification (2 丨 0X297 ^ 7 A7 B7 V. Description of the invention () One of the azimuth non-uniformities. The fifth aspect of the present invention is that, by having a worktable that can be compared, please read the precautions on the back first, and then increase it by 1 The ring axis of the 10 degree to 145 degree part surrounds the workpiece, and the periphery of the workpiece can be adjusted to minimize the corrosion part during the process. The diagram is briefly explained: Figure 1 is a cross-sectional schematic diagram of a conventional dielectric shield ring. Fig. 2 is a schematic longitudinal sectional view of a plasma reaction chamber of the present invention having a dielectric shield having a thick outer dielectric shield and a thin inner dielectric shield, and a non-dielectric ring shaft covering the inner shield. The figure is an enlarged schematic view of a part of the dielectric shield and the non-dielectric ring shaft as shown in Fig. 2. Fig. 4 is a schematic cross-sectional view of another embodiment of the present invention, and the radial dimensions of the dielectric shield and the non-dielectric ring are shown. Compared to the embodiment shown in Figure 3, it is the larger one. Figures 5 and 6 of the printout of the employee consumer cooperative of the Central Standards Bureau of the Ministry of Economic Affairs are cross-sectional schematic diagrams of two other embodiments of the present invention, which further includes a second The non-dielectric collar has a height extending radially larger than the surface of the wafer, and the radial height of the second ring of the embodiment shown in FIG. 5 is larger than that of the embodiment shown in FIG. 6. Figure 7 is a schematic cross-sectional view of another embodiment of the present invention, which has a non-dielectric protective collar to cover all the lower and upper surfaces of the dielectric shield. FIG. 8A is a schematic cross-sectional view of an embodiment of the present invention, which has a spring that can push a non-dielectric ring toward the wafer to maintain its good electrical power. Page 10 This paper is sized to the Chinese National Standard (CNS) Specification of Λ4 (210X 297 male neodymium) A7 B7 Printed by the Consumers' Cooperative of the Central Standards Bureau of the Ministry of Economic Affairs 4.5ieoa 5. Description of the invention Compared with the embodiment of FIG. 8A, there are more combinations to overcome the difficulty of non-dielectric rings. FIG. 9A is a partial perspective view of a conventional MERIE reaction chamber. FIG. 9B is a two-wire worker of the reaction chamber as shown in FIG. 9A. % Magnet top view. Figure 10A is an insult view of a wave collar of the present invention. Figures 10B to 10E are cross-sectional views of the wave-draw collar of the invention. Figure 11A and Figure 1 1B is an isometric view of a horse etched on the surface of an egg claw, as shown in the first nationalization-the dielectric collar shown in Figure 1 and the more advanced dielectric sleeve shown in Figure 4 in Figure 4. Description: 12 side wall 14 round bottom wall 16 cover 18 ground cathode 20 work piece 22 anode 23 exhaust vent Road 24 Radio Frequency (RF) Power Supply 26 Coupling Capacitor 28 Shield 30 Dielectric Shield 32 Elevated Surface 34 Arrow 3 6 Arrow 38 Internal Dielectric Shield 40 Plasma 42 Cover 50 Protective Ring 52 Electrostatic Chuck 56 Horizontal Ring 58 Second Non-dielectric ring 60 Non-dielectric ring page 11 This paper is in accordance with Chinese National Standards (CNS) Λ4 Regulations (210X297 male chelate V, 4〇160β Α7 Β7 Description of the invention) ^ ~ __ 64 Upper surface 66 lower part 68 Elastomer 76 Edge position Ί \ ~ • 74 Solenoid coil 78 Slot or cut 79 Robotic arm 81 High part 82 Low part 104 Exposed surface t Plastic details: • —The general plasma reaction chamber is shown in Figure 2. Printed by the Consumers' Cooperative of the Central Standards Bureau of the Ministry of Economics This invention can use one of the typical semiconductor reaction chambers. The reaction chamber is a magnetically enhanced plasma reaction chamber, which can be used in etching or chemical vapor deposition reactions. (CVD). The vacuum reaction system 1 includes a cylindrical side wall 12, a circular bottom wall 14, and a circular top wall or cover 16. A grounded cathode 18 is fixed to the bottom end of the cover 16. The effect of the pole is like a gas channel, which allows the reaction gas to pass through and enter the reaction g. The function i_t, and the side wall i 2 can be a dielectric or a metal substance. If it is a metal substance, it can also be As a part of the cathode, the semiconductor wafer or workpiece 20 / g · η ·, JL a 卞 is fixed on an anode 22, in other words, the MADI exhibition workpiece 20 which is set up in the reaction chamber is basically The anode 22 can be tightly abutted against the anode 22 through a clip or by a conventional chuck, such as a machine-spot-point furnace scraper 1 clip or an electrostatic clip ring (not shown). The main tool < upper surface. A vacuum pump (not shown) can exhaust the gas from the reaction chamber through the exhaust gas 2 3 to keep the total gas pressure in the reaction chamber at a level sufficient to form two μ .. Column% formation-the low pressure state of the plasma, Basically, the system is 10, ^ Ηί〇 ^^ High (please read the precautions on the back before filling in this page. J Φ fill in the book-page 12 This paper size is applicable to the Chinese National Standard (CNS) 401606 A7 V. Description of the invention () ~ ~ ~ ~ The levels can be applied to etching and CVD reactions, respectively. A radio frequency (RF) power supply 24 can be connected to the anode 22 'through a series of coupling capacitors 26, which should be powered by The device can provide RF voltage between the anode and the cathode 18, which can stimulate the gas in the reaction chamber to become a plasma state. The plasma has a positive positive voltage or voltage relative to the anode and the cathode, which can accelerate The ionized reaction gas hits the anode and the cathode. In order to maximize the type of reaction and the concentration of charged particles on the surface of the wafer 20, JL can be used to increase the reaction rate in the reaction chamber. Maximize it, so if possible The RF current flowing between the anode 22 and the anode 22 is concentrated to the wafer 20 reaction area on the front surface of the anode. Therefore, all front and side surfaces of the anode cannot be covered by the wafer ', but it is customary to use -thick dielectric. While being covered. Figure 2 shows a dielectric cylinder 28 to cover the side surface of the anode, and a dielectric screen 30 placed and covering the top surface of the anode on the periphery of the wafer 20. (Figure 2 shows that the dielectric shield 30 and the protective ring 50 are not conventional technologies, but will be described briefly after the present invention < ~ embodiment >) Printed by Shellfish Consumer Cooperative, Central Bureau of Standards, Ministry of Economic Affairs 2. Protective ring to prevent corrosion of the dielectric shield Figures 2 and 3 show a protective ring or resist ring 50 spanning the radial interior of the dielectric shield 30 * 38, in other words, across the dielectric The inner portion reaches the periphery of the wafer 20. The dielectric shield or collar 30 and the protection ring 50 are constituted as a "process component", because any of them are obviously corroded, so they must be printed on paper. 、 Explanation of the invention (Increment and replacement of the India-Lebanese cycle by the Consumer Cooperatives of the Central Standards Bureau of the Ministry of Economic Affairs) When the wafers in the reaction chamber are continuously processed, the process components will be further corroded. The physical characteristics of the components of the process components will affect Plasma density and the distribution of reaction gas near the edge of the wafer, and its further corrosion will change the semiconductor process used in the reaction chamber. Therefore, when the process components are significantly corroded, its components must generally be replaced to maintain the reaction concentration and Uniformity. In the absence of a protective ring, one of the conventional dielectric shields 30 (as shown in Figure 丨), the exposed surface 104 of the dielectric is located just outside the periphery of the wafer 20, that is, the dielectric shield is the most The part that is about to be corroded. Since the thick dielectric 30 can prevent the electroforging from effectively extending to the periphery of the wafer, it can reduce the ion current that will cause corrosion and of course, it can also corrode The problem is reduced from the periphery of the wafer. According to the chemical nature of the materials used in the plasma reaction chamber process, using a corrosion protection ring 50 to cover the exposed inner surface of the dielectric shield 104 can also effectively reduce the corrosion of process components, Of course, its service life can also be extended. The inner dielectric shield 38 in the embodiment shown in FIG. 3 is thinner and wider in the radial direction than the conventional designer shown in FIG. The other object of the invention will be described in detail below. In short, the inner shield 38 is designed to be thin to achieve coupling of the rf power source to a certain amount between the anode 22 and the plasma 40, so it can also be radial The plasma is extended beyond the periphery of the workpiece 20. The one shown in FIG. 4 is another embodiment of the present invention, and the inner shield 38 is wider than the one shown in FIG. The plasma is further advanced radially outward. Since the internal dielectric shield 38 is thin enough in the two embodiments to couple a large amount of power between the anode and the plasma, it can remove substantial ions from the plasma Flow towards the inner dielectric shield, and the inner dielectric screen County (& S) Λ4 specifications, please read the note on the back 丨 Pei page book ring A7 R7 V. Description of the invention (any exposed part of the shield will also be corroded, the protection ring 50 is best to be covered with t '爻 corrosion. For prevention Such a rot part exposes the upper surface. The full-resistance ring 50 of the inner shield 38 of the dielectric thick shield 30 may include-an enhanced shield 38 within the plasma environment, which is particularly good for use in a reaction chamber. If the inner dielectric shield 38 The electrified substance is more resistant to corrosion. Therefore, its good substance will have two reactive gases and be susceptible to corrosion. 'Metal or semiconductor substances. Such as the resistance of non-dielectric substances, such as etching a workpiece 20 ^ ™ ^ ± ^ Cladding < In the enhanced plasma reaction, the reactor will continuously etch the material ^ A ^ J | tun material, of course, it also etched its dielectric shield 30, for example, including I The dielectric shield 30 of the inner part 38 of the thin plate is preferably used as a single medium of quartz. Quartz material has good low impurity concentration characteristics, which can prevent pollutants from entering and staying inside the reaction stem. Therefore, quartz material is a good material. If the name of the workpiece is etched, the oxidation property is similar to that of quartz material, so The engraving reaction will also corrode its etching shield. In addition, in a silicon oxide etching reaction chamber, its protective ring 50 will be more effective to protect the corrosion of process components. Β Printed by the Consumer Cooperative of the Central Bureau of Standards, Ministry of Economic Affairs In the oxygen-oxygen silicon etching reaction chamber, the protection ring 50 is preferably composed of the secondary hair. Since silicon materials generally can achieve the anti-corrosion effect through the reaction process during the oxygen etching process, and because of the lower Impure concentration can achieve the effect of avoiding pollutants from staying in the reaction chamber, so the use of silicon materials is more advanced. The single crystal dream compound can be used better because it can achieve higher purity. Page 15 This paper size applies Chinese National Standard (CNS) Λ4 specification (210X297 public welfare)

401606 —______ 1 1 · 一 五、發明説明() 在本發明之測試實驗φ, 圓 卜 中利用—標準程序來蝕刻矽晶 因表面尤二氧化矽層, ^ ^ 保邊J承50义蝕刻率至少將低於 石奂触刻率+择w μ , A t 〇 W矽保護環因為腐蝕作用而開始得 到一明顯之凹陷表面時, 其珍%也可被容易取代而不替代 其介電屏蔽3〇。更進—丧品‘ 梦而s ’矽環之使用壽命也可藉本 發明方式而在其了g类;Js Λ 、八表面成為凹陷之後可再使用延長兩倍 以上。 反之如果介%内屏蔽38是如任何其它易於使用之 抗蝕物質,則其保護環5〇亦可被省 <。例如,由於一石 英屏蔽可藉由使用於金屬银刻及珍化物姓刻之反應種類 而,、有杬蝕之功效,因此在此反應室中其保護環5 〇就變 得不是如此需要。 如果保護環50是如矽化物之非介電物質,且如果晶 圓20與陽極22是成為相互絕緣者(其現象就如晶圓藉由 一具有介電層52橫跨於陽極及晶圓間之靜電夾層而架設 於腸極上一般)’則其一般皆有助於非介電保護環5 〇與陽 極之鄰近表面_ 54之電隔離作用。如果晶圓係與陽極成絕 緣狀態’但卻與非介電環不成絕緣狀態,則非介電環將可 辖接於陽極,且具有相較於晶圓較低之阻抗,其可造成過 多之RF電源可透過矽環而耦合於電漿,以取代透過晶圓 者。 在陽極及非介電保護環5 0之絕緣特性也可是為一氧 化層或其它鄰近該保護環陽極表面54之介電物質。在一 較佳實施例中,其陽極是鋁物質,而其氧化層則可經由電 _____第16頁 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X297公龄 401606 A7 B7 五、發明説明() 鍍陽極之全部外表面而獲得。再者,非介電保護環5〇亦 可架設於介電屏蔽上,以在該保護環與陽極間保持一空 隙 用 隙,如此之真空空隙將可在環50與陽極間提供一絕緣作 〇 3.利用保護環來預防在變動反應化性之介電屏蔽 保護環50除了可延長製程組件之使用壽命外(如第2 圖至第4®所示之兩個實施例),$具有纟它之進步點。 在-些半導體製程中’其介電屏蔽3〇將與反應氣體作用, 或因為離子之撞擊而被腐蝕,以致於化學種類將被解放而 在鄰近屏蔽處(也就是在接近工件2〇之周邊位置)立即改 變其製程反應化性。如果反應化性(也就是各種化學種類 之特性)接近工件周邊者,而與接近工件中心者不同,則 其處理製程之實施將雷同於相對徑向非均勻性者。如果本 發明之保護環5"、由可減少與反應氣體範應之物質所組 成,或使用不同於釋放化學種類而具有—些對於製程中有 利(或降低損害)之物質,則其亦可提供相對於藉由—非保 護介電屏蔽30之釋放種類更進步之反應空間均勻性。 經濟部中央標舉局負工消費合作社印製 “例如,當-石英屏1 30被腐姓時,無論係藉由濺渡 或藉由與反應氣體作用,一般將可釋放出氧物質,而在— 些半導體㈣中,㈣質將對其反應率造&明顯之影響。 確而言,在蚀刻碳氫化合物反應中,如光阻杜刻劑平 #被刻(photoresist planarization etching)中,增加其氧物 質之濃度將有助於其反應速度。而在蝕刻矣声璃ϋ物 第17頁 各紙張尺度適用中國國家標準(CNS ) Λ4規格(210Χ297公發 401606 A7 B7 五、發明説明( (spln-on glass ; S0G)過程中亦可得到一些較小之效應,因 j SOG基本上將具有一本質為碳之成份。在這些蝕刻= 程中,一暴露石英屏蔽30將可增加接近晶圓周邊處之蝕 刻率,也因此而可降低蝕刻率之空間均勻性。 在一些其它實施例中,藉由腐蝕一石英屏蔽而釋 放之氧物質在蝕刻反應中具有一些不同之效 、 ^ 以触刻在 —晶圓上(二氧化矽層’而其蝕刻反應可設計成儘可能選 擇預計晶圓矽物質之任何暴露部分以進行蝕刻之功效。在 基本之氧蝕刻過程中,氧物質並無法有助於二氧化矽之蝕 刻作用,但其卻有助於矽物質之蝕刻進行。因 — 七英屏 蔽30之腐姓基本上將降低接近晶圓周邊之蝕刻過程選 性。 在這些實施例中,降低接近晶圓周邊之空間均勾性咬 選擇性將可藉由一保護環50覆蓋石英屏蔽3〇之内部分38 而得到改善’而該保護環5 0包括有一不會遺留可能對蚀 刻反應影響之化學種類的物質。就先前之敘述,以—保嘆 環來覆蓋石英屏蔽3 0之外緣部分係非必要的,由於離子 流將從工件之周邊起逐漸衰退,其一般將減少石英屏蔽暴 露表面及反應氣體間之反應率。 矽化物是一種製造保護環50之最好材質以達到前述 可延長製程組件之使用壽命,同樣,亦可使用試劑來達到 良好之抗腐蝕性而被用來蝕刻介電物質及金屬物質,且有 效的改變降低其非純質等級。矽化物還具有一额外之進步 點’就是在進行如CF4或CHF3之含氟試劑蝕刻時可提供 第18頁 本紙掁尺度適用中國國家標準(CNS ) Λ4規格(210X 297公釐 請 閱 讀 背 之 注 項 孅 裝 經濟部中央標準局負工消费合作社印氧 401606 A7 B7 五、發明説明( 經濟部中央標準局员工消费合作杜印製 更良好之均句性。在電毅中提供高濃度之氣離子一般將可 減少俄刻反應之選擇性。珍化物將與耗盡(清除)氟離子反 應,以致於可在鄰近工件處提供梦化物而可降低鄰近工件 之氟離子濃度。舉例而m刻氧化梦之過程巾,一矽 承5 0之存在相仏可提升光阻蝕刻劑之蝕刻選擇性,換言 之,其可減少光阻蝕刻劑之蝕刻率。 第7圖顯示一相似於第4圖所示實施例之另一設計, 除了其保護環5〇覆蓋有介電屏蔽30暴露表面之更大區 域,而因此可增加介電屏蔽3〇之保護區域而免受腐蝕外, 其最特別的地方在於其保護帛5〇將可覆蓋所有介電屏蔽 〈向上面表面及所有之向内面表面。因此1 7圖所示之 設計將可更進一步得到上述保護帛5〇之功能,也就是說 可延長製餘件之使用壽命,及降低半導體製程中因為在 介電屏蔽30 &反應氣體間之化學作用所引起之空間非均 勻性。 第5圖及第6圖顯示者係為介於第4圖及第7圖實施 例之中間產物的另—設計’在此實施例中,其保護環5〇 覆蓋屏蔽之區域相齡办 视於罘4圖者為多,但相較於第7圖所 示實施例者較少。更明確而言圖及第6圖所示實施 例:保濃% 50包括有一底環%及一上環58,其中該後者 部刀或其全部將可覆蓋介電屏蔽30之向内架高表面32, 依照其反應,帛5圖及第6圖所示之設計將可適當的保護 ”电屏蔽30而免焚腐蝕,且因此而可改變其反應化性。 本發明除了第7圖所示之設計外,將可對—藉由從触 本紙張尺舰财_ 210X297公烩) 請 先 閲 面 之 注 意 事 項401606 —______ 1 1 · 15. Description of the invention () In the test experiment of the present invention, the standard procedure is used to etch silicon crystals, especially silicon dioxide layers, on the test surface of the present invention. At least lower than the stone etching rate + w μ, At t 〇W silicon protection ring began to obtain a significant recessed surface due to corrosion, its% can also be easily replaced without replacing its dielectric shield3 〇. Advance-The life of the funeral ‘Dream s’ silicon ring can also be classified as g by the method of the invention; Js Λ and eight surfaces can be used for more than two times after being recessed. Conversely, if the inner shield 38 is like any other easy-to-use resist material, its guard ring 50 can also be saved <. For example, because a stone shield can be etched by the type of reaction used for metal silver engraving and precious metal engraving, its protective ring 50 is not so necessary in this reaction chamber. If the guard ring 50 is a non-dielectric substance such as a silicide, and if the wafer 20 and the anode 22 are insulated from each other (this is the same phenomenon as the wafer spanning between the anode and the wafer through a dielectric layer 52) The electrostatic sandwich is generally placed on the intestinal pole), and it generally helps the non-dielectric protective ring 50 to be electrically isolated from the adjacent surface of the anode 54. If the wafer system is insulated from the anode but not insulated from the non-dielectric ring, the non-dielectric ring can be connected to the anode and has a lower impedance than the wafer, which can cause too much The RF power can be coupled to the plasma through the silicon ring to replace the one that passes through the wafer. The insulating properties of the anode and the non-dielectric guard ring 50 may also be an oxide layer or other dielectric substance adjacent to the anode surface 54 of the guard ring. In a preferred embodiment, the anode is made of aluminum, and its oxide layer can pass through electricity. _____Page 16 This paper size applies Chinese National Standard (CNS) Λ4 specification (210X297 male age 401606 A7 B7 V. Invention Explanation () Obtained by plating the entire outer surface of the anode. In addition, a non-dielectric protection ring 50 can also be placed on the dielectric shield to maintain a gap between the protection ring and the anode. Such a vacuum gap will An insulation can be provided between the ring 50 and the anode. 3. The use of a guard ring to prevent changing the reactivity of the dielectric shielding. The guard ring 50 can extend the service life of the process components (as shown in Figures 2 to 4). Two examples are shown), which has a point of improvement. In some semiconductor processes, its dielectric shield 30 will interact with the reactive gas, or will be corroded due to the impact of ions, so that the chemical species will be Free and immediately change the reactivity of the process near the shield (that is, close to the periphery of the workpiece 20). If the reactivity (that is, the characteristics of various chemical types) is close to the periphery of the workpiece, and close to the workpiece If the person is different, the implementation of the processing process will be similar to that of relatively radial non-uniformity. If the protection ring 5 of the present invention is composed of a substance that can reduce the reaction gas response, or uses a different chemical species And with some substances that are beneficial (or reduce damage) in the process, they can also provide a more improved uniformity of the reaction space compared to the type of release by the non-protective dielectric shield 30. The Ministry of Economic Affairs, Central Bureau of the Ministry of Economy Industry and consumer cooperatives print "For example, when -quartz screen 130 is rotten, whether by splashing or by reacting with reactive gases, oxygen species will generally be released, and in some semiconductors, Quality will have a significant effect on its reaction rate. Indeed, in the etching of hydrocarbon reactions, such as photoresist planarization etching (photoresist planarization etching), increasing the concentration of its oxygen species will have It helps the reaction speed. And the Chinese paper standard (CNS) Λ4 specifications (210 × 297, 401606 A7 B7) are applied to each paper size on page 17 of the etching glass. 5. Description of the invention ((spln-on glass S0G) process can also get some smaller effects, because j SOG will basically have a component that is essentially carbon. In these etching processes, an exposure of the quartz shield 30 will increase the etching near the periphery of the wafer Rate, which can reduce the spatial uniformity of the etch rate. In some other embodiments, the oxygen species released by etching a quartz shield has some different effects in the etching reaction. The silicon dioxide layer's etching reaction can be designed to select as much of the exposed silicon material as possible for the purpose of etching. In the basic oxygen etching process, the oxygen material cannot help the silicon dioxide Etch effect, but it helps the etching of silicon material. Because — Qiying shielding 30 will basically reduce the selectivity of the etching process near the periphery of the wafer. In these embodiments, reducing the uniformity of the bite selectivity near the periphery of the wafer will be improved by covering the inner portion 38 of the quartz shield 30 with a guard ring 50, and the guard ring 50 includes a Remains of chemical species that may affect the etching reaction. According to the previous description, it is not necessary to cover the outer edge of the quartz shield 30 with a sigh ring. Since the ion current will gradually decline from the periphery of the workpiece, it will generally reduce the gap between the exposed surface of the quartz shield and the reactive gas. Response rate. Silicide is one of the best materials for manufacturing the protective ring 50 to achieve the aforesaid extension of the service life of the process components. Similarly, reagents can also be used to achieve good corrosion resistance. It is used to etch dielectric materials and metal materials, and is effective. Changes reduce its non-pure grade. Silicide also has an additional point of improvement 'is to provide fluorochemical reagents such as CF4 or CHF3, etc. can be provided on page 18 of this paper 掁 standard applicable Chinese National Standards (CNS) Λ4 specifications (210X 297 mm, please read the note at the back) Item Outfitting Consumer Work Cooperative of the Central Standards Bureau of the Ministry of Economic Affairs printed oxygen 401606 A7 B7 V. Description of the Invention (Consumer cooperation of employees of the Central Standards Bureau of the Ministry of Economic Affairs printed better uniformity. Provides high concentration of gas ions in Dianyi Generally, the selectivity of the Russian reaction will be reduced. The rare substance will react with depletion (removal) of fluoride ions, so that dream compounds can be provided near the workpiece and the fluoride ion concentration of the adjacent workpiece can be reduced. For example, m-cut oxidation dreams In the process, the presence of a silicon bearing 50 can increase the etching selectivity of the photoresist etchant, in other words, it can reduce the etching rate of the photoresist. Figure 7 shows an implementation similar to that shown in Figure 4. Another design, except that the protective ring 50 covers a larger area of the exposed surface of the dielectric shield 30, and therefore the protective area of the dielectric shield 30 can be increased to prevent corrosion. The other thing is that its protection (50) will cover all dielectric shields (upper surface and all inward surface. Therefore, the design shown in Figure 17 will further obtain the function of the above protection (50), that is, It can be said that the service life of the remaining parts can be extended, and the spatial non-uniformity caused by the chemical interaction between the dielectric shield 30 & reaction gas in the semiconductor process can be reduced. The figures shown in Figures 5 and 6 are between Another design of the intermediate product in the embodiment of FIG. 4 and FIG. 7 'In this embodiment, the protection ring 50 covers the area of the shield. The age of the image is more than that in FIG. 4, but it is compared with that of FIG. 7. The embodiment shown in the figure is less. More specifically, the embodiment shown in the figure and FIG. 6: the concentration retention 50 includes a bottom ring% and an upper ring 58, wherein the latter part or all of them will cover the dielectric. According to the response of the inwardly elevated surface 32 of the shield 30, the design shown in Figures 5 and 6 will properly protect the "electric shield 30 from incineration corrosion, and therefore its reactivity can be changed. In addition to the design shown in Figure 7, the invention will be correct—by following This paper ruler ship Choi _ 210X297 public risotto) Please read the first surface of the CAUTIONS

貪 裝 訂 40i6〇6 A7 B7 五、發明説明( 刻石英介電…&quot;置留氧化物以強化其效果之半導_ 製程反應中提供更特別之進步點。就如前述—般,如此: 反應過程將包括有光阻蝕刻劑平面蝕刻及主玻璃蝕刻反 應。藉由保護環50來增加石英屏蔽3()之被覆蓋區域將可 更進-步的減少來自於石英之置留氧化物,而如此之置留 物在接近工件之周邊處將相較於工件中心處有更多之蚀 刻率增加,也因此可減少在工件表面上姓刻率之空間均勾 性。 較佳之情況下’保護環5〇冑包括有珍化物,其具有 如W所述述更進H除氟離子^利用含氟試劑來姓刻 氧化矽(反應中’ ϋ 7圖所示,由於其將增加暴露於電 衆之矽屏&amp; 50表面’及減少鄰近工件之氟離子濃度,因 此可改善蝕刻反應過程之選擇性。 經濟部中央標牟局員工消f合作社印製 增加矽屏蔽暴露表面區域之—位能不利處在於其影 響银刻反應之效果將變得非常明顯,而其溫度靈敏性也變 ㈣常明顯。在-電漿㈣反應室内之溫度—般係皆在溫 度範圍内’增加矽屏蔽之溫度將可増加其與氣化物之反 應因此,矽屏蔽將具有一大表面區域暴露於電漿中,故 其變得必須調整珍屏蔽之溫度以確保其良好之反應。 4.介電屏蔽與接近工件處之較低阻抗部分 就如本發明前述發明背景所言—般,如第丨圖所示習 用介電屏&amp; 30之實施例將可執行兩個功能。第一,該介 4屏蔽之軸向方位將細薄至足以在一可藉由介電物質而 本紙張尺度適用中國i^iiTcNsTAi^i' 第20頁 (210X297公漦) B7 五、 發明説明( 耦接於陽極22與電漿間之RF電源中提供一高電阻,減少 如耦接於工件周邊外緣之RF電源,將可增加在工件上執 行之製程反應率。第二’介電屏&amp; 3〇將可抽向延伸超過 工件之表面’以致於可藉由減少接近工件周邊處及接近工 件中心處間之反應種類濃度不同而得以改善反應過程之 徑向均勻性。 極 伸 蓋 體 本發明發現橫跨工件20表面製程之空間均勻性有時 也可藉由一透過RF電源從陽極22耦接於電漿4〇而可環 繞該晶圓之環形區域提供以得到進一步之改善。如此之 耦接可經由該介電屏蔽3〇及一内部分38之提供而得以完 成,而RF 4阻抗基本上將低於介電屏蔽之環繞部分。w 電源係可透過内介電屏蔽38相對低之阻抗而耦接於陽 22與電漿之間,如此將可造成電漿覆蓋物42可徑向延 超過工件20之周邊。其可縮減接近工件周邊之電漿覆 物任何不連續部分,因此可造成增加使用於工件上半導 製程中增強電漿之徑向均勻性。 之 當 件 度) 降 可 高轴 %繞内部分38之介電屏蔽剩餘部分具有實質較高 電阻,以致於可降低RF電源之耦接區域遠離工件至適 位置而促成其製程可在工件上執行。RF電源耦接於工 周邊外緣也可藉由增加架高軸環30之厚度(即軸向高 及藉由使用一具有較低介電常數之介電物質而可達到 低 &lt; 目的。石英因為可成為具有相當低雜質之特性,而 減少反應室之污染物置留,故其可為是一種適用於架 環30之介電物質。Binding 40i6〇6 A7 B7 V. Description of the invention (engraved quartz dielectric ... &quot; Semiconductor that retains oxide to enhance its effect _ provides a more special point of progress in the process reaction. As mentioned above, so: reaction The process will include photoresist etchant plane etching and main glass etching reaction. Increasing the covered area of the quartz shield 3 () by the guard ring 50 will further-reduce the deposited oxide from the quartz, and In this way, the deposit near the periphery of the workpiece will have more etching rate increase than the center of the workpiece, so the spatial uniformity of the engraving rate on the surface of the workpiece can be reduced. In the best case, the 'protection ring' 5〇 胄 includes precious compounds, which have been described as described in W. H fluoride removal ions ^ using fluorine-containing reagents to engraved silicon oxide (in the reaction 'ϋ 7), because it will increase exposure to the electric public Silicon screen &amp; 50 surface 'and reduce the fluorine ion concentration of adjacent workpieces, so it can improve the selectivity of the etching reaction process. The staff of the Central Standards Bureau of the Ministry of Economic Affairs printed by the cooperative to increase the area of the exposed surface of the silicon shield. Lie in The effect of its effect on the silver-etching reaction will become very obvious, and its temperature sensitivity will also become very obvious. The temperature in the plasma reaction chamber-generally within the temperature range, 'increasing the temperature of the silicon shield will increase. Its reaction with gaseous material Therefore, the silicon shield will have a large surface area exposed to the plasma, so it becomes necessary to adjust the temperature of the rare shield to ensure its good response. 4. Comparison of the dielectric shield and the place close to the workpiece The low-impedance part is just like what was mentioned in the background of the present invention. As shown in the figure, the embodiment of the conventional dielectric screen &amp; 30 will perform two functions. First, the axial direction of the dielectric 4 shield will be Thin enough to be used on a paper scale suitable for use in China with a dielectric substance ^ iiTcNsTAi ^ i 'Page 20 (210X297 cm) B7 V. Description of the invention (coupling RF power source between anode 22 and plasma) It provides a high resistance, reducing the RF power source that is coupled to the periphery of the workpiece, which will increase the response rate of the process performed on the workpiece. The second 'dielectric screen &amp; 30 will be drawn to the surface extending beyond the workpiece 'So that by reducing access Radial uniformity of the reaction process can be improved by varying the concentration of the reaction species at the periphery of the workpiece and near the center of the workpiece. The present invention has discovered that the spatial uniformity of the process across the surface of the workpiece 20 can sometimes also be achieved by a transmission RF power is coupled from anode 22 to plasma 40 and can be provided around the wafer's annular area for further improvement. Such coupling can be accomplished through the provision of the dielectric shield 30 and an inner portion 38 The RF 4 impedance will be substantially lower than the surrounding part of the dielectric shield. The w power supply can be coupled between the anode 22 and the plasma through the relatively low impedance of the internal dielectric shield 38, which will cause plasma coverage. The object 42 can extend radially beyond the periphery of the workpiece 20. It can reduce any discontinuous part of the plasma coating near the periphery of the workpiece, and thus can increase the radial uniformity of the plasma used in the upper semiconducting process of the workpiece. The remaining part of the dielectric shield of the inner part 38 has a substantially higher resistance, so that the coupling area of the RF power source can be reduced away from the workpiece to a suitable position, and the process can be performed on the workpiece. . The RF power coupled to the outer periphery of the work periphery can also be achieved by increasing the thickness of the elevated collar 30 (that is, axially high and by using a dielectric substance with a lower dielectric constant). <Quartz Because it can become a relatively low impurity and reduce the retention of pollutants in the reaction chamber, it can be a dielectric substance suitable for the frame ring 30.

經濟部中央標隼局貝工消費合作杜印製Printed by Shellfish Consumer Cooperation of the Central Bureau of Standards, Ministry of Economic Affairs

五、發明説明( A7 B7 經濟部中央標準局t貝工消費合作社印製 供小及外形以對一些特別之半導體製程提 : ♦、=勻性及流通量。就如本節先前開始所提及 ’,RF t源將藉由晶圓從陽極耦接於電漿之外緣部分, 可減/在阳圓表面之離子及反應種類濃度。因此,空間 均二性之所有改良均可藉由增加連接於内介電屏蔽38之 RF电源而可達成,而如此之RF 於晶圓製程上所減少之平均率:,増加性將可抗拒貫施 衡。 4车(如成通量),並藉此取得平舉例而1*,如第4圖所示,及一非介電保護環50之_向宽户 介電内屏蔽38 、 二°寬度W將大於如第3圖所示 之實施例(在第4圖至第6 二神, 罔心間易圖不中’並未顯示出 如第3圖所示陽極 Κ 38^0%i 2〇^τ I實施例)。在徑向延伸電聚 、 H,^ ^ 取物以便更進一步超越晶 因:緣:可減少在接近晶圓邊緣處製程之空間非均勾性 万面,弟4圖所設計之實施例相 到更佳之效果。 &amp;於弟3圖所示者將可得 ,發明分別測量如第3圖及第4圖所示實施例之一標 準一氣化梦钕刻過程,在内A番_ 、 電屏蔽38之徑向寬度分別 《情況下(兩者之介電内屏蔽厚度D皆為 :,以比較其蚀刻率及蚀刻率均勾性。其測量結果在均 H言’第W與第4„施例間並沒有明顯之不同, 但就本發明所預測的’第4圖所示實施例由於具有更多之 RF電源可通過内介電屏蔽而轉向遠離晶圓20之區域,故 其银刻率將稍微降低,因此,至少對該特別製程而言 [____第23頁 本紙張.尺度劇中家操準(CNS) ^格(21QX2G^__ (&quot;先閱讀背面之注意事項再^^,Γ本頁) Φ :¾¾. 裝· 、一=° _kV. Description of the invention (A7 B7 The Central Standards Bureau of the Ministry of Economic Affairs and the Bayer Consumer Cooperative Co., Ltd. print out small and external shapes to mention some special semiconductor processes: ♦, = uniformity and circulation. As mentioned earlier in this section ' The RF t source will be coupled from the anode to the outer edge of the plasma through the wafer, which can reduce / concentrate the ions and reaction species on the surface of the sun. Therefore, all improvements in spatial homogeneity can be increased by increasing the connection. It can be achieved by the RF power supply with internal dielectric shield 38, and the average rate of such RF reduction in the wafer process: the additive will be able to resist consistent balancing. 4 cars (such as the flux), and take this Take the flat example and 1 *, as shown in FIG. 4, and a non-dielectric protection ring 50 of the _ to wide household dielectric inner shield 38, the width of two degrees W will be greater than the embodiment shown in FIG. 3 (in Figures 4 to 6 The two gods, "the heart is not easy to figure" does not show the anode κ 38 ^ 0% i 2〇 ^ τI embodiment shown in Figure 3). H, ^ ^ Extraction to further surpass the crystal cause: edge: can reduce the non-uniformity of the process space near the edge of the wafer, The embodiment designed in Figure 4 achieves better results. &Amp; Yu Di will be available as shown in Figure 3, and the invention measures the standard one gasification dream neodymium engraving process, which is one of the embodiments shown in Figure 3 and Figure 4, respectively. In the case of the radial width of the inner shield _ and the electric shield 38, respectively, (the dielectric inner shield thickness D of both is: to compare the etching rate and the etching rate. The measurement results are in H There is no obvious difference between the "Wth" and the "4th" embodiments, but the "Figure 4" embodiment predicted by the present invention has more RF power that can be turned away from the wafer through the internal dielectric shield 20 area, so its silver engraving rate will be slightly reduced. Therefore, at least for this special process [____ page 23 of this paper. Standard Play (CNS) ^ Grid (21QX2G ^ __ (&quot; first Read the notes on the back ^^, Γ page) Φ: ¾¾. Install · , 一 = ° _k

• m. HI HI 401606 A7 B7 五、 發明説明( 經濟部中央標隼局員工消費合作社印製 4圖實施例相較於第3圖者為佳。 製程組件架高部分30之高度Η效果將更形複雜,在 許多構造中’架高部分之顯著功效係&quot;陰影&quot;(shad〇w)效果 或&quot;耗竭&quot;(dePleti〇n)效果,其主要係可阻擋在軸環外側之 反應製程反應氣體移向該晶圓。因此’該耗竭效果將增加 在接近晶圓周邊處反應種類之消耗量,以更接近在接近晶 圓中心處之消耗量,一般而言,增加在接近晶圓周邊處之 反應種類消耗量將可減少接近周邊處之反應率;第二,架 冋部分將可產生一 ”禁閉&quot;(confinement)效果或&quot;駐存時間 (residence time)效果,其可增加在接近晶圓周邊處之反應 種類駐存時間,不管係增加或減少在接近晶圓周邊處之反 應率,其皆與所操作實施之化性有關。第三,由於離予Μ 將從電漿覆蓋物處而加速向下與架高部分之内表面碰 撞,而其碰撞後'產生之彈跳粒子(ric〇ehet)36將轉向晶圓 (如第3圖所示),因此屏蔽之架高部分將產生聚集 &quot;(focusing)效果以增加在接近晶圓周邊處之離子流。該聚 集效果與晶圓表面及架高部分面向晶圓之表面間的角度 有很大之關係,可預計的是,其角度若為135度(垂直= 算為45度)則可產生最大之離子量偏向晶圓中心。架高軸 環之第四個效果在於可徑向向下的將電漿覆蓋物移向工 件周邊之外側,因此可更進一步的將離子覆蓋物從工件周 邊處而移動,且藉此而可減少在接近工件周邊之反應種類 濃度。 前段討論了高度Η對反應率所造成之效果。而&quot;耗竭,, 第24頁 本紙張尺度適用中國國家標隼(CNS ) Λ4規格(210Χ 297公錄) (請先吼讀背面之注t事項再齒巧本頁} -Φ 裝.• m. HI HI 401606 A7 B7 V. Description of the invention (printed by the Consumer Cooperative of the Central Bureau of Standards of the Ministry of Economic Affairs and printed on the 4th embodiment is better than the 3rd embodiment. The height of the 30th part of the process module will be more effective The shape is complex. In many structures, the "significant effect of the elevated part" is the "shadow" effect or the "depletion" effect. It is mainly used to block the reaction outside the collar. The process reaction gas moves to the wafer. Therefore, 'the depletion effect will increase the consumption of the reaction species near the periphery of the wafer to be closer to the consumption near the center of the wafer. Generally speaking, the increase is near the wafer. The consumption of reaction types at the periphery will reduce the reaction rate near the periphery. Second, the frame part will have a "confinement" effect or "residence time" effect, which can increase The residence time of the reaction types near the periphery of the wafer, whether it increases or decreases the reaction rate near the periphery of the wafer, is related to the chemical nature of the operation. Third, because the ionization M will be from the plasma The cover will accelerate downward and collide with the inner surface of the elevated part, and the bouncing particles (ricoehet) 36 generated by the collision will turn to the wafer (as shown in Figure 3), so the elevated part of the shield will Generates a "focusing" effect to increase the ion current near the periphery of the wafer. This focusing effect has a great relationship with the angle between the wafer surface and the surface of the elevated portion facing the wafer. It can be expected that If the angle is 135 degrees (vertical = 45 degrees), the maximum ion amount can be biased towards the wafer center. The fourth effect of the elevated collar is that the plasma cover can be moved radially downward toward the workpiece. Outside the periphery, the ion cover can be further moved from the periphery of the workpiece, and the concentration of the reaction species near the periphery of the workpiece can be reduced by this. The effect of the height radon on the reaction rate was discussed in the previous paragraph. Depletion, page 24. This paper size applies to the Chinese National Standard (CNS) Λ4 specification (210 × 297). (Please read the note t on the back first, and then click on this page} -Φ Pack.

、1T inm 五、 發明説明( A7 B7 經濟部中央f I合作社印裝 效果及駐存時間”效果將更加影響其它製程反應參數,如 在蝕刻過程之選擇性’或在一沉積過程之快速覆蓋性。 本發明將藉由使用RF耦接跨越工件周邊,以個別調整架 间軸裱30之高度,而可提供更多之選擇來充分利用其反 應參數。(該耗竭效果及駐存時間效果將在下文中得以說 明) 藉由兩度Η之增加而可讓耗竭效果、駐存時間效果、 1集效果、及電漿置換效果皆變得更加顯著。而這些效果 也會文到位於架高部分向内表面32及晶圓周邊間之徑向 空間s影響。聚集效果可預計將在空間s之中心處(必須 藉由實驗而得)得到最大值,至於其它的三個效果將因為 空間S之增加而累積減少。 第5圖中顯示出另一實施例之設計,其非介電保護環 50將可由一類似第4圖之環50的水平環56所組合而成, 在其上側置放有一第二非介電環58而高於晶圓2〇表面之 高度,且其具有與水平面呈45度並類似架高屏蔽3〇之内 表面32的内表面及外表面。該架高非介電環“將可提供 在接近晶圓邊緣處之反應空間的物理限制 w &amp;別’其類型相同於 第3圖所示架高介電環3〇之内表面。 然而,對照於第3 圖设计之介電居蔽30,第5圖所示之第_ A 弟一非介電環58將 在RF電源陽極22及電漿間造成一相對丄 τ小又電阻,因此可 保護RF之高水平通過如第4圖所設計的細薄内介電環 38 ° 藉由使用具有一不同徑向寬度之不同架高非介電環 J 第25頁 本紙張尺度適用中國國家標隼(CNS ) Λ4現格(210Χ297公驗) (請先閱讀背面之注意事項再^T本頁) •φ (再 裝· 訂 線--------------- Α7 Β7 五、發明説明() 〜 58,空間S也可獨立變更内介電屏蔽38之寬度冒,因此 可提供一額外之設計參數以充分利用半導體製程之空間 均勻性。 弟6圖顯示出不同於第5圖所示之實施例,在這個實 施例中’其第二非介電環58之軸向高度將僅有架高軸環 3〇之一半’因此也在接近晶圓邊緣處僅提供反應空間一半 數量之物理限制。 如第5圖及第6圖所顯示之第二非介電環58將交疊 於介電架高軸環30之内表面32上’以提供電阻之逐漸轉 換而當作徑向排列之功能,因此可達到在接近晶圓邊緣處 &lt;電漿覆蓋物均勻性’當然也可達到半導體製程之空間均 勻性。為更進一步減少電漿覆蓋物之任何不連續性,第二 非介電環5 8之徑向外侧部分將逐漸縮小,逐漸縮小之厚 度將交疊於介電架高軸環3〇之内部分32,因此可提供其 電子特性從矽環逐漸轉換成架高軸環者。 其它影響介電内屏蔽38理想阻抗之要素係在反應室 内使用以夾緊晶圓20在陽極22之靜電夾盤52(如第3圖 所示),如果靜電夾盤中插入一介電物於陽極與晶圓之 經濟部中央標準局一月工消費合作社印裝 間’如此也就是在陽極與電漿之間插入一容抗。為保持在 耦接通過晶圓的RF電源及耦接通過細薄内介電環38的電 源間之平衡性,内介電屏蔽之阻抗將逐漸增加靜電夾盤之 RF阻抗所佔之比例,内介電屏蔽38之阻抗將與其轴向厚 度D成正比並藉由其徑向寬度w分離,且與其介電常數 成反比。 本紙張尺度適用中國國SiYcNs丨 五 矽 之 經濟部中央標準局員工消費合作社印製 401606 、發明説明() 因切保護環50之電阻將遠低^ 阻,本發明預計保護環之軸向深产 衣〈電 接於陽極與電裝間之RF電源攻疋說厚度)對輪 坌π θ 實質 &lt; 影響,因此斟 现於阳圓上之離子流分体亦無實 ^ 瑷赋女。私 上&lt;政果。實際上’ 環將有足夠之厚度以預防線上操 婭从板作人與可能偶然發生 知作破損,當然,一足夠厚度之保 、 又&lt;保濩裱也可抗拒在置換 又腐蝕程度。 狭 實施例: 比較如第1圖所示之習用介電屏蔽3〇與本發明所 計如第4圖所示包括一保護環5〇及一改良具有一細薄 部分38之介電屏蔽30。 本實施例主要係用一從位於具磁性電漿反應室中 具2〇〇mm直徑尺寸大小之矽晶圓中蝕刻二氧化矽層之 程’如第9A圖及第9B圖所顯示者。一 DC電源供應器 可提供4安培之電源至兩個鄰近電磁線圈71及74中, 致於其磁場可覆蓋於晶圓中心約30G,而另外兩線圈72 73將無法得到電源之供給。該製程反應氣體流將係由 seem 之 CHF3、15 seem 之 CH4、及 150 seem 之 Ar 所組 而成,而反應室之壓·力則為200 mT。該RF電源供應器 將提供1000瓦且13.56 MHz之電源至陽極22,每一晶^ 將被蝕刻60秒,如此將在晶圓表面蝕刻出4000埃至4400 埃之二乳化梦。 如第11Α圖及第11Β圖所示者係為藉由一干擾儀所 設 内 而 將 以 45 合 24 圓 第27頁 本紙張尺度適用中國國家標準(CNS ) Α4规格(210Χ297公t ) 401606 五 、發明説明( A7 B7 到之在晶圓表面上因不同蚀 粗… 了㈣料而呈現的等長曲線圖。省 長曲線係代表平均㈣率,加號及減號則分剌 刻率大於或小於平均㈣率之區域,而每 間則係代表增加⑽埃/分鐘(A/min)。 長曲4 第UA圖所顯示者係為如第i圖所示之習用 的結果’其位於在晶圓邊緣底下之相距厚度或深度二 職’且相距於晶圓周邊外緣屏蔽之架高部分約為 15_。而第nB圖所顯示者則為本發明如第*圖所示之 實施例結果,其改良式介電屏蔽30具有一徑向寬度w為 14mm、而厚度或深度D則為4mm之細薄内部分3 $。 相較於第1圖所示之習用設計,本發明第4圖所示之 介電屏蔽將減少最大㈣率及最小㈣率之平均偏離情 形,其最糟之負偏差將從·106〇A/min減至·85〇A/min,= 最糟之正偏差將從+125〇A/min.減至+ i〇5〇A/min.。 5·非介電環電連接於晶圓 經濟部中央標準局员工消費合作社印裝 第8A圖及第8B圖係為本發明用於半導體工件戋孑圓 20之製程組件另一實施例示意圖,以緊壓及電連接於非介 電環50及60之周圍;為確保良好之電連接性,該製程組 件包括有一彈簧或彈性體以維持晶圓20及非介電環6〇間 之均勻壓力。在第8A圖及第8B圖中所顯示之實施例中, 其彈性體係為一 〇形環,其具有一固定的較低部分66及 一可移動且具彈性之唇封68,以保持其向上壓力而緊靠於 非介電環50或60,也因此而緊壓非介電環緊靠於晶 ___ 第28頁 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X297公龄) 4〇lQ〇6 、 發明説明( 經濟部中央榡準局員工消費合作社印製 在第8A圖中,其非介 . 衣5〇將相同於笛, 者—般’但第3圖之介電屏蔽30的_、 圖所設計 Μ、68所取代。而第8B圖 **为38將被彈性體 η ·&lt;· 1施例中,龙 非介電環60將於下文中詳細敛述。 丹所使用之特殊 第8Α圖及第8Β圖所示 又貫施例,由於甘 電連接於晶圓上’所以非介雷、 5其非介電環係 电衣之效果將成头 延伸’也因此成為陽極22之+祕★ 為晶圓之電性 &lt;电性延伸。因 _ 並不需要介電内屏蔽38來覆當嗒社士 現些設計 分。 包環的底下部 為使電漿覆蓋於晶圓20邊緣 ,,A 义連續性為最女佶, 非;I電環50或60之組合物最好係 陆 係相R於晶圓基底之材 負’尤其是如果工件2 0停A 一於θ面 、θ 係4 圓1非介電環最好 亦疋梦化物。 在第8Α圖及第8Β圖之設計中,該耦接通過矽環5〇 或60之RF電源將正比於其徑向寬度w,,並藉由矽環刊 或60而延伸於工件2〇之周邊外侧,另外,rf電源亦與 矽環之電阻成反比。相較於第3圖至第7圖所設計之實施 例’其電源將正比於内介電屏蔽3 8之寬度W。 為預防彈性環66、68在晶圓20移動時移出其位置, 彈性環之固定低部分6 6最好係適當的安設於介電屏蔽$ 〇 與陽極20之間》 由於矽環50或60可自由上下移動,所以在矽環及介 電屏蔽30之間最好皆具有相同之隙缝’該隙缝最好係小 到足以防止電漿從隙缝滲透而擴散至彈性體68、靜電夾盤 請 先 閱 讀 背 \έι 之 注 意 事 項 i 訂 龜 第29頁 本紙張尺度適财關家轉(CNS ) Λ暖格(21GX297公幻 A7 B7 五、發明説明( 2邊緣及晶圓20内側。就如所知 覆蓋物之寬度將成為反廄言嚴+ 隙缝小於電漿 又將成為反應至壓力及其它因素之 件。 ·^戈·晋 在晶圓20缺少向下爽力之情況下,該彈 :將推動珍環6。向上而高於靜電爽盤52頂表面。因’:: 當一晶圓20第—次被放入反應室而放置於靜電夹盤w 時〜圓置攻於架高矽帛6〇之情況將高於置放 盤。如果在晶圓底表面及夫盤頂表面間之隙縫過大1 將無法產生足夠之靜電力於晶圓上以克服彈…8之彈 力,而如此將無法夾緊晶圓緊靠於夾盤之頂表面 這些問題,彈性I* 6 8播1古, 為預防 68將具有一梵到限制之向上延伸 ,電炎盤開啟之前來架高料6G及晶圓2()至—微小限制 f ’如果彈性體係具有_較弱之彈性係數或靜電爽盤較 強,則非夾緊晶圓所能容許之最大架高量將變得較大。在 本發明實施例中估計其非夾緊晶圓之架高量高於靜電夾 盤52上約0·003英吋至0.们0英吋之間(0.08mm至〇.25mm 間)。然而,當反應室係為一真空狀態時,其晶圓之架高 量係難以測量的。 經濟部中央標準局負工消費合作社印製 相反的,如果晶圓可藉由一機械夾具而夾緊於陽極之 效果更佳於利用一靜電夹盤,則其不需.要限制藉由彈性體 架高梦環之數量。 如果晶圓20係與陽極呈現電絕緣狀態,其將成為一 如若晶圓可藉由一具有介電脣52之靜電夾盤而固設於陽 極之特例,如此該矽環50或6〇將輿陽極成為電絕緣狀 第30頁 本紙張尺度it财關家石準(CNS ) Α4^Τ2ι〇χ297^^ A7 B7 經濟部中央標準局員工消費合作社印製 五、發明説明() 態。如果陽極係鋁化物,其後之電絕緣特性將可藉由在陽 極表面上陽極電鍍而被供給。如果晶圓係與陽極絕緣,但 卻與梦環不成絕緣狀態’糕接於陽極之$夕環所通過之阻抗 將低於晶圓所具有之阻抗,其結果將使過多之RF電源轉 向通過矽環而非晶圓。 本發明嘴試比較第3圖及第8A圖所示之不同電漿蝕 刻反應室實施例,在一矽晶圓上蝕刻二氧化矽薄膜反應過 程中,第8A圖設計之實施例中,其蝕刻率之良好空間均 勻性將比第3圖所示者好過30%。 第8B圖顯示出一具有非介電環6〇之實施例,其外形 相較於第8A圖所示簡易環形非介電環5〇之實施例更為複 雜。 第8B圖所顯示之實施例還有—牿s丨丨士丄+ t 1 符別乏功能在於矽環 6〇具有一階梯型向上表面。更明確而言,珍環6〇之凸起 向上表面64位於晶圓20之周邊外緣, 丹相對一位於晶圓 底侧之矽環部分6可2具有一輕微凸起 «砭^向上表面,該凸 起向上表面64上升之程度足以與晶圓之上表面…面 狀態,以致於可讓鄰近於晶圓周邊之電漿覆蓋物的非連續 區域可縮至最小態樣,因此,可得到—較好之製程 間均勻性而用於晶圓製程中。 工 為了更進一步減少電漿覆蓋物之 非連續區域,矽環之 外部分64可設計成一逐步微量減少夕择A ^ 厚度以重疊至介電 架高軸環30之内部分’也因此可從功 促矽環逐步轉換其電子 特性至架高軸環。 (請先閱讀背面之注意事項再本頁) —裝 木, 1T inm 5. Description of the invention (A7 B7 Printing effect and dwell time of the central f I cooperative in the Ministry of Economic Affairs "will further affect other process response parameters, such as selectivity in the etching process or rapid coverage in a deposition process The present invention will use RF coupling to cross the periphery of the workpiece to individually adjust the height of the inter-frame shaft mounting 30, which can provide more options to make full use of its response parameters. (The depletion effect and dwell time effect will be as follows It can be explained in the text) With the increase of two degrees, the exhaustion effect, dwell time effect, 1 episode effect, and plasma replacement effect will become more significant. And these effects will also be located in the elevated part inward The influence of the radial space s between the surface 32 and the periphery of the wafer. The aggregation effect can be expected to obtain the maximum value at the center of the space s (which must be obtained by experiments). As for the other three effects, it will be due to the increase in space S. Cumulative decrease. The design of another embodiment is shown in FIG. 5, and the non-dielectric protection ring 50 may be formed by combining a horizontal ring 56 similar to the ring 50 in FIG. 4 and placed on the upper side. There is a second non-dielectric ring 58 which is higher than the surface of the wafer 20 and has an inner surface and an outer surface which is 45 degrees from the horizontal plane and similar to the inner surface 32 of the elevated shield 30. The elevated non-dielectric The electric ring "will provide a physical limitation of the reaction space near the edge of the wafer, and its type is the same as the inner surface of the elevated dielectric ring 30 shown in Fig. 3. However, compared with Fig. 3 The designed dielectric housing 30, the first _ A shown in Figure 5-a non-dielectric ring 58 will cause a relatively small ττ and resistance between the RF power anode 22 and the plasma, so it can protect the high level of RF Through the thin inner dielectric ring designed as shown in Figure 4 through the use of different height non-dielectric rings with different radial widths J page 25 This paper applies Chinese National Standards (CNS) Λ4 Grid (210 × 297 public inspection) (please read the precautions on the back before ^ T this page) • φ (reloading and ordering line Α7 Β7 5. Description of the invention ( ) ~ 58, the space S can also independently change the width of the internal dielectric shield 38, so an additional design parameter can be provided to make full use of the semiconductor manufacturing process. Spatial uniformity. Figure 6 shows an embodiment different from that shown in Figure 5. In this embodiment, 'the axial height of its second non-dielectric ring 58 will be only one and a half of the elevated collar 30' Therefore, a physical limit of only half the amount of reaction space is also provided near the edge of the wafer. The second non-dielectric ring 58 as shown in Figures 5 and 6 will overlap the inner surface of the dielectric high collar 30 "32" is used to provide a gradual conversion of the resistance and acts as a radial arrangement, so that the plasma uniformity near the edge of the wafer can be achieved. Of course, the spatial uniformity of the semiconductor process can also be achieved. To reduce any discontinuities in the plasma cover, the radially outer portion of the second non-dielectric ring 5 8 will gradually shrink, and the gradually reduced thickness will overlap the inner portion 32 of the dielectric high collar 30, so Can provide its electronic characteristics from the silicon ring to the elevated collar. Other factors affecting the ideal impedance of the dielectric inner shield 38 are used in the reaction chamber to clamp the wafer 20 on the electrostatic chuck 52 of the anode 22 (as shown in Figure 3). If a dielectric is inserted in the electrostatic chuck on The anode and wafer of the Central Bureau of Standards of the Ministry of Economic Affairs of the January Industrial and Commercial Cooperative Cooperative Printing Room 'This is to insert a capacitive reactance between the anode and the plasma. In order to maintain a balance between the RF power coupled through the wafer and the power coupled through the thin inner dielectric ring 38, the impedance of the internal dielectric shield will gradually increase the proportion of the RF impedance of the electrostatic chuck. The impedance of the dielectric shield 38 will be proportional to its axial thickness D and separated by its radial width w, and inversely proportional to its dielectric constant. This paper size applies to China ’s SiYcNs 丨 printed by the Central Standards Bureau of the Ministry of Economic Affairs of the Consumer Standards Cooperative, printed by 401606, invention description () Because the resistance of the protection ring 50 will be much lower ^ resistance, the present invention predicts the axial production of the protection ring The thickness (the thickness of the RF power source connected between the anode and the electrical equipment) has a substantial &lt; effect on the wheel π θ, so the ion current split on the Yang Yuan has no real meaning ^. Private &lt; Political Fruit. In fact, the 'ring will have sufficient thickness to prevent online manipulation. It may be accidentally known as damage from the board, and of course, a sufficient thickness of the protection and the protection of the mounting can also resist the degree of substitution and corrosion. Narrow Example: A conventional dielectric shield 30 shown in Fig. 1 is compared with the present invention, as shown in Fig. 4, which includes a guard ring 50 and a modified dielectric shield 30 having a thin portion 38. This embodiment mainly uses a process of etching a silicon dioxide layer from a silicon wafer having a diameter of 200 mm in a magnetic plasma reaction chamber, as shown in FIGS. 9A and 9B. A DC power supply can provide 4 amps of power to two adjacent electromagnetic coils 71 and 74, so that its magnetic field can cover the center of the wafer about 30G, and the other two coils 72 73 will not be able to receive power. The reaction gas flow in this process will be composed of seemingly CHF3, 15 seemingly CH4, and 150 seemingly Ar, and the pressure and force of the reaction chamber will be 200 mT. The RF power supply will provide 1000 watts of 13.56 MHz power to the anode 22, and each crystal will be etched for 60 seconds. This will etch two to four thousand four hundred and four hundred angstroms of emulsified dreams on the wafer surface. As shown in Figure 11A and Figure 11B, a 45-in-24 circle will be used in the installation of an interference meter. Page 27 This paper applies the Chinese National Standard (CNS) A4 specification (210 × 297 mm) 401606 5 2. Description of the invention (A7 B7 is an isometric curve diagram showing different thicknesses on the wafer surface due to different etching thicknesses. The provincial curve represents the average rate, and the plus and minus signs are greater than or equal to Areas smaller than the average rate, and each room represents an increase in angstroms per minute (A / min). Changqu 4 The figure shown in Figure UA is a conventional result as shown in Figure i. It is located in the crystal The distance between the thickness or depth below the round edge is about 15 mm. The height of the shield from the outer edge of the wafer is about 15 mm. The figure nB shows the results of the embodiment of the present invention as shown in figure *. The improved dielectric shield 30 has a thin inner portion 3 with a radial width w of 14 mm and a thickness or depth D of 4 mm. Compared to the conventional design shown in FIG. 1, FIG. The dielectric shielding shown below will reduce the average deviation of the maximum and minimum rates. Negative deviation will be reduced from · 106〇A / min to · 85〇A / min, = Worst positive deviation will be reduced from + 125〇A / min. To + 〇〇〇〇A / min. 5. · 介 介The electric ring is connected to the Central Consumers Bureau of the Ministry of Wafer Economics, printed in Figure 8A and 8B, which is a schematic diagram of another embodiment of the process assembly for semiconductor workpiece circle 20 of the present invention. Connected around the non-dielectric rings 50 and 60; to ensure good electrical connectivity, the process assembly includes a spring or elastomer to maintain a uniform pressure between the wafer 20 and the non-dielectric ring 60. Figure 8A And in the embodiment shown in FIG. 8B, the elastic system is a 10-shaped ring, which has a fixed lower portion 66 and a movable and elastic lip seal 68 to maintain its upward pressure and abut. For the non-dielectric ring 50 or 60, and therefore the non-dielectric ring is tightly pressed against the crystal ___ page 28 This paper size applies the Chinese National Standard (CNS) Λ4 specification (210X297 male age) 401lQ〇6, Description of the Invention (Printed in Figure 8A by the Consumer Cooperatives of the Central Procurement Bureau of the Ministry of Economic Affairs, its non-intermediate. Yi 50 will be the same as the flute, those who — 'But the dielectric shield 30 in Fig. 3 is replaced by the design M and 68 in Fig. 8. In Fig. 8B, ** is 38, which will be replaced by the elastomer η. &Lt; · 1 In the embodiment, the long non-dielectric ring 60 It will be described in detail below. The special figures 8A and 8B used by Dan are shown in the following examples. Since Gandian is connected to the wafer, it is a non-dielectric mine, and its non-dielectric ring-type electric clothing. The effect will be extended into a head 'and thus become the + secret of the anode 22. It is the electrical &lt; electrical extension of the wafer. Because _ does not need the dielectric inner shield 38 to cover the current design points. The bottom and bottom of the ring are to cover the edge of the wafer 20 with plasma, A continuity is the most son-in-law, not; the composition of the I ring 50 or 60 is preferably the material of the terrestrial phase R on the wafer base. Negative ', especially if the workpiece 2 0 stop A is on the θ plane, θ system 4 circle 1 non-dielectric ring is better to dream. In the designs of Figures 8A and 8B, the coupling is through the silicon ring 50 or 60 RF power will be proportional to its radial width w, and through the silicon ring or 60 to extend to the workpiece 20 Outside the periphery, in addition, the rf power is also inversely proportional to the resistance of the silicon ring. Compared to the embodiment shown in Figs. 3 to 7, its power supply will be proportional to the width W of the internal dielectric shield 38. In order to prevent the elastic rings 66 and 68 from moving out of position when the wafer 20 moves, the fixed lower part of the elastic ring 66 is preferably appropriately placed between the dielectric shield $ 0 and the anode 20 because the silicon ring 50 or 60 It can move up and down freely, so it is best to have the same gap between the silicon ring and the dielectric shield 30. The gap is preferably small enough to prevent the plasma from penetrating through the gap and spreading to the elastic body 68. The electrostatic chuck first Read the notes on the back of the book. I order the turtle page 29 This paper size is suitable for wealth and family (CNS) Λ warm grid (21GX297 public magic A7 B7 V. Description of the invention (2 edges and the inside of the wafer 20. As you know The width of the covering will become strict and the gap is smaller than the plasma, and it will become a part that reflects pressure and other factors. ^ Ge · Jin In the case of the lack of downward force on the wafer 20, the bomb: will push Zhenhuan 6. It is upward and higher than the top surface of the electrostatic tray 52. Because ': When a wafer 20 is placed in the electrostatic chuck w for the first time into the reaction chamber, it is placed on a high silicon wafer 6 〇The situation will be higher than the tray. If there is a gap between the bottom surface of the wafer and the top surface of the tray If the seam is too large, it will not generate enough electrostatic force on the wafer to overcome the elastic force of the spring ... 8, and it will not be able to clamp the wafer against the top surface of the chuck. In order to prevent 68 from extending upwards with a limit to the limit, the 6G and wafer 2 () to be lifted up before the electro-inflammatory disk is turned on—a small limit f 'If the elastic system has a weaker elastic coefficient or an electrostatic refresh disk, Strong, the maximum allowable height of the non-clamped wafer will become larger. In the embodiment of the present invention, it is estimated that the height of the non-clamped wafer is higher than about 0.003 on the electrostatic chuck 52. Inch to 0. 0 inches (0.08mm to 0.25mm). However, when the reaction chamber is in a vacuum state, the height of the wafer is difficult to measure. Central Bureau of Standards, Ministry of Economic Affairs Conversely, the consumer cooperative prints. If the wafer can be clamped to the anode by a mechanical fixture, the effect is better than that of an electrostatic chuck. It is not necessary to limit the number of dream rings that are raised by the elastomer. If wafer 20 is electrically insulated from the anode, it will become as if the wafer can be There is a special case where the electrostatic chuck of the dielectric lip 52 is fixed to the anode, so that the silicon ring 50 or 60 will make the anode electrically insulating. Page 30 This paper standard Itaiguan family standard (CNS) Α4 ^ Τ2ι 〇χ297 ^^ A7 B7 Printed by the Consumer Cooperatives of the Central Standards Bureau of the Ministry of Economic Affairs. 5. Description of invention. If the anode is aluminide, the subsequent electrical insulation characteristics can be supplied by anodizing on the anode surface. If the wafer is insulated from the anode but not insulated from the dream ring, the impedance passed by the anode to the anode will be lower than the impedance of the wafer. As a result, too much RF power will be diverted to pass through the silicon. Ring instead of wafer. The nozzle test of the present invention compares the different plasma etching reaction chamber embodiments shown in FIG. 3 and FIG. 8A. During the reaction process of etching a silicon dioxide film on a silicon wafer, in the embodiment shown in FIG. 8A, the etching is performed. The good spatial uniformity of the rate will be 30% better than that shown in Figure 3. Fig. 8B shows an embodiment having a non-dielectric ring 60, and its appearance is more complicated than the embodiment of the simple ring-shaped non-dielectric ring 50 shown in Fig. 8A. The embodiment shown in FIG. 8B also has the following features: 丄 s 丨 丨 Shi 丄 + t 1 The special function is that the silicon ring 60 has a stepped upward surface. More specifically, the convex upward surface 64 of the jewel ring 60 is located at the outer periphery of the wafer 20, and the silicon ring portion 6 may have a slightly convex «upward surface» relative to a silicon ring portion 6 on the bottom side of the wafer. The elevation of the protrusion to the upper surface 64 is sufficient to be in a surface state with the upper surface of the wafer, so that the discontinuous area of the plasma cover adjacent to the periphery of the wafer can be reduced to a minimum state. Therefore, it can be obtained that— Better inter-process uniformity for wafer processes. In order to further reduce the discontinuous area of the plasma cover, the outer portion 64 of the silicon ring can be designed to gradually reduce the thickness of A ^ to overlap with the inner portion 30 of the high collar 30 of the dielectric frame. The silicon ring is gradually converted into an elevated collar. (Please read the notes on the back before this page)

、1T 腺- 第31頁 本紙張尺度適用中國國家標率(CNS ) A4C格(210X297公輪 401606 A7 B7 五、發明説明( 在本發明《測試中,第8B圖所示實施例所得到之姓 刻:間均勾性並未發現會比第8A圖所設計者更佳,因為 衣 非ΐ #易製造’ JL _ ;裒可翻轉使用而在開始被腐 蝕時延長其使用壽命,因此現階段之實際使用還是較常利 用第8Α圖之設計。 6.軸環或介電屏蔽之空間方位變化 增強電漿半導體製程之空間均勻性也許會因為製程 反應至構件之機械佈置或外形之非對稱或不均勻性而遭 致破壞知害。更明確而言,若工件係為一圓形半導體晶 圓,且如果製程反應室之構件相對於晶圓之軸向並非圓柱 形對稱,則其製程反應中將具有方位角之不均勻特性。而 本發明之另一目的,即是將這些方位角之不均勻性可藉由 將裱繞工件之一架高軸環及/或一介電屏蔽的相關空間方 位予以變化而得以彌補。 經濟部中央標準局員工消费合作社印製 第9Α圖及第9Β圖所顯示者即為一具有兩圓柱非對稱 源之習用製程反應室示意圖,這些反應室係為放大磁性反 應離子蝕刻(MERIE)反應室,其技術特徵可見於由Qian等 共同當發明人而所得到之美國專利號第5,534,1 08號的專 利說明書中。該反應室器壁12具有一圓柱形内表面及一 外表面’而其橫截面則是為八角形,環繞反應室器壁所佈 置者係為四個電磁線圈71、72、73、及74,以間隔方式 固設於八角形外表面上。一電源供應器(未顯示)可提供電 源至四個電磁線圈上以產生一磁場,而該磁場將可平行於 第32頁 本紙張尺度適用中國國家標準(CNS )八4规格(2丨OX297公溢) 五、發明説明( A7 137 經濟部中央標準局員工消費合作社印製 晶圓之表面以相對於晶圓之φ 中〜線而慢慢轉動該晶圓。 (第9A圖所顯示之陽極頂表 μ 上並沒有固設一晶圓 20’而基本上晶圓之平面將相成ν _ 册相备於陽極22頂表面之平 面)。藉由驅動電磁力之正交可诗κ , — 父了讓緩慢轉動之功效得以達 成,換言之’即是供給一低麵 -,、正旋乏電流至四個磁線圈 中,該正旋電流提供其中第一 、 、丁币及罘三線圈71、73者相對 於所提供第二及第四線圈72'7 者有90 &lt;反相位。 旋轉磁場之原因是為了謹β ' 選在晶圓上執行触刻製程中 可得到最大之方位對稱性。蚨品 # f热而,就如Qian專利中所提 到的一般,由於在接近每一雷磁^ a、 ^ ^ ^ ^ %中心線之均時磁場(如 在 0〇 、 90。 、 180〇 、及 270。堂 ' 次270 ♦万位)將低於接近鄰近於 電磁線圈邊緣處位置76之均時磁場(如在45。、ur 、225° 、及315。等方位),所以女p、此认a &quot; ;斤乂万位之非均勻性還是會被 維持。因此,在接近晶圓周邊(也就是9〇。倍數之方位位 置)之製程反應率將低於在45。奇數倍數方位位置之製程 反應率。 如第9A圖所顯示之反應室有另一方位非對稱性之原 因係來自於其為了讓裝載有一晶圓20之機械手臂79進出 反應室所設計之反應室隙縫或切口 78。我們發現由於為了 在晶圓作用區域上之化學反應所加入之製程反應氣體試 劑容量將可藉由製程反應氣體容量填塞於切口内而得到 有效之增加,因此,切口 78也可改變在接近切口處(換言 之,即是接近反應室90°方位位置)之晶圓作用區域的製 程特性。例如’在一晶圓上蚀刻一氮矽介電層之製程中所 第33頁 本紙張尺度適用中國國家標举(CNS ) Λ4規格(210X297公狰) 請 閱 讀 背 之 注 意 事 項 再 费 裴 玎 % A7 B7 五、發明説明( 彳用之⑽3及以4混合物將被當作是—㈣劑,而在接 =晶圓周邊之切口處(約等於9『彳位)將可得到—很高之 我們認為如此之方位非均勾性將可填充很多之氣 離子谷量以在那些位置76蝕刻介電層。 在本發明裡,在製程中所存在之I此方位非均勾性也 可藉由環繞該半導體晶圓或工件之架高掩蓋物、或軸環 及/或介電内屏蔽38之方位外形改變而得以改善,以 ^於了彌補因為反應室之非對稱性而導致之反應室方位 m。尤其是,介電内屏蔽38將可藉由其厚度或内 反:尺’大小《改變、或藉由架高軸環3〇a之高度或直徑 尺寸大小之改變以作為方位之改良。 例如,在第心圖至帛10E圖中,係顯示出一捲曲 (聰30掩蓋物❹環3Ga實施例示意圖,在此實施例中可 万位高度之改變。㈣環3〇a之高度可彌補因 二:.、.圏71-74在接近每一電磁線圏中心線處所產生之 電磁場力小於在鄰近電磁绐剧&amp; #〜 州巩电磁涑圈邊緣76所產生電磁 經濟部中央標準局貝工消費合作社印製 缺點。更明確而言,捲曲軸環…在⑽。(士 2〇。)倍數: :位處具有一南邵分81,而在45。(±2〇。)奇倍數:方位 處具有=…2。在本發明較佳實施例中,高低部分 82〈同度分別是9mm及5mm,捲曲軸環_ 邊於m〇。處有-平坦耗84,其可與陽極2 : 對應平坦部分相契合,以致於可得到轴環之方位排列。 我們比較上述捲曲輕環3Ga及—具有均勻高度之 抽環3G,所有之測試均是制如第9AW及第9B圖 第34頁 本纸依尺度剌t關家標準( 401Θ06 五、發明説明() ~—' — 顯示之MERIE反應室中進行,且具有四個電磁線圈來達 成正交效果,每一個測試工件2〇皆是為—2〇〇mm直徑尺 寸之矽晶圓,可位於一可藉由習用熱化學氣相沉積製程中 所沉積二氧化矽層上,不管係習用軸環或是捲曲軸環皆具 有一 203mm之尺寸大小,而該測試主要係測量在蝕刻氧 化層之製私中所得到之空間均勻性,該蝕刻製程之環境是 50SCCm 之 CHF3、i〇sccm 之 CF4、15〇sccm 之 Ar、25〇mT〇n 又反應罜壓力、1000瓦之RF電源、及5〇高斯之磁場強 度。在一測試中,其取樣樣本蝕刻率係以225點均勻分佈 在晶圓表面,其測試結果就習用軸環而言,蝕刻率之 one-sigma空間均勻性係為21%,而就本發明之捲曲軸環 而言係為1.5%,其改善度為28%。在另一組測試中,其 蝕刻之取樣蝕刻率係以17點位於接近晶圓周邊之不同方 位,其測試結果就習用軸環而言,蝕刻率之〇ne_sigma空 間均勻性係為8.2%,而就本發明之捲取軸環而言係為4.3 %,其改善度為47%。 經濟部中央標翠局貝工消費合作社印製 對習用軸環3 0而言,其空間非均勻性會如此高,主 要係因為其蝕刻率在90»之倍數方位上相對較低,其磁場 較弱,而本發明之捲曲軸環3 0a可改善蝕刻率之空間均勻 性,王要係因為其高度在9〇。之倍數方位上最高,因此可 增加运些磁場最弱區域之蝕刻率。本發明確信捲曲軸環 30a最鬲位置之蝕刻率增加係因為架高軸環内表面32之聚 集效果,以致於可將擴散帶電粒子36趨向晶圓2〇所致, 如第3圖所示。因此,本發明確信如果内表面32可形成 ___ 第35頁 本紙張尺度適财_家標準(CNS------ 五 經濟部中央標準局員工消費合作社印製 A7 B7 發明説明( 與工件平面成接近135。之態樣,其摇 , 〜依具捲曲軸環最高部分81 刻率也可得到最大值’就如第3圖所示,而在下文中 將對此做更詳盡之敘述。 在一些半導體製程中,增加軸環之高度也可能得到如 上所述二氧切钮刻製程之反效果。更明確而言,增加轴 广度也可能因為下文所述之兩過程而減少其製程反 應率:-是阻礙製程反應氣體從軸環内徑之外側區域移向 工件處(即是陰影效果或耗竭效果),二是推動電漿轴向上 升而遠離工件。再者,增加軸環高度也就是增加如前所述 义駐存時間效果’也可能因為製程之不同而增加或減少其 製程反應率。因此’當要應用該捲曲環於新的製程時,其 ‘、、肩審輿的來測量其環繞工件周邊之製程反應率數量(依 據其製程之姓刻率或沉積率),以決定是否係增加或減少 鄰近捲曲環高部分81(或低部分82)之製程反應率。 在I程中,例如二氧化矽蝕刻製程中,其聚集效果 將运優於禁閉效果,且確信如果軸環高度進一步增加的 忐則其禁閉效果亦會漸行顯著。更明確而言,本發明預 期右軸%向度增加至某一中心點時,則因為禁閉效果所減 /之製程反應率將超過因為聚集效果所增加之製程反應 率。再者,本發明確信先前所討論增加在接近切口 78處 製釦反應率之解決方法也可用來解決架高軸環問題,术其 疋在鄰近切口處之架高軸環高度問題,以致於該架高軸環 可阻礙試劑在切口及工件之間移動。 另一可在架高軸環高度下提供方位改變之方法是在 第36頁 本紙張尺舰财s ( CNS )1T gland-page 31 This paper scale applies to China National Standards (CNS) A4C grid (210X297 male round 401606 A7 B7) V. Description of the invention (in the test of the invention, the surname obtained in the embodiment shown in Figure 8B Moment: The uniformity of time is not found to be better than the designer in Figure 8A, because the clothing is not easy to use # '制造' JL _; 翻转 can be turned over and used to extend its service life when it begins to be corroded. The actual use is still more commonly used in the design of Figure 8A. 6. The spatial orientation change of the collar or dielectric shield enhances the spatial uniformity of the plasma semiconductor process. The process may reflect the mechanical arrangement of the component or the asymmetry or asymmetry of the shape. Damage is harmed by uniformity. More specifically, if the workpiece is a circular semiconductor wafer, and if the components of the process reaction chamber are not cylindrically symmetrical with respect to the axial direction of the wafer, the process reaction will It has non-uniformity of azimuth angle. Another object of the present invention is to make the non-uniformity of these azimuth angles possible by mounting a high collar and / or a dielectric shielding related space orientation around the workpiece. Give The changes are made up. Figures 9A and 9B printed by the Consumer Cooperatives of the Central Bureau of Standards of the Ministry of Economics are a schematic diagram of a conventional process reaction chamber with two cylindrical asymmetric sources. These reaction chambers are amplified magnetic reaction ions. Etching (MERIE) reaction chamber, the technical characteristics of which can be found in the patent specification of US Patent No. 5,534,1 08 obtained by Qian and other co-inventors. The reaction chamber wall 12 has a cylindrical inner surface and An external surface 'and its cross section is octagonal, and four electromagnetic coils 71, 72, 73, and 74 are arranged around the wall of the reaction chamber, and are fixed on the octagonal external surface in a spaced manner. The power supply (not shown) can provide power to four electromagnetic coils to generate a magnetic field, and the magnetic field will be parallel to page 32. This paper standard applies to China National Standard (CNS) 8-4 specifications (2 丨 OX297) 5. Description of the invention (A7 137 The surface of the printed wafer printed by the staff consumer cooperative of the Central Standards Bureau of the Ministry of Economic Affairs is slowly rotating the wafer relative to the φ centerline of the wafer. (Shown in Figure 9A) A wafer 20 ′ is not fixed on the anode top surface μ and basically the plane of the wafer will form ν _ (the phase is prepared on the plane of the top surface of the anode 22). By driving the orthogonality of the electromagnetic force, poem κ, — The effect of slow rotation can be achieved, in other words, 'is to supply a low surface-, the forward spin current to the four magnetic coils, the forward spin current provides the first, three, and three coins 71 , 73 are 90 &lt; inverse phase with respect to the second and fourth coils 72'7 provided. The reason for the rotating magnetic field is to obtain the largest azimuth symmetry when performing the touch-etching process on the wafer.蚨 品 # f is hot, as mentioned in the Qian patent, due to the average magnetic field near the centerline of each lightning magnetic field ^ a, ^ ^ ^ ^% (such as at 0, 90. , 180〇, and 270. Tang 'times 270 万 million) will be lower than the average magnetic field near position 76 near the edge of the electromagnetic coil (such as at 45 °, ur, 225 °, and 315. etc.), so female p, this recognition a &quot; The non-uniformity of thousands of thousands will still be maintained. Therefore, the process response rate near the periphery of the wafer (that is, the azimuth position at a multiple of 90) will be lower than 45. Odd multiple process position response rate. The reason why the reaction chamber has another azimuth asymmetry as shown in FIG. 9A is due to a reaction chamber gap or cutout 78 designed to allow a robot arm 79 carrying a wafer 20 to enter and exit the reaction chamber. We have found that because the reagent gas capacity of the process reaction gas added for the chemical reaction on the wafer's active area can be effectively increased by filling the process reaction gas capacity into the cutout, the cutout 78 can also be changed near the cutout. (In other words, it is close to the 90 ° azimuth position of the reaction chamber). For example, in the process of etching a silicon nitride dielectric layer on a wafer, page 33. The paper size is applicable to the Chinese National Standards (CNS) Λ4 specification (210X297 cm). Please read the precautions below and pay a fee.% A7 B7 V. Description of the invention (The mixture of ⑽3 and 44 used will be regarded as-tinctures, and will be available at the cutouts around the wafer (equal to 9 "彳")-very high us It is thought that such azimuthal non-uniformity will fill a lot of valleys of gas ions to etch the dielectric layer at those positions 76. In the present invention, the non-uniform non-uniformity of orientation can also be surrounded by The azimuth shape of the elevated cover of the semiconductor wafer or the workpiece, or the collar and / or the dielectric inner shield 38 is changed to improve the orientation of the reaction chamber due to the asymmetry of the reaction chamber. In particular, the dielectric inner shield 38 can be modified by its thickness or internal reflection: ruler's size, or by changing the height or diameter of the elevated collar 30a as an improvement in orientation. For example , In the heart chart to 帛 10E, it shows A curling (Sat30 cover 3G ring embodiment diagram of the embodiment, in this embodiment can change the height of the tens of thousands. The height of the ring 30a can make up for the second:.,. 71-74 are close to each The electromagnetic field force generated at the center line of the electromagnetic line is smaller than the shortcomings printed by the Shell Standard Consumer Cooperative of the Ministry of Electromagnetic Economics produced near the edge of the electromagnetic opera &amp;# 〜 州 巩 磁 涑 圈圈 76. More specifically, the curled shaft The ring ... at ⑽. (Shi 20). Multiples:: has a Nan Shaofen 81 at the position, and at 45. (± 20.) Odd multiples: = ... at the azimuth. In the preferred embodiment of the present invention The middle and high part 82 (the same degree is 9mm and 5mm respectively, the curled collar _ is at m0. There is-flat consumption 84, which can be matched with the anode 2: corresponding flat part, so that the orientation of the collar can be obtained We compare the curled light ring 3Ga and 3G with a uniform height. All tests are made as shown in Figure 9AW and Figure 9B. Page 34 This paper is based on the standard 剌 t standard (401Θ06 V. Description of the invention () ~ — '— Displayed in the MERIE reaction chamber and has four electromagnetic wires To achieve the orthogonal effect, each test workpiece 20 is a silicon wafer with a diameter of -200mm, which can be located on a silicon dioxide layer that can be deposited by a conventional thermal chemical vapor deposition process, regardless of Both the conventional collar and the coiled collar have a size of 203mm, and the test mainly measures the spatial uniformity obtained in the etching of the oxide layer. The environment of the etching process is 50SCCm of CHF3, i. The CF4 of sccm, the Ar of 15 sccm, and the 25mTon reflect the krypton pressure, the RF power of 1000 watts, and the magnetic field strength of 50 Gauss. In one test, the etching rate of the sample samples was evenly distributed at 225 points. On the wafer surface, the test result is that the conventional one-sigma spatial uniformity of the etching rate is 21%, and that of the coiled collar of the present invention is 1.5%, and the improvement is 28%. . In another set of tests, the sampling etch rate of the etch was 17 points at different positions close to the periphery of the wafer. The test result is that for conventional collars, the spatial uniformity of the etch rate is 8.2%, and The winding collar of the present invention is 4.3%, and the improvement is 47%. For the customary collar 30, the space non-uniformity will be so high for the conventional collar 30 of the Ministry of Economic Affairs, which is mainly because the etching rate is relatively low in multiples of 90 », and its magnetic field is relatively low. Weak, and the coiled collar 30a of the present invention can improve the spatial uniformity of the etching rate, because the height is 90. The multiples are the highest in azimuth, so the etch rate of the weakest areas of these magnetic fields can be increased. According to the present invention, it is believed that the increase in the etching rate at the highest position of the coiled collar 30a is due to the accumulation effect of the inner surface 32 of the elevated collar, so that the diffused charged particles 36 can be directed to the wafer 20, as shown in FIG. Therefore, the present invention is convinced that if the inner surface 32 can be formed ___ page 35 this paper size is suitable for wealth _ home standard (CNS ------ printed by the Consumers Cooperative of the Central Standards Bureau of the Five Ministry of Economic Affairs A7 B7 invention description (and workpiece The plane is close to 135. In its state, its shaking, ~ 81 can be obtained based on the highest engraving rate of the highest part of the curled collar, as shown in Figure 3, and this will be described in more detail below. In some semiconductor processes, increasing the height of the collar may also have the opposite effect of the dioxo button engraving process described above. More specifically, increasing the width of the shaft may also reduce its process response rate due to the two processes described below: -It is to prevent the reaction gas from moving from the outer area of the inner diameter of the collar to the workpiece (that is, the shadow effect or depletion effect), and the second is to push the plasma to rise axially away from the workpiece. Furthermore, increasing the height of the collar means increasing As mentioned earlier, the dwell time effect may also increase or decrease the process response rate due to the difference in the process. Therefore, when the curling ring is to be applied to a new process, its measurement is to be measured by shoulder to shoulder. The number of process response rates around the periphery of the workpiece (depending on the engraving rate or deposition rate of its process name) to determine whether to increase or decrease the process response rate adjacent to the high portion 81 (or low portion 82) of the coiled ring. In the I process, For example, in the silicon dioxide etching process, the aggregation effect will be better than the confinement effect, and it is believed that the confinement effect will gradually become significant if the height of the collar is further increased. More specifically, the present invention expects the right axis to When the degree is increased to a center point, the process response rate reduced / contained by the confinement effect will exceed the process response rate increased by the aggregation effect. Furthermore, the present invention is convinced that the previously discussed increase of the buckle response near the incision 78 The resolution method can also be used to solve the problem of elevated collars. The problem is that the height of the elevated collars adjacent to the incision is so high that the elevated collars can prevent the reagent from moving between the incision and the workpiece. The way to provide azimuth changes at elevated collar heights is on page 36 of this paper ruler (CNS)

A7 I--___B7________ 五、發明説明() —一 軸環架高部分之内徑上以提供方位改變,換言之,改變工 件2 0周邊及轴環架高部分内表面3 2間之隙缝大小’減少 其内徑(也就是減少其隙缝大小)一般將可改變如增加軸環 高度等相同方式之製程反應率。 還有另一種方式可用來彌補製程反應率中之方位改 變’其主要係在環繞工件周邊之一介電内屏蔽38上的軸 向厚度D或徑向寬度賈上提供相對應之方位改變。若在 反應S:非均勻性或非對稱性方位上欲設法減少其反應 率’介電内屏蔽38將是更細薄或是更寬廣以致於可從陽 極22輕接更多之RF電源至電漿中。反之,若在反應室非 均勻性或非對稱性方位上欲設法增加其反應率,介電内屏 蔽3 8將是更粗厚或是更窄小以致於可從陽極22耦接更少 之RF電源至電漿中。所增加之RF電源將可耦接通過介電 内屏蔽38之細薄部分以在該方位位置上增加電漿之密 度’也因此可在該方位位置上增加其相對應之反應率。因 此’在製程反應率中之方位非均勻性或其它製程之變數將 可藉由介電内屏蔽38之製造而得以控制,以致於可產生 彌補方位變化之效果。 經漪部中央標準局貝工消費合作社印^ 例如’如第9A圖所示之製程反應室也可因為切口 7 8 在鄰近晶圓20區域上提供一更大之試劑容量空間而被製 造出—方位非均勻性,因而可在這些晶圓作用面積上增加 其反應率。該非均勻性亦可藉由一環形介電内屏蔽環 繞晶圓周邊而得以改善,該環形内介電屏蔽38在接近切 口 78處之厚度將大於在其它方位位置上之厚度。 -___第37 頁 本紙張尺度適用中國國家標隼(CNS )八4規格(210X297公釐-----~-------- 經濟部中央標隼局員工消費合作社印製A7 I --___ B7________ V. Description of the invention ()-The inner diameter of the elevated part of a collar provides orientation change, in other words, changing the size of the gap between the periphery of the workpiece 20 and the inner surface of the elevated part 3 of the collar 'reduces its The inner diameter (that is, reducing the gap size) will generally change the process response rate in the same way as increasing the collar height. There is another way to compensate for the azimuth change in the process response rate ', which is mainly to provide a corresponding azimuth change in the axial thickness D or radial width on a dielectric inner shield 38 surrounding the periphery of the workpiece. If you want to try to reduce the response rate in the direction of response S: non-uniformity or asymmetry, the dielectric inner shield 38 will be thinner or wider so that more RF power can be lightly connected to the anode 22 from the anode 22 In the pulp. Conversely, if one tries to increase the response rate in the non-uniform or asymmetric orientation of the reaction chamber, the dielectric inner shield 38 will be thicker or narrower so that less RF can be coupled from the anode 22 Power into the plasma. The increased RF power supply can be coupled through the thin portion of the dielectric inner shield 38 to increase the density of the plasma at the azimuth position 'and therefore increase its corresponding response rate at the azimuth position. Therefore, the azimuth non-uniformity in the process response rate or other process variables will be controlled by the manufacture of the dielectric inner shield 38, so that the effect of compensating for the azimuth change can be produced. Printed by the Central Bureau of Standards of the Ministry of Economics and Industry of China, such as' The process reaction chamber shown in Figure 9A can also be manufactured because the notch 7 8 provides a larger reagent capacity space adjacent to the 20 area of the wafer— The azimuthal non-uniformity can increase the response rate of these wafers. The non-uniformity can also be improved by surrounding the wafer periphery with a ring-shaped dielectric inner shield. The thickness of the ring-shaped inner dielectric shield 38 near the cutout 78 will be greater than that at other azimuth locations. -___ Page 37 This paper size is applicable to China National Standards (CNS) 8-4 specifications (210X297 mm) ----- ~ -------- Printed by the Staff Consumer Cooperative of the Central Bureau of Standards, Ministry of Economic Affairs

A7 B7 五、發明説明( 度,以致於讓離予(如第3圖所示之箭頭34)將撞擊且内表 面32,致使帶電離子(如箭頭36所示)可侧向擴教至晶圓 之中心軸線處,其向下擴散之情形亦比第!圖之習用介· 軸環30更佳’内表面32更加明顯的垂直擺設,因此,本 發明之擴散離子將可晶圓表面上分佈更廣泛之區域,其在 晶圓邊緣處所得到之聚集情形亦比習用介電軸環更佳i 更進步的是,就如前所述角度之擺放將降低在接近工 件邊緣20處之製程組件部分腐蝕情形。舉例而言,製程 組件有料降低腐蝕之部&amp;可為是如&quot;目㈣Μ圖: 示實施例之非介電環5〇’及如“B圖所示實施例之非介 電環60。再者’由於角度之擺放將使得離子密度之過多部 分接近工件之周邊,所以也可改善電漿製程之”非均勾 性。 經濟部中央標準局貞工消费合作社印製 雖然在相對於晶圓表面為135。時,因為可使得帶電 粒子之水平擴散得到最大值而得到—理想狀況,但為了可 讓增強電漿製程之空間均勾性得到最有效之利用,因此該 角度將可因為實際之狀況而選擇不同之擺放角度。更特= 的是,當晶圓周邊與掩蔽物内表面32間之隙缝很小時, 亦可選擇一很大之垂直角度(換言之,接近9(r 就如第5圖及第7圖所示,架高軸環面向工件2〇之 暴露内向表面也可為是一非介電環5〇,此比選用一介電屏 蔽30還佳。為了讓鄰近工件2〇邊緣之#介電環56(= 5 圖)或50(第7圖)的暴露部分腐蝕情形可降至最小值,非 介電環内向表面59最好也可具有如前所述—般相對於工 第39頁 ‘紙張尺度適用中國國家標準(CNS ) 規格(210Χ297ϋ 401608 A7 B7 五、發明説明( 件表面之角度。就如第6圖所述,架高轴環或掩蔽物之暴 露内向表面也可包括有一架高介電屏㉟之暴露表面η 及-非介電環50之暴露表面59。在此實施例中,此兩個 暴露内向表面32、59最好也可具有如前所述之角度。 8.其它 在說明書&amp;申請專利i園中所有參考之方肖,如&quot;較 高處”、”較低處&quot;、&quot;上側|,、及&quot;底側&quot;不過是意指這些部分 相對於其它構件之位Ϊ,而並非意指這些#分與地心引力 之相對位置,地球重力之方向與本發明並無關。舉例而 言’所描述之設計實施例中,也可為了向下面向半導體晶 圓之製程而反轉’也就是說在專㈣明書及中請專利範圍 中所描述之&quot;較高處|,及&quot;較低處”,但在實際上卻分別係與 地球重力成”向下”及&quot;向上&quot;之方向。 當本發明中所陳述第一構件園繞或環繞第二構件之 周邊時’如介電屏蔽之外側部分圍繞保護環之周邊,或保 ,環之外側部分圍繞工件之周邊時,必沒有意指這兩構件 經濟、郅中央標準局貝工消費合作社印製 是共面之意。舉例而言’本發明在第3圖中所描述保雄環 5〇之外側部分固繞晶圓20之周邊,該保護環係位於:圓 〈平面底下’再者’本發明並沒有意指所謂的圍繞係侷限 為圓形之意。 本發明在定義園繞或環繞時,更傾向於是指—包括有 -外側部分之第—構件的徑向位置係位於第二構件之周 邊外緣或超過地方。第二種定制繞切繞之方法在於, 本纸張尺度 (210X297-公犮) 4〇16〇6 五、 發明説明( Μ Β7 當第二構件實質係處於二位元表西上,第一構件外侧部分 、突出ρ刀頂表面係環繞第二構件周邊之突出部分頂表 弟種&amp;義園繞或環繞之方法在於,第二構件具有 一對稱轴時,第-構件外側部分之突出部分有-表面係平 2該對稱軸而環繞第二構件周邊之突出部分頂表面。這些 疋義方式皆有一共同之特徵即在於其工件本質上係平扭 的。如果該工件並非平坦的,則這些定義方式會更依賴: 工件之幾何形狀。 ^ 本發明裡所使用之環這個字眼主要係描 J固繞 —軸之物體,但其並不需要一定是圓對稱。 經濟部中央標準局貝工消費合作社印製 第41頁 _本紙張尺度適用中國國家標準(CNS )八4_規格(210X297公¥~ΓA7 B7 V. Description of the invention (degrees, so that the ion (as shown by arrow 34 in Figure 3) will hit and the inner surface 32, so that the charged ions (as shown by arrow 36) can be laterally expanded to the wafer At the center axis, the downward diffusion situation is also better than that in the figure! The collar 30 is better. The inner surface 32 is more obvious in vertical arrangement. Therefore, the diffusion ions of the present invention can be distributed more on the wafer surface. For a wide area, the gathering situation at the edge of the wafer is also better than that of the conventional dielectric collar. More advanced is that, as mentioned above, the placement of the angle will reduce the part of the process component near the edge of the workpiece 20 Corrosion situation. For example, the part of the process component that reduces corrosion &amp; may be as shown in the following figure: The non-dielectric ring 50 ′ of the embodiment and the non-dielectric of the embodiment shown in FIG. B Ring 60. Furthermore, because the placement of the angle will make too much of the ion density close to the periphery of the workpiece, it can also improve the "non-uniformity" of the plasma process. Printed by the Zhengong Consumer Cooperative of the Central Standards Bureau of the Ministry of Economic Affairs Relative to the wafer surface is 135 °. It is obtained in order to obtain the maximum value of the horizontal diffusion of charged particles-ideal condition, but in order to maximize the space uniformity of the plasma process, the angle can be chosen according to the actual situation. More specifically = when the gap between the periphery of the wafer and the inner surface 32 of the mask is small, a large vertical angle can also be selected (in other words, close to 9 (r is as shown in Figures 5 and 7) As shown, the exposed inward surface of the elevated collar facing the workpiece 20 may also be a non-dielectric ring 50, which is better than using a dielectric shield 30. In order to make the #dielectric ring adjacent to the edge of the workpiece 20 56 (= 5 pictures) or 50 (picture 7) of the exposed part of the corrosion can be reduced to a minimum, the non-dielectric ring inward facing surface 59 preferably also has the same as previously described-as opposed to the 39th page of the paper The dimensions are in accordance with Chinese National Standards (CNS) specifications (210 × 297ϋ 401608 A7 B7) 5. Description of the invention (the angle of the surface of the piece. As shown in Figure 6, the exposed inward facing surface of the elevated collar or cover may also include a high medium Exposed surface η and -non-dielectric 50。 Exposed surface 59. In this embodiment, the two exposed inwardly facing surfaces 32, 59 may preferably also have the angle as described above. 8. Other references in the specification & application patent park , Such as &quot; higher &quot;, &quot; lower &quot;, &quot; upper side |, &quot; and &quot; bottom side &quot; mean only the position of these parts relative to other components, not these The relative position with respect to gravity and the direction of the earth ’s gravity are not relevant to the present invention. For example, in the design embodiment described, it may also be reversed to face down the semiconductor wafer process. The "higher areas |" and "lower areas" described in the Ming Ming Book and the Chinese Patent Application, but in fact, they are "downward" and "upward" directions with the gravity of the earth, respectively. . When the first component circled around or around the periphery of the second component stated in the present invention, 'if the outer part of the dielectric shield surrounds the periphery of the protective ring, or when the outer part of the ring surrounds the periphery of the workpiece, there must be no meaning The two components of the economy, the Central Bureau of Standards, Shellfish Consumer Cooperatives, are co-planar. For example, the present invention described in FIG. 3 describes that the outer part of the Baoxiong ring 50 is fixed around the periphery of the wafer 20, and the protection ring is located at: circle <under the plane, and further, the present invention does not mean the so-called The meaning around the system is circular. When the present invention defines a circle or a circle, it is more inclined to mean that the radial position of the first member, including the outer part, is located at the outer edge of the second member or beyond. The second method of custom cutting and cutting is that the paper size (210X297-male) 4〇16〇6. Description of the invention (ΜΒ7) When the second component is essentially on the west of the two-dimensional table, the first component The outer part, the protruding surface of the blade, is the protruding part of the second member that surrounds the periphery of the second member. The method of winding or surrounding the cousin is that when the second member has an axis of symmetry, the protruding part of the outer part of the first member has -The surface is flat 2. The axis of symmetry surrounds the top surface of the protruding part around the periphery of the second member. All these methods have a common feature in that the workpiece is essentially twisted. If the workpiece is not flat, these definitions The method will be more dependent on: the geometry of the workpiece. ^ The word ring used in the present invention mainly describes the object that is fixed around the axis, but it does not need to be circularly symmetrical. Printed on page 41 _ This paper size applies to Chinese National Standards (CNS) 8 4_ Specifications (210X297 cm ¥ ~ Γ

Claims (1)

AS B8 C8 D8 /&gt;V. Jjw ζ:ϊ 申請專利範圍 1 · 一使用於在 包括: 工件上半導體製程之電漿反應宣,其 至少 部 八有上表面之陽.極,其面向於反應室之一内 區域; 用以夹緊該工件之夾盤, 以致於該工件佔有 件區域平行且直接位於陽極之上表面上; 一屏蔽’由介電物質組成而環繞該工件區域周邊· 工 及 电屏蔽之至 經濟部中夬標隼局員工消費合作社印製 一環’由非介電物質組成且橫跨於該介 少一部分。 2_如申請專利範圍第1項所述之反應室,其中該非介電環 橫跨於該介電屏蔽之一徑向内部分。 3. 如申請專利範圍第1項所述之反應室,其更包括右—、 ^ —位 於非介電環與陽極間之介電層。 4. 如申請專利範圍第3項所述之反應室,其中: 該位於非介電環與陽極間之介電層包括有一氧物質 塗抹於該陽極之表面。 5. 如申請專利範圍第3項所述之反應室’其中: 該非介電環係被固設的以致於與陽極間存在一空間 距離,因此在環及陽極間存在有一隙缝;及 ------- —__ ψ/,ο-ΈΙ- 本紙張尺度適用中國國家操準(CNS ) A*規格(210Χ297公釐) _娜 A8 B8 C8 D8 六、申請專利範圍 在非介電環與陽極間所存在之介電層包括有該隙 缝。 (請先閱讀背面之注意事項再填寫本頁) 6. 如申請專利範圍第1項所述之反應室,其中該非介電物 質係為一金屬。 7. 如申請專利範圍第1項所述之反應室,其中該非介電物 質係為一半導體。 8. 如申請專利範圍第7項所述之反應室,其中該非介電物 質係為一矽物質,且該工件亦包括有矽物質。 9. 如申請專利範圍第1項所述之反應室,其中: 該陽極之上表面具有一外側部分徑向延伸超過工件 區域之周邊;及 該屏蔽包括有一介電物質層而位於陽極上表面之外 侧部分上。 經濟部中央標隼局負工消費合作社印製 1 0.如申請專利範圍第1項所述之反應室,其中: 該陽極之上表面具有一外侧部分徑向延伸超過工件 區域之周邊;及 該屏蔽包括有一環形,且高於陽極上表面之外側部 分。 -第.43H-;_ 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 4〇16〇6 A8 B8 C8 D8 申請專利範圍 h如申請專利範圍第丨項所述之反應宣,其中該非介電物 質在半導體製程中之抗腐蝕性相較於介電物質之抗腐 蝕性還佳。 u·—使用於在—工件上半導體製程之電漿反應室,其在該 反應室之内部區域中產生一電漿,其至少包括有: 一 RF電源供應器; 一連接於該RF電源供應器之陽極,且具有一上表面 面向反應室之内部區域; 一失緊該工件之夾盤’以致於該工件佔有—工件區 域平行且直接位於陽極之上表面上,其中該陽極之上表 面具有_一周邊環繞工件區域之周邊,而該工件係以其厚 度為其特徵;及 一由介電物質所成之屏蔽’橫跨於陽極上表面之一 邵分,而位於工件區域周邊之外緣; 其中該介電屏蔽係細薄至足以從陽極輕接電源 至電漿。 經濟部中央標準局員工消費合作社印裝 13.如申請專利範圍第12項所述之電漿反應室,其中該介 電屏蔽係細薄至足以使得RF電源從陽極耦接於電锻 處,且足以造成該電漿具有一覆蓋物位於陽極之上表面 上’並徑向延伸向外超過該介電屏蔽。 該 14. 一使用於在一工件上半導體製程之電漿反應室,其在 本紙張尺度適用中國國家梯準(CNS ) A4規格(210 X 297公鼇) 401606 A8 Βδ C8 D8 、申請專利範圍 經濟部中央標準局員工消費合作社印裝 反應室^内部區域中產生一電漿,其至少包括有: 提供—RF頻率電壓之RF電源供應器; 一連接於該RF電源供應器之陽極,其具有一上表面 面向於反應室之内部區域; 一夾緊孩工件之夾盤,以致於該工件佔有一工件區 域平仃且直接位於陽椏之上表面上,其中該陽極之上表 面具有一周邊環繞工件區域之周邊,而該工件係以其厚 度為其特徵;及 一由介電物質所組成之屏蔽,橫跨於陽極上表面之 一部分’而位於工件區域周邊之外緣,其中 °〉电屏蔽係包括有一徑向.内部分及一環繞該内部 分之徑向外部分;及 該内部分具有一因應該RF頻率之電阻,低於該外部 分相對於一因應該RF頻率之電阻。 iS.—使用於在一工件上半導體製程之電漿反應室,其在該 反應室之内部區域中產生一電漿,其至少包括有: 一具有一上表面之陽極面向於反應室之内部區域; 一夾緊該工件之夾盤,以致於該工件佔有一工件區 域平行且直接位於陽極之上表面上,其中該陽極之上表 面具有一周邊環繞工件區域之周邊,而該工件係以其厚 度為其特徵;及 一由介電物質所組成之屏蔽,橫跨於陽極上表面之 一部分’而位於工件區域周邊之外緣,其中 1SA51L 本紙浪尺度適用中國國家標隼(CNS ) A4規格(210X297公釐) 請 先 閣 © 之 注 意〜 事― 項 再-_ 填-黪 頁 裝 訂 線 經濟部中央標準局員工消費合作社印製 loiaoe A8 B8 C8 D8 六、申請專利範圍 該介電屏蔽係包括有一徑向内部分及一環繞該内部 分之徑向外部分;及 該徑向内部分之軸向是細薄於該外部分。 1 6.如申請專利範圍第1 5項所述之反應室,其中該介電屏 蔽之外部分包括有一上部分延伸於工件區域上,且具有 一徑向内部分相對於該工件區域而擺設一角度,介於 _ __Π ϋ度至 i-45度間。 17. 如申請專利範圍第15項所述之反應室,其更包括有: 一非介電環,橫跨於介電屏蔽内部分之至少一部 分;及 其中該介電屏蔽及非介電環則分別具有一頂表面, 南於工件區域。 18. 如申請專利範圍第17項所述之反應室,其中該介電屏 蔽及非介電環則分別具有一頂表面,其高度接近於工件 區域以上之高度。 19. 如申請專利範圍第17項所述之反應室,其中該介電屏 蔽包括有一上部分,其延伸而高於非介電環在工件區域 以上之高度。 2 0.如申請專利範圍第1 7項所述之反應室,其中該非介電 _第46貰_ 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公嫠) (請先閎讀背面之注意事項再填頁) 裝. 、1Ti 線_ 4〇1θ〇6 A8 B8 C8 D8 a、申請專利範圍 環及介電屏蔽之外側部分分別具有一上部八 1刀,延伸 件區域以上’且其具有一徑向内表面,相對说 是 町玲工件序 擺設一角度,其度數在110度至145度範圍内。遷 織 2 1.如申請專利範園第1 7項所述之反應室,其中. 一非介電環之外側部分,重疊於該介電屏蔽、 分;及 該非介電環之外侧部分具有一逐漸縮小之厚&gt;、 減至其周邊。 &amp; % 22·—使用於在一工件上半導體製程之電漿反應室,其 係包括有: 少 ----------装— (請先閲讀背面之注t事項再、填@頁J 經濟部中央標準局員工消费合作社印裝 一具有一上表面之陽極’其面向於反應室之〜 區域; 一夾緊該工件之夾盤,以致於該工件佔有一之 域平行且直接位於陽極之上表面上;及 一非介電環,延伸超過工件區域之周邊,其中 該非介電環在當工件藉由夾盤而被夾緊於工件$ 時,被擺設成與工件電觸接;及 該非介電環係與陽極成絕緣態樣者。 内部 23 ·如申請專利範圍第22項所述之反應室,其更包杈有. 一固設於非介電環底下之彈性體,以致於推動該環 向上; 丁. =° -線· 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) Τ 經濟部中央標準局—工消費合作社印製 401606 、申请專利範圍 A8 B8 C8 D8 該非介電環之一内部分延伸至工件周邊底下,以致 於^工件藉由夹盤而被夾緊於工件區域内時,經由彈性 體之推動向上而讓該環與工件周邊之内側觸接。 4 .如申响專利範圍第2 2項所述之反應室,其中該非介電 環包括有: k於工件區域周邊底下之内部分;及 一環繞該工件區域周邊之外部分; 其中孩非介電環之外部分具有一頂表面,高過其内 表面’並與工件之頂表面成共面態樣。 25. —使用於在一工件上半導體製程之電漿反應室,其至少 包括有: 一具有一上表面之陽極’其面向於反應室之一内部 區域; 一夾緊該工件之夾盤,以致於該工件佔有一工件區 域平行且直接位於陽極之上表面上;. 一延伸超過工件區域周邊之非介電環,甘^ 表再中孩非介 電環在當工件被夾盤而夾緊於工件區域絲&amp; 喝呻與工件電觸 接;及 一屏蔽,係由介電物質所組成,其中今ρ # τ孩屏蔽之至少 一部分環繞該非介電環。 26, 如申請專利範圍第25項所述之反應室,其中. 衣紙張尺度適用中國國家標準(CNS ) Α4規格(210x297公釐) (請先閱讀背面之注意事項再填- 頁 -裝_ 訂 綉 D8 六' 申請麵麵 ' 非介電環之外部分重疊於介電屏蔽之一部分;及 ,r电環之外部分具有—逐漸縮小之軸向厚度’ 及逐漸縮降至其周邊。 27 -- 击任]、 • 於在一工件上半導體製程之電漿反應室’其至少 包括有: 具有一上表面之陽極,其面向於反應室之一内部 區域; 夾緊該工件之夾盤,以致於該工件佔有一工件區 域平订且直接位於陽極之上表面上;及 一環繞於工件區域周邊之軸環; 其中該軸環具有一實質尺寸大小而不同於其它方位 者’以致於改善在製程時之方位均勻性。 28·如申請專利範圍第27項乏反應室,其中該軸環包括有 一架高部分’該架高部分具有一高度在陽極上表面以 上,與不同方位者不同,以致於改善在製程時之方位均 勻性。 經濟部中央標準局員工消費合作社印裝 29·如申請專利範圍第27項之反應室,其中該軸環包括有 一架高部分,該架高部分具有一内徑,與不同方位者不 同’以致於改善在製程時之方位均勻性。 3 0.如申請專利範圍第27項之反應室,其中: ________;__第 49 肓_______ 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 經濟部中央標準局員工消費合作社印製 4〇l606 I D8 __一----------^- 六、申請專利範圍 一陽極之上表面’具有一周邊環繞且大於工件區域 之周邊大小; 一包括有一介電屏蔽之軸環,橫跨陽極上表面之一 部分,而位於工件區域周邊之外侧;及 該介電屏蔽具有一厚度,與不同方位者不同,以致 於改善在製程時之方位均勻性。 3 1.如申請專利範圍第27項所述之反應室,其更包括有: 一位於反應室内預設方位位置之凹洞; 其中該實質尺寸涵蓋在一第一邊界及一第二邊界範 圍間’以被當作為方位之一功效;及 其中該軸環之實質尺寸將相等於在軸環一方位之.第 一邊界,且接近於凹洞之方位。 3 2 ·如申請專利範圍第31項所述之反應室,其中該凹洞具 有一隙縫穿過,而讓一工件進出反應室。 33.—用於一半導體工件上之磁性增強電漿反應室,其至少 包括: 一具有一上表面之陽極,其面向於反應室之一内部 區域; 一夾緊該工件之夹盤,以致於該工件佔有一工件區 域平行且直接位於陽極之上表面上; 複數個磁極相隔而位於工件區域之周邊,其中每一 本紙張从適用中國國家襟準(CNS ) A4胁(2獻297公釐) -----,--^--^裝—— (請先閲讀背面之注*-事項再頁) 訂 線- 401606 B81 2 3 4 5 6 s___ g68 六、申請專利範圍 .fell具有兩端,而位於磁極延伸方位之間,且每—磁 極·^特性皆藉由—虚擬中心點而被定義’其方位主要係 為磁極兩端之中間平均值;及 一環繞該工件區域周邊之軸環,其中 該轴環具有一實質尺寸,而位於一第一邊界與—第 —邊界範圍内,以作為方位之一功效; 該實質尺寸相等於在一第一方位之第一邊界,且接 近於個別磁極之個別端;及 該實質尺寸相等於在一第二方位之第二邊界,且接 近於個別磁極之個別中心位置。 34·如申請專利範圍第33項所述之反應室,其中: ?亥轴環延伸至在工件區域以上之一高度;及 該軸環之實質尺寸係為該軸環之高度大小。 35.如申請專利範圍第34項所述之反應室’其中該第一邊 界之高度係低於第二邊界者。 經濟部中央標率局員工消費合作社印裝 1 6.如申請專利範圍第34項所述之反應室’其中該軸環具 2 有一架高部分,該架高部分亦具有一徑向内表面相對於 3 工件區域擺設有一角度,該角度係為110度至145度範 圍内者。 4 37.如申請專利範圍第34項所述之反應室,其中該軸環具 5 --- -第 51 頁____— _ 6 本紙張尺度適用中國國家操準(CNS ) A4規格(210 X 297公釐) A8 B8 C8 D8 401606 申請專利範圍 面相對於 有一架高部分’該架高部分亦具有一徑向内表 工件區域擺設有一角度,該角度係為12〇户本 /人王1 3 S电&amp; 圍内者。 度知· 38·一增強電漿半導體製程,其至少包括有以下步聲 提供一製程氣體混合進入一電漿反應室内;· 在反應室内’從該製程氣體之至少一部分 漿混合; 形成 電 在反應室内,提供一具有一上表面之陽極,面 電漿; 向該 面S, 意 事-項 -再 頁 夾緊一工件鄰近於該陽極之上表面; 固設一由介電物質所組成之屏蔽,環繞於該工件之 周邊;及 固設一由非介電物質所組成之環,橫跨於介電屏蔽 之至少一部分》 訂 經濟部中央榇準局員工消費合作社印裝 39·如申請專利範圍第38項所述之製程,.其中該非介電物 質對於在電漿内因化學種類接觸所產生之腐蝕性的阻 抗性相較於介電物質還佳。 40.—用於在—工件上蚀刻一碳氫層之增加電漿製程,其至 少包括下列步驟: 提供一製程氣體混合進入一電漿反應室内以蝕刻該 碳氫層; 第52頁 本紙張从逋财關家標準(CNS〉Α4· (21()&gt;&lt;297公瘦) I 401606 A8 B8 C8 D8 經濟部中央標準局員工消費合作社印裝 六、申請專利範圍 在反應室内,從該製程氣體之至少一部分形成一電 漿混合; 在反應室内,提供一具有一上表面之陽極,面向該 電漿; 夾緊一工件鄰近於該陽極之上表面; 固設一由介電物質所組成之屏蔽,環繞於該工件之 周邊;及 固設一由非介電物質所組成之環,橫跨於介電屏蔽 之至少一部分,其對於在電漿内因化學種類接觸所產生 之腐蝕性的阻抗性相較於介電物質還佳。 41. 如申請專利範圍第40項所述之製程,其中該屏蔽係由 二氧化梦所組成,而該_環則由带物質所組成。 42. 如申請專利範圍第40項所述之製程,其中該碳氫層係 為一石板印刷表面物質。 43. —用於在一工件上蝕刻一 spin_〇n玻璃層之增加電漿製 程’其至少包含下列步驟: 提供一製程氣體混合進入一電漿反應室内以蝕刻該 spin-on玻璃層; 在反應室内,從該製程氣體之至少一部分形成—電 漿混合; 在反應室内,提供一具有一上表面之陽極,面向該 本紙張尺度適用中國國家揉準(€邮)厶4規格(210&gt;&lt;297公着:)AS B8 C8 D8 / &gt; V. Jjw ζ: 范围 Patent application scope 1 · One is used for plasma reaction declaration of semiconductor processes on workpieces, at least part of which has an upper surface anode. It is facing the reaction An area in one of the chambers; a chuck for clamping the workpiece so that the workpiece occupant area is parallel and directly on the upper surface of the anode; a shield 'composed of a dielectric substance surrounding the periphery of the workpiece area; Electrical shielding to the Ministry of Economic Affairs of the Ministry of Economic Affairs Bureau of the Consumers 'Cooperatives printed a ring' consisting of non-dielectric substances and spanning a small part of the medium. 2_ The reaction chamber according to item 1 of the patent application scope, wherein the non-dielectric ring spans a radially inner portion of the dielectric shield. 3. The reaction chamber described in item 1 of the scope of patent application, further comprising a right-, ^ -dielectric layer between the non-dielectric ring and the anode. 4. The reaction chamber according to item 3 of the scope of patent application, wherein: the dielectric layer between the non-dielectric ring and the anode includes an oxygen substance applied on the surface of the anode. 5. The reaction chamber described in item 3 of the scope of the patent application, wherein: The non-dielectric ring is fixed so that there is a space distance from the anode, so there is a gap between the ring and the anode; and --- ---- —__ ψ /, ο-ΈΙ- This paper size applies to China National Standards (CNS) A * specifications (210 × 297 mm) _Na A8 B8 C8 D8 6. The scope of patent application is in the non-dielectric ring and anode The dielectric layer existing therebetween includes the gap. (Please read the notes on the back before filling this page) 6. The reaction chamber as described in item 1 of the patent application scope, where the non-dielectric substance is a metal. 7. The reaction chamber according to item 1 of the patent application scope, wherein the non-dielectric substance is a semiconductor. 8. The reaction chamber described in item 7 of the scope of patent application, wherein the non-dielectric substance is a silicon substance, and the workpiece also includes a silicon substance. 9. The reaction chamber according to item 1 of the scope of patent application, wherein: the upper surface of the anode has an outer portion extending radially beyond the periphery of the workpiece area; and the shield includes a dielectric substance layer located on the upper surface of the anode. On the outer part. Printed by the Central Bureau of Standards, Ministry of Economic Affairs and Consumer Cooperatives 10. The reaction chamber as described in item 1 of the scope of patent application, wherein: the upper surface of the anode has an outer portion extending radially beyond the periphery of the workpiece area; and the The shield includes a ring-shaped portion that is higher than the outer side of the upper surface of the anode. -No. 43H-; _ This paper size applies to the Chinese National Standard (CNS) A4 specification (210X297 mm) 40160.6 A8 B8 C8 D8 Patent application scope h The reaction declaration as described in item 丨 of the patent application scope, The non-dielectric substance has better corrosion resistance in the semiconductor manufacturing process than the dielectric substance. u · —a plasma reaction chamber for semiconductor processes on a workpiece, which generates a plasma in an internal area of the reaction chamber, which includes at least: an RF power supply; and an RF power supply connected to the RF power supply Anode with an upper surface facing the inner area of the reaction chamber; a clamping chuck of the workpiece is loosened so that the workpiece is occupied-the workpiece area is parallel and directly on the upper surface of the anode, wherein the upper surface of the anode has _ A perimeter surrounds the perimeter of the workpiece area, and the workpiece is characterized by its thickness; and a shield made of a dielectric substance is located across one of the upper surfaces of the anode and is located at the outer edge of the periphery of the workpiece area; The dielectric shield is thin enough to lightly connect the power source from the anode to the plasma. Printed by the Consumer Cooperative of the Central Bureau of Standards of the Ministry of Economic Affairs 13. The plasma reaction chamber as described in item 12 of the scope of patent application, wherein the dielectric shield is thin enough to allow the RF power source to be coupled from the anode to the electroforge, and It is sufficient to cause the plasma to have a covering on the upper surface of the anode 'and extend radially outward beyond the dielectric shield. 14. A plasma reaction chamber used for semiconductor manufacturing on a workpiece, which applies the Chinese National Standard (CNS) A4 specification (210 X 297) in this paper standard 401606 A8 Βδ C8 D8, economic scope of patent application A plasma is generated in the printed reaction chamber of the Ministry of Standards and Staff ’s Consumer Cooperative Cooperative, which at least includes: an RF power supply providing-RF frequency voltage; an anode connected to the RF power supply, which has a The upper surface faces the inner area of the reaction chamber; a chuck that clamps the workpiece so that the workpiece occupies a workpiece area flat and directly on the upper surface of the impotence, wherein the upper surface of the anode has a periphery surrounding the workpiece And the workpiece is characterized by its thickness; and a shield made of a dielectric substance spans a portion of the upper surface of the anode and is located at the outer edge of the periphery of the workpiece, where °> the electrical shielding system It includes a radial inner portion and a radial outer portion surrounding the inner portion; and the inner portion has a resistance corresponding to the RF frequency, which is lower than that of the outer portion. For a resistor corresponding to the RF frequency. iS.—a plasma reaction chamber used in a semiconductor process on a workpiece, which generates a plasma in an inner region of the reaction chamber, which includes at least: an anode having an upper surface facing the inner region of the reaction chamber A chuck that clamps the workpiece so that the workpiece occupies a workpiece area parallel and directly on the upper surface of the anode, wherein the upper surface of the anode has a periphery surrounding the periphery of the workpiece area, and the workpiece is based on its thickness Its characteristics; and a shield made of a dielectric substance, spanning a part of the upper surface of the anode, and located at the outer edge of the periphery of the workpiece area, of which the 1SA51L paper wave size is applicable to the Chinese National Standard (CNS) A4 specification (210X297 Mm) Please pay attention to the notice of the © © 事 ─ item -_ fill-in-leaf binding line printed by the Consumer Cooperatives of the Central Bureau of Standards of the Ministry of Economic Affairs Loiaoe A8 B8 C8 D8 VI. Scope of patent application The dielectric shielding system includes a diameter The inward portion and a radially outer portion surrounding the inner portion; and the axial direction of the radially inner portion is thinner than the outer portion. 16. The reaction chamber according to item 15 of the scope of patent application, wherein the outer portion of the dielectric shield includes an upper portion extending on the workpiece area, and has a radially inner portion disposed relative to the workpiece area. Angle, between _ __Π ϋ degrees and i-45 degrees. 17. The reaction chamber according to item 15 of the scope of patent application, further comprising: a non-dielectric ring spanning at least a part of the inner portion of the dielectric shield; and the dielectric shield and the non-dielectric ring rule Each has a top surface, south of the workpiece area. 18. The reaction chamber according to item 17 of the scope of the patent application, wherein the dielectric shield and the non-dielectric ring each have a top surface with a height close to a height above a work area. 19. The reaction chamber according to item 17 of the scope of patent application, wherein the dielectric shield includes an upper portion that extends above the height of the non-dielectric ring above the work area. 2 0. The reaction chamber as described in item 17 of the scope of patent application, wherein the non-dielectric_46 第 _ This paper size applies to China National Standard (CNS) A4 specification (210X297 cm) (Please read the back Note: Please fill in the pages again). 1Ti wire_ 4〇1θ〇6 A8 B8 C8 D8 a. The outer side of the patent application ring and the dielectric shield have an upper blade of 8 blades above the extension area, and it has A radial inner surface, relatively speaking, is an angle arranged by Machino ’s workpiece in sequence, and its degree is in the range of 110 degrees to 145 degrees. Relocation 2 1. The reaction chamber described in item 17 of the patent application park, wherein: an outer portion of the non-dielectric ring overlaps the dielectric shield, and the outer portion of the non-dielectric ring has a Gradually reduce the thickness &gt;, to its periphery. &% 22 · —Plasma reaction chamber for semiconductor process on a workpiece, which includes: less ---------- install— (please read the note t on the back before filling in @ 页 J The Consumer Cooperative of the Central Standards Bureau of the Ministry of Economic Affairs prints an anode with an upper surface 'facing the ~ area of the reaction chamber; a chuck that clamps the workpiece so that the workpiece occupies a domain parallel and directly Located on the upper surface of the anode; and a non-dielectric ring extending beyond the periphery of the workpiece area, wherein the non-dielectric ring is arranged to be in electrical contact with the workpiece when the workpiece is clamped to the workpiece by the chuck And the non-dielectric ring is insulated from the anode. Internal 23. The reaction chamber as described in item 22 of the patent application scope, which further includes: an elastomer fixed under the non-dielectric ring, So as to push the circle upward; D. = °-line · This paper size applies to China National Standard (CNS) A4 (210X297 mm) Τ Printed by the Central Bureau of Standards of the Ministry of Economic Affairs-Industrial and Consumer Cooperative 401606, patent application scope A8 B8 C8 D8 Inside one of the non-dielectric rings The extension extends to the bottom of the workpiece so that when the workpiece is clamped in the workpiece area by the chuck, the ring is brought into contact with the inner side of the periphery of the workpiece by being pushed upward by the elastomer. The reaction chamber according to item 22, wherein the non-dielectric ring includes: an inner portion below the periphery of the workpiece area; and a portion surrounding the periphery of the workpiece area; wherein the outer portion of the non-dielectric ring has a The top surface is higher than its inner surface and is coplanar with the top surface of the workpiece. 25. —Plasma reaction chamber for semiconductor process on a workpiece, which at least includes: an anode with an upper surface 'It faces an internal area of the reaction chamber; a chuck that clamps the workpiece so that the workpiece occupies a workpiece area parallel and directly on the upper surface of the anode; a non-dielectric ring extending beyond the periphery of the workpiece area Table 2 shows that the non-dielectric ring is clamped to the workpiece area when the workpiece is chucked, and it is in electrical contact with the workpiece; and a shield is made of a dielectric substance, where today ρ # τ Child Shielded A small portion surrounds the non-dielectric ring. 26, The reaction chamber described in item 25 of the scope of patent application, in which the size of the paper is applicable to the Chinese National Standard (CNS) Α4 size (210x297 mm) (Please read the precautions on the back first Refill-Page-Pack _ embroidery D8 six 'application surface' part of the non-dielectric ring is overlapped with a part of the dielectric shield; and, the part outside the r-ring has-a gradually reduced axial thickness' and gradually Reduced to its periphery. 27-Click], • Plasma reaction chamber 'for semiconductor manufacturing on a workpiece, which at least includes: an anode with an upper surface, which faces an internal area of the reaction chamber; Tighten the chuck of the workpiece so that the workpiece occupies a workpiece area and is located directly on the upper surface of the anode; and a collar surrounding the periphery of the workpiece area; wherein the collar has a substantial size and is different from other The azimuth is so as to improve the azimuth uniformity during the manufacturing process. 28. If the patent application scope No. 27 depleted reaction chamber, the collar includes an elevated portion 'the elevated portion has a height above the upper surface of the anode, which is different from those with different orientations, so as to improve the orientation during the manufacturing process Uniformity. Printed by the Consumer Cooperatives of the Central Standards Bureau of the Ministry of Economic Affairs 29. If the reaction room under the scope of patent application No. 27, the collar includes an elevated part, the elevated part has an inner diameter, which is different from those in different positions', so that Improve orientation uniformity during manufacturing. 3 0. If the reaction room under the scope of patent application No. 27, including: ________; __ 49th 肓 _______ This paper size applies to China National Standard (CNS) A4 specification (210X297 mm) Employees' Cooperatives of the Central Standards Bureau of the Ministry of Economic Affairs Printed 4〇l606 I D8 __ 一 ------------ ^-VI. Patent application scope-The anode upper surface has a perimeter and is larger than the perimeter of the workpiece area; one includes a dielectric The shielding collar spans a portion of the upper surface of the anode and is located outside the periphery of the workpiece area; and the dielectric shield has a thickness that is different from those in different orientations, so as to improve the uniformity of orientation during the manufacturing process. 3 1. The reaction chamber according to item 27 of the scope of patent application, further comprising: a recess located in a predetermined azimuth position in the reaction chamber; wherein the substantial size covers a range between a first boundary and a second boundary 'It is regarded as one of the functions of the orientation; and the substantial size of the collar will be equal to the first boundary of the orientation of the collar and close to the orientation of the cavity. 3 2 · The reaction chamber according to item 31 of the scope of the patent application, wherein the recess has a gap therethrough to allow a workpiece to enter and exit the reaction chamber. 33. A magnetically enhanced plasma reaction chamber for a semiconductor workpiece, comprising at least: an anode having an upper surface facing an inner region of the reaction chamber; a chuck that clamps the workpiece so that The workpiece occupies a workpiece area parallel and directly on the upper surface of the anode; a plurality of magnetic poles are spaced apart from each other and located on the periphery of the workpiece area, each of which is from the applicable China National Standard (CNS) A4 (2 297 mm) -----,-^-^ Installation—— (Please read the note on the back *-Matters and then the page) Thread-401606 B81 2 3 4 5 6 s___ g68 6. Application for patent scope. Fell has two ends And located between the poles' extended orientations, and each-the magnetic pole's characteristics are defined by-the virtual center point; its orientation is mainly the middle average of the ends of the poles; and a collar around the periphery of the workpiece area , Where the collar has a substantial size and is located within a range of a first boundary and a first boundary as one of the orientations; the substantial size is equal to the first boundary of a first orientation and is close to an individual Individual magnetic poles End; and the substantial dimension is equal to the second boundary in a second orientation and is close to the individual center positions of the individual magnetic poles. 34. The reaction chamber as described in item 33 of the scope of patent application, wherein: the helical collar extends to a height above the workpiece area; and the substantial size of the collar is the height of the collar. 35. The reaction chamber 'according to item 34 of the scope of patent application, wherein the height of the first boundary is lower than that of the second boundary. Printed by the Consumer Standards Cooperative of the Central Standards Bureau of the Ministry of Economic Affairs 1 6. The reaction chamber as described in item 34 of the scope of patent application, wherein the collar 2 has an elevated portion, and the elevated portion also has a radially inner surface opposite An angle is set in the 3 workpiece area, and the angle is in the range of 110 degrees to 145 degrees. 4 37. The reaction chamber as described in item 34 of the scope of patent application, wherein the collar has 5 ----page 51 ____— _ 6 This paper size applies to China National Standards (CNS) A4 specifications (210 X 297 mm) A8 B8 C8 D8 401606 The scope of the patent application is relative to a high section 'the high section also has a radial inner surface workpiece area at an angle, the angle is 120 households / person king 1 3 S Electricity &amp; Insider. Degree of knowledge 38. An enhanced plasma semiconductor manufacturing process, which includes at least the following steps to provide a process gas mixture into a plasma reaction chamber; in the reaction chamber 'mixing at least a portion of the plasma from the process gas; forming an electro-reaction In the room, an anode having an upper surface and a plasma surface are provided. To the surface S, the matter-item-item-clamps a workpiece adjacent to the upper surface of the anode. A shield composed of a dielectric substance is fixed. , Surrounds the perimeter of the workpiece; and fixes a ring made of non-dielectric material, spanning at least a part of the dielectric shield. The process according to item 38, wherein the non-dielectric substance has better resistance to corrosiveness due to chemical contact in the plasma than the dielectric substance. 40. A plasma-adding plasma process for etching a hydrocarbon layer on a workpiece, which includes at least the following steps: A process gas is mixed into a plasma reaction chamber to etch the hydrocarbon layer; page 52逋 Finance Closer Standards (CNS> Α4 · (21 () &gt; &lt; 297 male thin) I 401606 A8 B8 C8 D8 Printed by the Consumer Cooperatives of the Central Standards Bureau of the Ministry of Economic Affairs 6. The scope of patent application is in the reaction room, from this process At least a part of the gas forms a plasma mixture; in the reaction chamber, an anode with an upper surface is provided, facing the plasma; a workpiece is clamped adjacent to the upper surface of the anode; a dielectric material is fixed A shield that surrounds the periphery of the workpiece; and a ring made of a non-dielectric material that spans at least a portion of the dielectric shield and resists the corrosive resistance caused by chemical species in the plasma 41. The process described in item 40 of the scope of patent application, wherein the shielding is composed of a dream of dioxide, and the ring is composed of a substance. 42. Rushen The process described in item 40 of the patent scope, wherein the hydrocarbon layer is a slate printing surface substance. 43. —Plasma adding process for etching a spin_on glass layer on a workpiece 'It includes at least Steps: Provide a process gas mix into a plasma reaction chamber to etch the spin-on glass layer; form a plasma mix from at least a part of the process gas in the reaction chamber; and provide a reaction chamber with an upper surface in the reaction chamber. Anode, facing the size of this paper. Applicable to the Chinese national standard (€ Post) 厶 4 size (210 &gt; &lt; 297): 六、申請專利範圍 電漿; 夾緊一工件鄰近於該陽極之上表面; 固設一由介電物質所組成之屏蔽,環繞於該工件之 周邊;及 固設一由非介電物質所组成之環,橫跨於介電屏蔽 之至少一部分’其對於在電漿内因化學種類接觸所產生 之腐敍性的阻抗性相較於介電物質還佳。 44.如申請專利範圍第43項所述之製程,其中該屏蔽係由 二氧化矽所組成’而該環則由矽物質所組成。 45·—種減少在一電漿反應室内鄰近工件周邊任何物質腐 蝕之方法,其至少包括以下步驟: 提供一製程氣體混合進入一電漿反應室内; 在反應室内,從該製程氣體之至少一部分形成—電 漿混合; 在反應室内,提供一具有一上表.面之陽極,面向該 電漿; ~ 經濟部中央標準局員工消費合作社印製 夾緊一工件鄰近於該陽極之上表面; 固設一轴環環繞於該工件周邊; 其中該軸環具有一架高部分以延伸至工 、 T區域以 上,且該轴環之架高部分具有一徑向内表面相對於 擺設有一角度,該角度係為110度至145度之間者件 本紙張尺度逋用中國國家標準(CNS ) Α4規格(210χ297公釐) 401606 A8 B8 C8 D8 申請專利範圍 46. 如申請專利範圍第45項所述之方法,其中該角度係為 120度至135度之間者。 47. 如申請專利範圍第45項所述之方法,其中該軸環係為 一介電物質。 請 先 閱 讀 背 面 之 注 意〜 事 項 再_ 訂 經濟部中央標準局員工消費合作社印裝 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐)6. Plasma for patent application; clamping a workpiece adjacent to the upper surface of the anode; fixing a shield composed of a dielectric substance surrounding the periphery of the workpiece; and fixing a workpiece composed of a non-dielectric substance The ring, which spans at least a portion of the dielectric shield, has a better resistance to rot in the plasma due to chemical species contact than the dielectric substance. 44. The process according to item 43 of the scope of patent application, wherein the shield is composed of silicon dioxide 'and the ring is composed of a silicon substance. 45 · —A method for reducing corrosion of any substance in the vicinity of a workpiece in a plasma reaction chamber, which includes at least the following steps: providing a process gas into the plasma reaction chamber; in the reaction chamber, forming from at least a part of the process gas — Plasma mixing; In the reaction chamber, provide an anode with a top surface facing the plasma; ~ Printed by the Consumer Cooperative of the Central Standards Bureau of the Ministry of Economic Affairs to clamp a workpiece adjacent to the upper surface of the anode; A collar surrounds the perimeter of the workpiece; wherein the collar has an elevated portion extending above the work and T area, and the elevated portion of the collar has a radial inner surface at an angle relative to the swing, and the angle is For paper between 110 ° and 145 °, this paper uses the Chinese National Standard (CNS) A4 specification (210 x 297 mm) 401606 A8 B8 C8 D8. Patent application scope 46. As described in the 45th patent application method, The angle is between 120 degrees and 135 degrees. 47. The method as described in claim 45, wherein the collar is a dielectric substance. Please read the note at the back ~ the items first, and then _ order printed by the Consumer Cooperatives of the Central Bureau of Standards of the Ministry of Economic Affairs.
TW87114500A 1997-09-16 1998-09-01 Shield or ring surrounding semiconductor workpiece in plasma chamber TW401606B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US93186497A 1997-09-16 1997-09-16

Publications (1)

Publication Number Publication Date
TW401606B true TW401606B (en) 2000-08-11

Family

ID=25461470

Family Applications (1)

Application Number Title Priority Date Filing Date
TW87114500A TW401606B (en) 1997-09-16 1998-09-01 Shield or ring surrounding semiconductor workpiece in plasma chamber

Country Status (3)

Country Link
JP (1) JP4602545B2 (en)
TW (1) TW401606B (en)
WO (1) WO1999014788A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105551925A (en) * 2015-12-08 2016-05-04 武汉华星光电技术有限公司 Dry etching device

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6257168B1 (en) * 1999-06-30 2001-07-10 Lam Research Corporation Elevated stationary uniformity ring design
US6344105B1 (en) 1999-06-30 2002-02-05 Lam Research Corporation Techniques for improving etch rate uniformity
US6363882B1 (en) 1999-12-30 2002-04-02 Lam Research Corporation Lower electrode design for higher uniformity
US6489249B1 (en) * 2000-06-20 2002-12-03 Infineon Technologies Ag Elimination/reduction of black silicon in DT etch
JP2002222795A (en) * 2001-01-26 2002-08-09 Anelva Corp Dry etching device
JP4676074B2 (en) * 2001-02-15 2011-04-27 東京エレクトロン株式会社 Focus ring and plasma processing apparatus
US6554954B2 (en) * 2001-04-03 2003-04-29 Applied Materials Inc. Conductive collar surrounding semiconductor workpiece in plasma chamber
TWI234417B (en) * 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
DE10143718A1 (en) * 2001-08-31 2003-03-27 Infineon Technologies Ag Mounting device for wafer in plasma etching plant has sealant introduced into free space between wafer and electrode
US6887340B2 (en) 2001-11-13 2005-05-03 Lam Research Corporation Etch rate uniformity
TWI488236B (en) * 2003-09-05 2015-06-11 Tokyo Electron Ltd Focusing ring and plasma processing device
US7658816B2 (en) 2003-09-05 2010-02-09 Tokyo Electron Limited Focus ring and plasma processing apparatus
US7837825B2 (en) 2005-06-13 2010-11-23 Lam Research Corporation Confined plasma with adjustable electrode area ratio
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US7358508B2 (en) * 2005-11-10 2008-04-15 Axcelis Technologies, Inc. Ion implanter with contaminant collecting surface
US8435379B2 (en) * 2007-05-08 2013-05-07 Applied Materials, Inc. Substrate cleaning chamber and cleaning and conditioning methods
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US20150001180A1 (en) * 2013-06-28 2015-01-01 Applied Materials, Inc. Process kit for edge critical dimension uniformity control
JP7098273B2 (en) * 2016-03-04 2022-07-11 アプライド マテリアルズ インコーポレイテッド Universal process kit
JP7278160B2 (en) * 2019-07-01 2023-05-19 東京エレクトロン株式会社 Etching method and plasma processing apparatus
JP7365912B2 (en) * 2020-01-10 2023-10-20 東京エレクトロン株式会社 Edge ring and substrate processing equipment

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04333228A (en) * 1991-05-09 1992-11-20 Mitsubishi Electric Corp Dry-etching device
JP3260168B2 (en) * 1991-07-23 2002-02-25 東京エレクトロン株式会社 Plasma processing equipment
KR100297358B1 (en) * 1991-07-23 2001-11-30 히가시 데쓰로 Plasma Etching Equipment
JPH0529270A (en) * 1991-07-23 1993-02-05 Tokyo Electron Ltd Magnetron plasma processing device
JP3173693B2 (en) * 1993-10-04 2001-06-04 東京エレクトロン株式会社 Plasma processing apparatus and method
US5529657A (en) * 1993-10-04 1996-06-25 Tokyo Electron Limited Plasma processing apparatus
US5573596A (en) * 1994-01-28 1996-11-12 Applied Materials, Inc. Arc suppression in a plasma processing system
JP3210207B2 (en) * 1994-04-20 2001-09-17 東京エレクトロン株式会社 Plasma processing equipment
JPH08339895A (en) * 1995-06-12 1996-12-24 Tokyo Electron Ltd Plasma processing device
JPH09129612A (en) * 1995-10-26 1997-05-16 Tokyo Electron Ltd Etching gas and etching method
US6113731A (en) * 1997-01-02 2000-09-05 Applied Materials, Inc. Magnetically-enhanced plasma chamber with non-uniform magnetic field

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105551925A (en) * 2015-12-08 2016-05-04 武汉华星光电技术有限公司 Dry etching device

Also Published As

Publication number Publication date
JP4602545B2 (en) 2010-12-22
WO1999014788A1 (en) 1999-03-25
JP2001516948A (en) 2001-10-02

Similar Documents

Publication Publication Date Title
TW401606B (en) Shield or ring surrounding semiconductor workpiece in plasma chamber
Morgan Plasma etching in semiconductor fabrication
TW472289B (en) Diamond coated parts in a plasma reactor
TW490740B (en) Magnetic barrier for plasma in chamber exhaust
US6284093B1 (en) Shield or ring surrounding semiconductor workpiece in plasma chamber
CN104103510B (en) Interior plasma volume grating for semiconductor manufacturing
KR100305508B1 (en) Reactive ion etching method including hydrogen radicals
TW506019B (en) A method of simultaneously etching a substrate and cleaning a chamber
US11049760B2 (en) Universal process kit
US8608851B2 (en) Plasma confinement apparatus, and method for confining a plasma
EP1378000B1 (en) Conductive collar surrounding semiconductor workpiece in plasma chamber
TW308717B (en)
TW487988B (en) Method of cleaning and conditioning plasma reaction chamber
JP4610191B2 (en) Procedure and apparatus for generating plasma
CN101189708A (en) Plasma treatment apparatus and plasma treatment method
JPH1088360A (en) Method for reducing contamination with mobile ion and metal by changing aging time and bias rf power during cleaning of chamber and device therefor
CN102969215A (en) Low sloped edge ring for plasma processing chamber
US5484485A (en) Plasma reactor with magnet for protecting an electrostatic chuck from the plasma
TW200301002A (en) Plasma chamber insert ring
CN1203533C (en) Method for etching organic film, manufacture of semiconductor device and pattern forming method
CN110010466A (en) Engraving method
WO1995022171A2 (en) Stripping, passivation and corrosion inhibition of semiconductor substrates
JPH05144770A (en) Coaxial plasma processing apparatus
TW394991B (en) Process for forming contact holes in a semiconductor structure
WO1999013489A2 (en) Apparatus for improving etch uniformity and methods therefor

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent