TW386202B - Programmable crypto processing system and method - Google Patents

Programmable crypto processing system and method Download PDF

Info

Publication number
TW386202B
TW386202B TW087106719A TW87106719A TW386202B TW 386202 B TW386202 B TW 386202B TW 087106719 A TW087106719 A TW 087106719A TW 87106719 A TW87106719 A TW 87106719A TW 386202 B TW386202 B TW 386202B
Authority
TW
Taiwan
Prior art keywords
processing
data unit
channel
data
program
Prior art date
Application number
TW087106719A
Other languages
English (en)
Inventor
David Michael Harrison
James Edward Greenwood Jr
Kerry Lucille Johns-Vano
Original Assignee
Motorola Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Motorola Inc filed Critical Motorola Inc
Application granted granted Critical
Publication of TW386202B publication Critical patent/TW386202B/zh

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F21/00Security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F21/70Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer
    • G06F21/71Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer to assure secure computing or processing of information
    • G06F21/72Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer to assure secure computing or processing of information in cryptographic circuits
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L9/00Cryptographic mechanisms or cryptographic arrangements for secret or secure communications; Network security protocols
    • H04L9/08Key distribution or management, e.g. generation, sharing or updating, of cryptographic keys or passwords
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L9/00Cryptographic mechanisms or cryptographic arrangements for secret or secure communications; Network security protocols
    • H04L9/14Cryptographic mechanisms or cryptographic arrangements for secret or secure communications; Network security protocols using a plurality of keys or algorithms
    • H04L9/16Cryptographic mechanisms or cryptographic arrangements for secret or secure communications; Network security protocols using a plurality of keys or algorithms the keys or algorithms being changed during operation
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L2209/00Additional information or applications relating to cryptographic mechanisms or cryptographic arrangements for secret or secure communication H04L9/00
    • H04L2209/12Details relating to cryptographic hardware or logic circuitry
    • H04L2209/122Hardware reduction or efficient architectures

Description

A7 B7 經濟部中央標準局負工消贽合作社印製 五、發明説明(1 ) 參考早期申請案 此申請案已於1997年4月30曰在美國被申請,專利應 用編號 08/841,314。 發明範团 這發明一般有關安全密碼通信的範圍。 ' ______ 在通信市場中趨勢已清楚地表明對於商業的及軍事的市 場兩者之安全性的需求。正如通信系統隨著複雜的通訊服 務及性能變得更加複雜,維持資訊安全是重要的。伴隨安 全設備的該等問題之一是保護密碼程式以免受反向工程技 術開發危害。逸'碼程式被後入硬體中的密碼程式之硬體實 施一般視爲是安全的。伴隨硬體實施的問題是對手能夠藉 由晶片探測及分析,通力合作決定該程式。伴隨硬體實施 的密碼系統的另一問題是關於處理密碼程式的晶片(chip) 之高成本半導體處理。因爲該等密碼程式被嵌入該硬體邏 輯中,該等半導體在安全狀況下被製造。 然而’以軟體被實施的該等密碼程式,由於該軟體的存 取性’典型地不被視爲如同硬體實施般安全的。伴隨軟體 實施之典型的問題是,由於在安全作業系_統中作業交換, 多個程式之同時處理導致性能降低。伴隨軟體實施的另一 問題是’典型的微處理器及袅位信號處理器的算術邏輯單 元沒有適合用於高速密碼處理的高速平行'數字的及邏輯 的處理資源。 硬體及軟體密碼處理系統兩者的問題是,當關鍵字變動 4- 表紙張尺度適用中國國家標準((:\5)八4規格(210/ 297公釐) (諳先聞讀背面之注t事項再填寫本頁) ——{------II------气二-------------- 經濟部中夾摞♦扃貝工消费合作社印裝 -5- 五、發明説明(2 資料在子系統之間被交換時,關鍵字變動資料之易受傷害 這是與目前的欲碼系統共同的一安全危機。 如此,所需要的是增進的密碼處理系統及方法。同樣需 要的疋岔碼處理系統及方法,那不包括該等密碼程式及能 夠在大量生產的半導體鑄造廠被處理,減少半導體處理成 本。呼樣需 再者,同樣需要的是密碼系統,其能夠同時地處理多個程 式。同樣需要的是密碼處理系統及方法,那是關鍵字(key) 及廣繹靈活的。同樣需要的是密碼處理系統及方法,其快 速且安全地交換在被處理的每一資科#元上該等程式、(例 如,次繹)及上下又。同樣需要的是密碼系統,當關鍵字變 動資料在不同的子系統之間被交換時,那保護關鍵字變動 資料。再者,同樣需要的是密碼系統,在那裡該等密碼程 式被保護以免受反向工程危害。 掛圖之簡單説明 本發明藉由附加的中請專利範圍中之特性被指出。然而 ’本f明之更加完整的了解可能,當被考慮結合該等圖表 時’精由參考該詳細的説明及申請專利範圍被得到,其中 遍及該等圖表,類似參考數字表示類似的項目,及:〃 圖1説明根據本發明的較佳實施例,一可程式密碼處理 系統的硬體方塊圖; 圖2説明根據本發明的較佳實施例,資料單元之處理; 圖3况明適於與本發明的較佳實施例使用的資料單元格 式; 本紙狀度適财_ (請先閲讀背面之注t事項再填寫本頁)
*1T A7 B7 經濟部中央標準局貝工消费合作社印¾ 五、發明説明(3 圖4説明適於本發明的較佳實施例中使用的通道標題格 式;. 、 囷5説明適於本發明的較佳實施例中使用的命令雙字組 (D-word)格式; 囷6説明適於本發明的較佳實施例中使用的通道定義表 ; -----------------------------—^_ ___ 、 圖7説明適於本發明的較佳實施例中使用的程式位址表 格的範例; ' 圖8是適於本發明的較佳實施例中使用的設定及組慰摩 序的一流程圖;及, 圖9是適於本發明的較佳實施例中使用的賢料單元處理 程序的一流程圖。 在此陳述的範例以那裡的某一種形式説明本發明的較佳 實施例,及這類範例不打算被解釋成任何方式之限制。 附圖之詳細説明 尤其’本發明提供可程式密碼處理系統及方法。本發明 也提供一密碼處理系統,適於處理高性能密碼程式。本發 明也提供一種系統及方法,用以同時地處理多個密碼程式 。本發明也提供一密碼處理系統及方法,_其快速且安全地 交換在被處理的每一資料單元上該等程式(例如,演繹)及 上下文。本發明也提供一密碼處理系統及方法,當關鍵字 變動資料在不同的子系統之間被交換時,那保護關鍵字變 動資料。本發明也提供一種系統及方法,適於在保全 (fail-safe)架構中處理密碼程式。本發明也提供—可程式密 -6 - 本紙張尺度適用中國國家標举(CNS ) A4規格(21 〇 X 297公釐 (請先聞讀背面之注t事項再填寫本頁)
,5T _o A7 B7 經濟部中央標準局員工消费合作社印製 五、發明説明(4 ) " -- 碼處理系統及方法’那減少與典型的密碼處理系統結合的 半導趙爲理成本。在較佳實施例中,當關键字變動資料在 子系統(間被交換時,關鍵字變動資料之安全被維持。同 樣在較佳實施例中,密碼程式可能在現場設備中被更新。 同樣在較佳實施例中,該等密碼程式被保護以免受反向工 程危害^ _____ 圖1説明根據本發明的較佳實施例…可程式密碼處理 系統的硬體方塊圖。在該較佳實施例中,密碼處搜系統10 有兩個主要的處理元件' 關鍵字管理密碼引擎(Key management crypto engine)(KMCE)12 苳可程式密碼處理器(programmable cryptographic processor)(PCP) 17。PCP 17 包括兩個處理引擎、可程式密碼引擎(pr〇grammaMe cryptographic engine)(PCE)14 及可組態密碼引擎(configurable cryptographic engine) (CCE)J6。該等處理引 擎進行該等通道程式之執行。系統1〇也包括密碼控制器 (CC)ll,其執行該等處理引擎之程式管理。系統1〇也包括 明文介面處理器(PTIP)13及密文介面處理器(CTIp)15,其 提供外部的介面及發信號給系統1〇。該等介面處理器也提 供高性能安全有彈性的緩衝器,在外部主譏及系統1〇的内 部處理系統之間。系統10也包括共用記憶體18,其擔任 在KMCE 12及PCP 17之間有彈性的緩衝器。系統1〇也包 括與FILL及CIK埠34耗合的可程式介面2 1。系統1〇之測 試可以使用測試介面20被執行,該介面包括晶片上(〇n_ chip)模擬及JTAG埠35。 (請先閲讀背面之注 項再填寫本頁) .1—Γό. 、1Τ 本紙乐尺度適用中國國家標準(CNS ) Λ4規格(2丨0X297公釐) Α7 Β7 五、發明説明(5 KMCE 12包括内部記憶體25及藉由内部匯流排23被耦 合至CC Π。其他内部匯流排23輕合pTjp 13、CTIP 15 、PCP 17及共用記憶體18至cc 11。 在較佳實施例中,KMCE 12也包括保全減化指令集電 腦(FS-RISC)26。KMCE 12最好包括第二處理資源,例如
除 N 餘貧僻WWOrOT'K FS-RISC26最好包括雙32位元耵%核心,其執行内嵌的 安全作業系统(SOS)。該安全作業系統提供分割及作業管瑄 ,來許可琢等作業從位於系統10外部的一程式記憶體被 執行。這類作業可能包括不執行安全處理或處理機密資料 的作業及子程序。執行安全處理或處理機密資料的作業及 予程序最好從被包含於記憶體25中的内部程式記憶體 (ROM)被執行。 在本發明的較佳實施例中,被來自内部_R〇M的fs_risc 的SOS執行的該等功能尤其包括系統1〇的主控制 '系統 10的自測及警報監視、程式載入及即時多重等級安全作業 管理。程式載入包括安全及非安全程式兩者之載進内部記 憶體25,或縯繹或程式之載入至pcp 17。 經濟部中央標準局員工消費合作社印製 FS-RISC 26也可能執行來自記憶體25_之内部程式記憶 體(RAM)的應用軟體。自内部程式RAM在fs_risc %上執 仃之典型的應用軟體包括該等功能,例如,CIK及填充埠 (nii-P〇rt)處理,用以機密資料之低等級處理。這個範例包 括該等關鍵字之載人。執行中的其他應用軟體之範例將包 括對話關鍵字產生,藉由例如,公共關鍵字程式及其他關 B7 五、發明説明(6 ) 鍵字管理及控制功能。應用軟體也可能包括系統管理及關 鍵字管:理功能例如,載入、驗證、改變及稽核。 FS-RISC 26也可能執行來自外部程式記憶體的應用軟體 。這些外部程式記憶體可能是外部主機系統的rAM。從外 部程式RAM執行的這類應用軟體最好包括該等功能,例如 管理操作、命令處理、非安全程式软體及與機密資料處理 無直接相關的軟體。 PCP 17是高性能可程式超純量(superscaler)密碼處理元 件,其尤其執行該等功能在該等資料單元上及處理該等資 料單元。該等資料單元最好藉由—外部主機,被載進介面 處理器13或介面處理器15。在讀取資料單元標題資訊時 ,藉由建立(instantiate)(載入)該需要的上下文、程式碼、 狀態及變數,CC 11初始資料單元的處艮。一旦資料單元 被載進PCP17,及該處理被執行,及該結果被寫至該輸出 介面處理器。處理好的資料單元可能替代地被提供給另一 目的地,例如KMCE 12,用以進一步處理。 經濟部中央樣準局員工消资合作社印製 尤其’ cc 11管理在介面處理器13及15及密碼引擎14 及16、NSE22及FS-RISC26的執行資源_之間整體資料移 動》藉由決定資料要移動、該等作業要安裝在pcpn中及 何時要初始程式之執行,cc 11 —般扮演安全即時作業系 統。CC 11藉由檢查每一資料單元的内容來完成它。這在 以下被詳細討論。這資料驅動架構提供系統丨0高性能處理 能力。另外,CC U執行背景階進(stage)。下—作業及資 .—----------- 9 - :- } A4im ( η〇χ297/Α^ } A7 '~' B7 五、發明説明(7 ) 料單7L在目前作業執行期間被階進。該背景階進容許系統 10之同呑吐量(throughout)。例如,資料單元轉移至PCP 17 /用於下一資料單元之記憶體清除及程式載入’在先前資 科單元之處理期間被執行。 在本發明的較佳實施例中,pcp 17尤其包括兩個高速 處理贯 通道加密及解密,及典型地在安全通信及發信號中被執行 之其他資料處理。在較佳實施例中,在CCE 16執行混合塑 w程式時’ PCE 14執行密碼本(c〇deb〇〇k)型態程式。PCE 14及CCE 16獨立運作,及結合時對32位元資料提供超過 1200 MIP的處理能力。在本發明的較佳實施例中,pcE 14 及CCE 16由在4階(stage)管線組態中以大約100百萬赫茲 運轉之高性能32位元RISC處理器组成。尤其,這些RISC 處理器也可能被使用於資料處理,例如,波段内(in_band) 信號處理、錯誤偵測及更正,及如通道程式定義般處理的 其他通訊協定及格式。 PCP 17也包括一密碼處理器RAM 9(cp_RAM),用以儲 存通道程式及/或資料單元。在處理資料單元之前,U 從CP-RAM 9下載一通道程式至處理引擎的記憶體。在處 理資料單元之前’ CC11也從CP-RAM 9下載通道程式上 下文至處理引擎的記憶體。 尤其’ KMCE 12執行用於系統1 〇的主控制功能。在較 佳實施例中,KMCE 12包含被内嵌於KMCE 12中之ROM 的安全作業系統(SOS)。在較佳實施例中,FS-RISC 26是 -10 - 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) A7 B7 五、發明説明(8 一高性能32位元RISC處理器。除了 FS-RISC 26以外, 包括適於公共關鍵字程式處理的數學輔助處 二在,施例中,KMCE12有大約150MIP處理能力 ,來許可iit及單通道内嵌應用的執行。 5施例中,系統10可能擔任用於各種應用的一内 通(flow 加〇响架構或輔助處理器架構被實施4資料流通架構中 ,資料可能㈣文介㈣33流向密文介料37,反之赤 被内嵌於系統i 〇中的内部安全機構幫助使邏輯上不同 的資料型態’例如機密的明文資料及變數,與該已保護的 密文資料隔離。在輔助處理器架構實施中,例如,主系統 期望對隔離該等型態資料,提供更大的設計保證。 在系統10的較佳實施例中,ΡΤΙΡ π及CTIP I5在擁有 FIFO控制結構的埠33及37處包括8位$、16位元及^ 位几平行資料介面。介面處理器13及15最好也包括亭列 非同步的及串列同步的介面。ρτιρ 13及CTIp 15包括内部 處理益、内邵實體的記憶體及外部記憶體擴充能力。該等 介面處理器的記憶體被他們的内部處理器管理。在較佳實 施例中,該等介面處理器能夠全雙工運作及提供完全實體 的資料介面隔離,用以處理明文及密文資料。 介面埠31與KMCE 12結合,及最好包括用於記憶體介 面、組·% k號、系統時鐘及插斷之該等埠。在較佳實施例 中,該記憶體介面埠由33位元資料匯流排、24位元位址 匯流排及一控制介面組成,用以存取内部記憶體或1/〇裝 -11 - 本紙張尺度適用中國國家標準(CMS ) A4規格(210X297公楚 (請先閱讀背面之注^^項再填寫本頁) * |--- 經濟部中央榡準局員工消费合作社印製 經濟部中央標準局只工消费合作社印^ A7 I-----_ ' B7 五、發明説明(θ ) -' 置。在系統10的較佳實施例中,kmce 12透過ΡΊΓΙΡ 13 或CTIP 15接收命令及資.料。其他實施例許可控制及資料 出自介面埠31。 系統1〇也包括上下文記憶體匯流排38(CNTX),其連結 至上下文痒36。在較佳實施例中,上下文記憶體匯流排38 搞合至外邵的上下文記憶體。CC 11管理上下文之交換, 從PCP 17中啓動的作業轉至外部上下文記憶體中未啓動 的作業。對於需要比可能常駐於内部記憶體更多同時的作 業之應用,匯流排38容許高速上下文、改變。埠32提供一 介面至CC 11,用於控制信號及不連續的警告信號。 誠如在此被使用地,上下文包括,例如,與特定的通道 程式結合的資訊,及可能包括狀態或變數資訊、與該等通 道結合的關鍵字及功能資訊。 _ 在該等較佳實施例中,本發,明的密碼處理系統被實施於 一超大規模積體電路(ULSI)裝置中,最好在單一碎晶片 (die)上。在較佳實施例中,許多處理子系統被整合進該 ,導致將近1350 MIP的處理能力,適用於廣泛的密碼程式 類別(classes)。 圖2説明根據本發明的較佳實施例,資料單元之處理。 本發明的密碼處理系統之架構容許以非常高呑吐量處理多 •通道封包的通信緒(thread)。在内部子系統及外部主機之間 非同步運作由CC 11中一有限狀態機器管理。 參考圖2,資料單元41從外部主機被傳送至介面處理器 ------ -12- 本&»尺度適^率(CNS ) A4規格〇χ 297公楚) - __ ]0! (請先聞讀背面之注t事項再填寫本頁)
、1T A7 B7 經濟部中央標準局員工消资合作社印裝 五、發明説明(1〇 13或15(圖1)的某一個,正如時間線40顯示地。藉由在時 間51時發送該資料單元的標題至cc 11,該介面處理器通 知CC11,新的資料單元41已備妥處理。根據資料單元41 的標題中資訊,CC 11指導該介面處理器,移動該資料單 元至一適當的處理子系統,例如,KMCE 12、PCE 14或 ’例如,除了標題之外的每一樣。 當資料單元已被階進要被PCP 17中該等引擎的某一個 處理時,CC 11安排及開始處理。根據仲裁,CC 11最好 使資料單元傳輸達最大,來使系統10中同時處理達最大。 在時間線42中,資料單元4 1被傳送至一記憶體,例如, CP-RAM 9(圖1),其中它等待被適當的處理引擎(例如, PCE 14或CCE 16)處理《要被處理的下一資料單元的這背 景階進幫助使經過系統1 〇延遲時間達最+。再者,該等程 式之背景階進幫助確保PCE 14或CCE 16的資源正處理資 料單元及不是移動資料或程式。因此,系統之資料呑吐量 顯著地被增加。 時間線44顯示該時間周期,其中—處理引擎正處理資料 單元45及傳送該等已處理好的資料部份-至輸出介面處理 器。時間框(frame)52是上下文切換時間,其典型地是—個 時鐘周期,在該期間關鍵字及程式被切換。該介面處理器 在時間5 1時通知CC 11,新的資料單元備妥要被處理。在 時間線44期間,已處理好的資料單元從該處理單元被傳送 至輸出介面處理器。該資料單元處理在時間54時被完成。 -13- I—-I* - I I I - TH - —1 / 乂 . I I --- (請先閲讀背面之注t事項再填寫本頁)
,1T 本紙張尺度適财咖家料(CNS ) (2丨Q><297公 A7 A7
五、發明説明(11 在此時,該輸出介面處理器通知外部主機,資料單元已占 成處理及是可利用&。資料單元47{已處理料資料^ ’及在時間線46期間被傳送至該外部主機。結合處理該等 資料單元的封包延遲59被顯示成,從輸入介面處理器中封 包收到的時間至該已處理好的資料單元備妥 部主機 -------------------——— 卜 正如能夠從圖2的處理圖被看到,在整個封包被處理單 #CP17)接收以,資料單元被該處理引擎(例如,取 14或CCE 16)處理。再者’直到整個資料單元被處理,資 料單元才可用於傳送至外部城。資料元最好由多個雙 字組(32位元字組)組成,其每—個個別地被處理及然後如 孩處理被執行連續不斷地從該處理單元被傳送至輸出介面 處理器。在較佳實施例中,在整個資料單元已完成處理及 是可利用於該輸出介面處理器中之後,—外部主機被通知 。完全的資料單元處理幫助避免由於來自外部主機的動作 可说發生的死結(deadlock)。 該輸出介面處理器典型地是結合該資料單元被發出之相 反介面埠的介面處理器。例如,在明文介面埠33處發出的 資料,在它被處理之後,被送至CTIP 15_及在密文介面埠 3 7處變成可利用的。 在較佳實施例中,資料單元非同步地被主機系統載入介 面處理器13或15,及被該等介面處理器管理。被安排由 PCE 14或CCE a執行的資料單元被發送及被儲存在結合 該處理單元(例如,CP-RAM 9)的記憶體中。當該處理引擎 __________ -14 ~ 本紙張尺賴财210;297^"7 經濟部中央標準局員工消f合作社印聚 A7 ___~ B7 _ 五、發明説明(12 ) 是FS-RISC 26時,備妥處理的資料單元被儲存在記憶體25 中。介面處理器13及15執行這類功能,如資料單元分析 、優先序排列、平行-串列及串列-平行轉換、封包整合、 檢查字組產生及記憶體管理功能。 在本發明的較佳實施例中,被系統1 〇處理的資料單元特 对地被餐丈t一貧處-理<τ-在這實例"亨^一 處理器13及15處理資料成以下被討論的APDU格式。然 而,不是成APDU格式的串流(stream)資料可能在該等介面 處理器之平行埠或串列埠處被接收,及被轉換成APDU格 式,用以處理。 、 圖3説明適於與本發明的較佳實施例使用的資科單元格 式。成APDU格式的資料單元被説明在圖3中。成APDU 格式的資料單元由一串雙字組組成。每一雙字組有被顯示 在行60中的一偏移。該第一雙字组是通虚標題雙字組66 ,其最好是32位元雙字组。通道標題雙字組66後跟隨著 命令雙字組67 ’有1的雙字組偏移。跟隨命令雙字組67 之後的參數資料欄位68,有在2及4096之間的雙字组偏 移。一 APDU的參數資料攔位68包含該應用有效載荷 (payload)。因爲應用程式能夠爲每—資料單元上下文交換 ’在欄位68中的資料可能有一不同的格式用於每一通道 。例如,當多個程式正執行於系統中用於多通道時,— 些通道可能需要更多穩健的通訊協定’來確保用於一通信 绪之連鎖步驟處理。 該最後的雙字组是核對和雙字組69,其最好是遍及整個 本紙張尺度適用中國國(-^^·
-15- A7 B7 五、發明説明(13 APDU被計算出的32位元資料框檢核順序(FCS)。核對和 (CS)雙字组69是一可選擇的欄位,那對特定的應用可能被 致能或抑制。在該開始程序期間,KMCE 12組態CC 11及 決定是否核對和被附加至每一 APDU。 一個適合的FCS程式是32位元版本的ISO 3 3 09-1964 E 規尊。這规格義用階層— 的資料連結控制程序及資料框結構。 囷4説明適於本發明的較佳實施例中使用的通道標題格 式。該通道標題格式定義在通道標題雙字组66中該等攔位 的大小及位置。通道標題雙字组66包無3個位元的APDU 型態欄位71、19個位元的通道索引欄位72、12個位元的 PDU長度欄位73、備用位元74、3個位元的MLS標籤攔 位75、優先序位元76及同位位元77。MLS標籤攔位75 及優先序位元76是可選擇的。APDU型態攔位71定義一 數値用於該APDU型態及它的相關意義。最好,APDU型 態欄位71-定義該APDU的來源,例如,來自ρτιρ 13或CTIP 15,或來自系統1〇的另一内部來源。APDU型態爛位71 最好也指示應接收該資料單元之輸出處理器。 APDU型態攔位71也指示是否該APDU是一要求或回應 APDU。關於回應APDU,通道索引欄位72不再包含一通 道索引,而是包含在要求的APDU之命令雙字组中被給予 的3位元要求程·式號碼(RPN)。尤其,CC 11使用apdU唆 態欄位7 1,來決定通道索引欄位72之使用。 通道索引欄位72定義是否該資料單元正呼叫正规的通 -16- 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X297公釐) 靖 先 閲 讀 背 之 注- 項 再 填 本 頁 訂 經濟部中央標半局员工消费合作社印焚 A7 B7 經濟部中央標準局員工消费合作社印製 五、發明説明(14 ) 道或該資料單元正呼叫内部資源。例如,當通道索引的第 —位元,是1時’最後的10個位元可能識別通道程式來使用 於以下討論的通道表格(channel table)中。該通道表格指示 通道的特性。正如上下文及程式被移進及移出該等執行單 元之啓動的通道記憶體,CC 11管理該通道表格。當通道 * 除時,該通道變成停用的(inactive)。停用的通道表格是該 狀態及變數資料及/或該程式已被移至CC 11之狀態機器不 可存取的儲存位置的一個通道表格《在FS-RISC 26上運作 的應用程式可能從該表格重新配置通道程式及從ρςρ 17 移除資料。被使用來儲存該停用的通道資料的記憶體能夠 是在KMCE 12或外部上下文記憶體中。 關於通道索引欄位72,假如通道索引的第一位元是〇, 該資料單元可能正要求一内部資源,用以_處理。這通道索 引的下面10個位元指示那個内部資源正被要求。内部資源 包括PTIJM3、CTIP15、CC11、ΡΙ21之中的隨機發生 器(randomizer)及 FS-RISC 26。 PDU長度欄位73最好指示包括跟隨該命令雙字組67之 後可選擇的CS雙字組的雙字組數量。長度欄位73定義該 應用資料的大小。在顯示於圖3中的實施例中,該最大應 用資料單元大小是4096雙字組,其是13 1,008個位元。 MLS標籤欄位75指示該APDU的安全等級。在較佳實施 例中,在MLS標籤欄位75中的數値與結合該通道的關键 字的MLS標籤之數値做比較。當兩個標籤不符合時,該資 -17- 表紙張尺度適用中國國家標準(CNS ) Λ4規格(210X297公釐) --^--C--------1T------ (請先閲讀背面之注—事項再填寫本頁) 9. 翅濟部中央榡卒局—Η消费合作衽印聚 A7 B7 五、發明説明(15 ) 料單元被剔除及一錯誤狀況被設定。在本發明的較佳實施 例中,該關鍵字的MLS標籤與該關鍵字一起被載入或在關 鍵字產生的時刻被指定。該關键字的MLS標籤最好根據安 全等級,被使用來產生該關鍵字。 優先序位元76定義用於APDU的優先等級《該優先序位 无奉:脅被介翁食理器13或使用,來選擇資料草:尤飞^: 的順序。在顯示的實施例中,有兩個等級的優先序。例如 ’在1可能被使用於指示即時處理之時,〇可能被使用来 指示非即時處理。 同位位元77最好被增加至每一標題雙字組。正如該標題 被處理,CC 11檢查在該等標題字組上的同位。 圖5説明適於本發明的較佳實施例中使用的命令雙字組 格式。命令雙字组67最好是每一 APDU中的第二雙字組。 命令雙字组67包括10個位元的命令ID欄位81、7個位元 的回應欄位82、3個位元的要求程式號碼(RPN)欄位83、 5個位元的APDU長度欄位84、備用位元85及同位位元86 。在較佳實施例中,命令ID欄位8 1指示要在資料單元上 被執行的功能。該等功能最好被定義用於每—通道程式。 在較佳實施例中,沒有系統1 〇本身的功能。該等功能可能 包括,例如,加密、解密、簽章、認證,等等。例如,諸 如加密的功能指示APDU(例如,參數資料欄位68)的資料 部分應被加密給該應用軟體。該加密使用被指定給通道索 5丨欄位72選擇的那通道之通道程式及關鍵字被執行。 回應欄位82以已處理好的資料單元,回傳—處理狀錢。 本紙張尺 格(210Χ 297公 f
A7 B7 五、發明説明(16 ) 該回應由系統〗〇的處理單元產生。例如,在發送該資料單 元至輸.出介面處理器之結束時,PCE 14可能提供一"處理 完成”回應至回應糊位82。同樣地,當該資料單元傳送失 敗時,CC 11可能發送一"預設"回應値至該發送的處理器 。回應欄位82可能依特定的應用或通道程式而定。 搁位H被使用—於7^^^型態- a叮中,來藏別·發送該 要求的那個程式β例如,CC 11可能使用該RPN來標示目 前運作於該等密碼引擎的某一個上之該等處理的某一個。 RPN欄位83回傳一數値在回應APDU通道索引中,其許可 CC 11繞送該APDU至正確的處理器。、在較佳實施例中, 當該APDU源自外部主機時,該rpn攔位不被使用及被設 定成0。藉由識別該等程式,RPN欄位83要求及傳遞命令 、參數及資料’在系統10的執行單元上執行之不同的通道 程式之間。因爲該等處理單元可能同時也執行該等程式, 該等處理單元也使用在通信結構中該等資料單元。因此, 透過RPN欄位83之使用,該等程式可能使用該cc 11,在 他們本身之間傳送資訊。 經濟部中央標準局負工消费合作社印^ -- I I-----------訂 (請先閲讀背面之注έ事項再填寫本頁) APDU長度欄位84定義APDU的大小。同位位元86被增 加至命令雙字組67的標題。正如cc 11處·理命令雙字組67 ,CC 11可能檢查在該標題字組上同位(圖3)。 圖6説明適於本發明的較佳實施例中使用的通道定義表 格。標題雙字組66(圖4)的通道索引欄位72(圖4)被CC 11( 圖1)讀取,來決定應用於那APDU的通道表格90的列。通 道表格90定義該等通道表格欄位及他們的長度的内容。在 _ _ -19- 本紙張尺度適用中國國家椋準(CNS ) ( 2丨0$^公釐) ---- 經濟部中央標準局負工消资合作社印製 A7 B7 五、發明説明(17 ) 較佳實施例中,通道表格90是被使用來敘述每一通道特性 的1024字組長乘32位元表格。當設定通道程式於處理引 擎14及16中時,cc 11使用通道表格90的該等欄位。通 道表格90包括2個位元之已配置的活動(activity)欄位92 、要求服務位元93、存回(save back)位元94、4個位元的 程式 的長度欄位97及3個位元的MLS關鍵字標籤欄位98。 在通道表格90中的資訊被使用來繞送該APDU至適當的 處理資源,及包含用以安裝或重新安裝用於那通道之特定 的通信緒之其他資訊。一般,該通道表格包括該程式及上 下文被配置給通道定義的該等位置之指標。通道索引欄位 72也可能指向不被配置給pep 17的通道。在這情形,CC 11可能繞送該資料單元至KMCE12,在那裡處理被執行。 一般’處理例外地被KMCE 12執行。 _ 通道索引棚位72由執行於FS-RISC 26上的應用程式軟 體指定及在通道之產生/定義時發生。通道索引之指定可能 是固定的或動態的’依特定的應用而定。動態的通道指定 牵涉在通道產生的時刻該等數値之交換,所以外部主機, 例如,可以正確地建立APDU ^當新通道被產生或被拆掉 時’在通道表格90中KMCE 12產生一新的登錄或删除一 登錄。在較佳實施例中通道表格9〇被儲存在CC 11的記憶 體19中。 每一通道有與它結合的—通道狀態,其本質上被儲存在 CC 11中。通道狀態包括執行中目前程式狀態、下_個或 -20- 本、紙張尺度適用中國國家標準(CNS〉A4規格(210x 297公您 (請先閱讀背面之注意事項再填寫本頁)
,1T 五、發明説明(18) A7 B7 經濟部中央標準局員工消費合作社印製 最後狀態、後備狀態、安置狀態及停用狀態。當該目前程 式狀態及上下文正執行於PCE 14或(:(:£ 16上時,通道是 在執行中狀態。在較佳實施例中’ PCE 14及CCE【6有一 組至少4個記憶體,其以兵兵型態方式被選擇,在該目前 通道目前正在執行之時,許可下—通道被裝載。正如這記 (shadow) 0 該下-個或最後通道狀態指纟,何時料道程式正存於 結合PCE 14或CCE 16的陰影記憶體中,正如以上所提地 。孩後備通道狀態定義,何時該應用程^正存於cP_ram 9 中及備妥被安裝進陰影記憶體。當結合用於某—個通道的 通道程式心上下又正與另一個被交換時,該安置通道狀態 是在後備及下一個或最後之間的通道狀態。該停用通道狀 態是當該上下文及/或程式正存於pCp i氕的控制之外時的 一狀態。該程式可能正存於KMCE12中或例如在外部上下 文記憶體中。 一在PCP 17中通道被建互,在没有干涉該應用程式 於FS-RISC 26中執行,外部主機應用能夠每通道地處理 PCP 17中APDU。因此’在例如加密或解密的該等功能之 間的最大呑吐量,藉由PCP17中每—通道自主的處理被達 到。如此,沒有kMCE12的干涉,典型的應用傳遞ApDu 通過PCP 17。 通道活動欄位92識別有效的通道,及包括該通道狀態資 訊。識別無效的通道程式之資料單元可能被寫至fs_risc -21 - f紙張尺度適用中國囷家標準(CNS ) A4規格(2i〇X297公慶) 請 先 閲 背έ 之 注-
再 填 寫 本 頁 訂 t 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(19) 26,用以處理》通道活動欄位92,當有效時,指示那通道 的處理'活動《當資科單元被處理時,通道活動欄位92被更 新。通道活動欄位92也可能被KMCE 12使用來決定那些 通道不常被使用,及因此以對系統i 〇最少的衝擊可能被移 除。在較佳實施例中’通道活動欄位92連續地被更新,例 處理特定的APDU的通道一起被儲存。在通道活動搁位92 中的數値表示該通道的最後使用的狀態。 要求服務位元欄位93指示,何時於PCE 14或CCE 16上 執行的應用程式需要讀取已被執行於26上的應用 程式更新的整體變數之新的數値《因此,當要求服務位元 被設定時,在該程式開始之前FS-RISC 26提供額外的資訊 。存回位元94指示CC11使用於儲存上下文的方式。例如 ’上下文可能被儲存至CP-RAM 9或外部龙憶體。在被安 裝在該等處理引擎的某一個中的通道程式改變一些或全部 的現存的上下文之後,上下文一般被存回。透過存回位元 94之使用,許多APDU可以在引起上下文改變之相同的通 道上執行。當該通道被移出該等處理引擎的某一個時,該 上下文被存回至内部的或外部的記憶體。-因此,没用的儲 存可能被避免。 程式ID欄位95包含用於演繹或程式的ID碼。最好,程 式ID欄位95指至存於CC 11的記憶體19中之程式位址表 格中的一列。該程式位址表格尤其定義該命爛位,CC 11 使用來追蹤不同的程式。變數位址欄位96定義PCP 17中 -22- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先聞讀背兩之注I事項再填寫本荑)
B7 五、發明説明(20 ) 用於該通道的變數資料被放置之記憶體位置中的起始位址 。透過變數位址欄位96之使用,CC 11決定是否該變數目 前是在活動的記憶體、陰影記憶體、變數或狀態記憶體中 。另外,變數位址欄位96可能指示CC 11,該變數資料是 在CP-RAM 9中及應被移進用於變數狀態的PCE 14或CCE rr之的記 憶體位址最好是固定的,所以CC 11可以決定是否該APDU 備妥被執行或該APDU應被階進於陰影記憶體中。在APDU 被階進於陰影記憶體中之時,用於那通道的該等通道參數 ,例如程式變數及狀態,被載進該處理引擎。 狀態長度欄位97定義以上討論的狀態變數資料之長度 。在較佳實施例中,狀態長度欄位97在〇及32個雙字組 之間變動。MLS關鍵字標籤欄位98表列該通道關鍵字之 安全等級。在關鍵字標籤欄位98中的數复與APDU的標題 雙字組66的MLS標籤攔位75中被接收的標籤做比較。正 如用於該資料單元之標題雙字組66的MLS標籤欄位75中 被認定要被處理,被表列於關键字標籤欄位98中的該通道 關鍵字之安全等級應是更高於該資料的安全等級。 經濟部中央標隼扃I工消赀含作社印装 圖7說明適於本發明的較佳實施例中使-用的程式位址表 格的範例。程式位址表格700有程式螌態欄位702、程式 位置櫚位703、紅/黑欄位704、程式位址欄位、程式 長度欄位706、空白雙字組欄位707及變數長度欄位 。來自通道表格90的程式ID欄位95(圖6)指至通道位址表 格700的—列。因此,每一通道與程式位址表格700中一 — —___________-23-____ 本紙张尺度賴巾關家料(CNS ) M規格(2iQx297公 列結合。 程式型態襴位702是2位元攔位,那識別該通道程式的 大小,例如,是大或小。程式型態欄位702也識別該程式 執行所在之執行單元,例如,PCE 14或CCE 16❹程式位 置欄位703識別用於那通道的通道程式的位置。當^ 被 t 要 iTOmKT 诗卞 來決定程式的位置。程式位置欄位7〇3也可能指示何時程 式應被FS-RISC 26裝載。程式位置欄位也可能指示,僅有 —份那程式的複本及它是永久地存在於處理引擎中,例如 PCE 14或CCE 16。程式位置攔位7〇3k也可能指示,該通 道程式是在CP-RAM 9中及當需要時應被拷貝至適當的處 理引擎。程式位置攔位703也可能指示,該程式是在外部 兄憶體中及需要的話被拷貝進系統1〇。當程式是在外部記 憶體中時,在安裝於該等處理引擎的某一個上之前,該程 式可能必須被KMCE 12解碼。 經濟部中央標準局員工消贽合作社印^ 紅/黑欄涖704最好是2位元欄位,那識別該程式的安全 等級。紅/黑欄位7〇4尤其指示,是否該程式是一安全程式 或不是安全程式。在移至黑的外部記憶體之前,安全程式 應被加密,及在從外部記憶體移動該程式_之後被解密《在 進出外部記憶體之前,非安全程式不必被加密。在本發明 的較佳實施例中,當外部記憶體不被使用於程式儲存或不 是可利用於程式儲存時,紅/黑欄位72不被使用。 程式位址欄位705包括一位址指標,識別通道程式或用 於那通道的程式的記憶體位置。該記憶體位置可能是在 —--—______________ ~ 24 *__—________ 本紙張尺度適用中國国家標準(CNS) M規格(2丨⑽297公楚) 經濟部中央標準局貝工消费合作社印 A7 [__—_____~ B7 五、發明説明(22) PCE 14、CCE 16、CP-RAM 9 或外部記憶體中。CC 11 使用程.式位址欄位705,來放置該通道程式及將它移進處 理引擎的陰影記憶體。在該特定的程式被永久地載進處理 引擎的情形’那麼該程式位址可能包括—數値,來指示該 程式不需要被移動。 程式女龙,位亦玄史億 微程式碼之大小。空白雙字組攔位707指示0或空白雙字 组的數量,那在CC 11已安裝該程式在那記憶禮中之後, CC 11寫至記憶體位置。該〇或空白雙字組被寫進跟隨先 前被裝好的該程式之後的處理引擎之程式空間,來確保該 程式空間已被覆寫。 變數長度欄位708包括在這特別的程式中被使用的變數 長度。對於使用相同程式的所有通道,該變數長度可能是 相同的。該程式變數的長度最好是在〇及j 2個雙字組之間 。當安裝通道的上下文進到處理引擎時,CC 11使用該變 數長度。- 圖8是適於本發明的較佳實施例中使用的設定及組態程 序的一流程圖。程序100被系統1 〇執行,尤其,用於定義 該等通道,及將該等結合的通道程式載進—PCP 17。本發明 的可程式密碼處理系統,透過它的超純量(superscaler)可程 式架構,可能有許多同時運作的程式。這些程式從在FS-RISC 26的安全作業系統上執行的一主應用程式被安裝。 在作業102中’ KMCE 12執行一重置及自測程序,來確保 系統10的該等元件及子系統正確地運作。在作業1 〇4中, _ -25- 本紙張尺度適用中國國家梯準(CNS ) M規格(2l〇X297公釐) " ------ In -! I— I i ^ I - I I (請先閲讀背面之注f事項再填寫本頁)
*1T 五、發明説明(23) B7 主應用程式從外部主機103被載進KMCE 12。在本發明的 替代實.施例中,應用程式是存於KMCE 12的記憶體之· 中,及從記憶體25被載至FS-RISC 26。 在作業106中,在作業104中被裝載的應用程式最好被 執行在FS-RISC 26的安全作業系統上。 在市 请 先 閱 背 之 注一
經濟部中央榡準局—工消f合作社印製 資訊107,來產生及定義多個通道。通道定義資訊107可 能被儲存在系統10之中或由一外部主機提供。在這步據期 間,例如通道表格90(圖6)的通道表格被產生。再者,例 如圖7的程式位址表格700的程式位址表格也被產生。在 本發明的較佳實施例中,這些表格被儲存於共用記憶禮18( 圖1)中。在設定及組態程序100的作業108期間,該等通 道程式最好不被安裝在處理引擎14或16中。當該資料單 元被處理時’該等通道程式被安裝用於特_別的資料單元。 例如,APDU的通道索引致使CC 11來選擇該通道程式執 行’及該CC 11安裝這程式,及在適當的處理引擎中開始 該程式之執行。 通道定義資訊107包括定義上下文與特定程式或程式區 4又相結合之資訊。單一執行緒的執行碼是^通道的一範例。 在λ換上下文的多處理系統中,由於多通道運作,許多同 時的通道以時間分割地運作。因此,用於每一通道的不同 上下文最好被維持。 在該等通道被定義及該等通道程式被識別之後,在作業 110中,該應用程式下載該等特定通道程式至記憶體,例 旁 訂 -26- 本紙乐尺度適用中國國家標隼(CNS) Μ規格(2丨0_χ297公釐} A7 ______— _ B7___ 五、發明説明(24 ) ~~ ^ 如PCP 17的CP-RAM 9。最好有與每一通道結合的一通道 程式。; 請先閲讀背面之注f事項再填寫本頁j 在作業112中,加密關鍵字被載進系統1〇。最好,核等 關鍵字透過填充埠34被載進可程式介面21。該等關鍵字 可能包括DES加密關鍵字、公共及私有關鍵字及在密碼技 術上艺貧贫言有嘗嘗in貧享 、數位簽章及認證的該等關鍵字。在較佳實施例中,記情 體25有備份電源,例如電池,來避免萬一系統! 〇失去電 力該等關鍵字之遺失。作業112可選擇地可能包括關鍵字 產生作業111 ,其在FS-RISC 26中產生該等關鍵字。由 FS-RIS C 26執行的關鍵字產生可能包括公共或私有關鍵字 產生軟體之使用。FS-RISC 26可能以技術上已知的許多方 式’包括透過内部隨機發生器之使用,產生通道或對話關 鍵字。在較佳實施例中,該等關键字與該-等通道結合,及 被儲存在結合通道與適當的關鍵字或關鍵字對的表格中。 在較佳實施例中’在FS-RISC 26的初始期間,該等關鍵字 與每一通道結合。在某一實施例中,該通道的安全等級使 它與特別的關鍵字結合。 經濟部中央標华局員工消费合作社印裝 假如作業1 i2包括關鍵字產生作業丨〗,該等關鍵字可 能被做成供關鍵字證書使用《作業112也可能包括提供該 等關鍵字成關鍵字證書的作業。在作業114中,該等關键 字使用通道資訊113與一通道結合,及被儲存在記憶體中 ,例如,CP-RAM 9或結合PCE 14或CCE 16的本地記憶 體’用於在處理資料單元中使用。在作業114完成時,系 ----------27- _ 本紙浪尺度f g)國家橾準(CNS ) A4規格(210X 297公楚)'~~' ~~~ A7 "B7 五、發明説明(25 ) 統10備妥處理該等資料單元。 圖9是適於本發明的較佳實施例中使用的資料單元處理 程序的一流程圖。在較佳實施例中,對於被系統10接收的 每一資料單元,程序200被執行。一般,程序200致使一 功能被執行在每一資料單元上。該等功能包括,例如,加 資料單元是完整的之後,系統10使該處理好的資料單元可 供外部主機使用。 在作業202中,該等資料單元在介面處理器13或15處 從外部主機被接收。資料單元最好是成APDU格式,正如 在圖3-5中被討論地。資料單元可能被外部主機從另一格 式轉換成APDU格式。例如,在不是成APDU格式的串流 資料的情形,PTIP 13或CTIP 15可能使用被儲存在系統 10中的组態資訊203,轉換該串流資料成APDU格式。在 較佳實施例中,在作業202中被該等介面處理器接收之前 ,外部主機轉換資料成APDU格式,然而,在此沒有什麼 阻止系統10轉換該等資料單元成APDU格式。 經濟部中央標隼局—工消费合作社印製 (請先閱讀背面之注意事項再填寫本頁) 基於該系統應用,組態資訊203包括特定的資訊。例如 ,組態資訊203可能包括要被處理的資粹單元之型態、要 被使用的介面、APDU格式資訊及何時PTIP 13或CTIP 15 產生該等APDU。 作業202可能牽涉同步地或最好非同步地接收該等資料 單元。該等資料單元也可能透過該結合的介面處理器的平 行或串列埠,成平行或串列形式被接收。當該等資料單元 __-28-_ 本紙浪尺度適用中國國家標準(CNS ) Λ4規格(210X 297公釐) 五、發明説明(26 A7 B7 非同步地被接收梓,&入 . 呼琢介面處理器通知該外部主機,它可 利用來接收資料單元。 在作業204中,該輸入t面處理器讀取該封包優先 就是,標題雙字組66的位元 元的處理。在較佳實施例中 — -I -- - IL.
In —II 广 經濟部中央標隼局—工消费合作社印 76(圏4))及安排用於那資料單 ’擁有即時優先序的封包首先 的一部份,該介面處理器通知cc n,一新的資料單元備 妥被處理^在作業2〇6中,ccu讀取該資料單元的標題。 在作業208中,CC 11讀取欄位72之通道索引、攔位71 (APDU型態及孩資料單元的標題雙字、組66的MLs標藏棚 位75,決定該適當的通道程式及處理資源,來處理該資料 單兀。正如作業2〇8的一部份,cc丨丨也可能讀取ApDu 長度搁位8 4。 在作業210中,CC Π指導該介面處理器來繞送該資料 單凡至處理引擎,例如PCE 14或CCE 16或FS-RISC26。 該處理引擎依據來自作業2〇8的資訊被選擇。標題雙字組 66之通道索引攔位72決定該執行單元,來執行該處理在該 資料單元上。在較佳實施例中,該資料單元被繞送至cp· RAM 9,在那裡它等待被PCE 14或CCEj6處理。替代地 ’資料單元可能被繞送至PCE 14或CCE 16的陰影記憶體 ’在那裡它等待被PCE 14或CCE 16分別處理。 在作業210期間’在CC 11中資料框檢核順序(pcs)檢查 器檢查在該傳輸期間該資料單元之完整性。當FCS發生問 題時’一預設回應可能被回傳至提供該資料單元之外部主 -29- 本紙浪尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) .Td—— (請先閲讀背面之注+事項再填寫本瓦)
,tT 經濟部中央標準局貝工消ik合作社印製 A7 ________ _ B7 五、發明説明(27 ) 機。標題雙字組66的PDU長度欄位73^cc ^使用來配 置PCP. 17中的s己憶體。在本發明的某一實施例中,作業 210包括繞送僅有效載荷部份之資料單元至處理引擎。 在作業211中,用於特別的通道的上下文被下載。在作 業212中,CC 11致使該通道程式被下載進適當的處理引 憶體。 在作業214中,Mls標籤攔位75與通道表格.中該標屬( 就疋,MLS關鍵字標籤欄位98)做比較,來確保該程式的 安全等級至少是與該資料單元需要的安全性一樣大。當該 資料單疋需要比通道提供之更大的安全性時,該資料單元 最好不被處理及一預設回應被回傳至該輸入介面處理器。 該介面處理器可能發送這預設回應給提供該資料單元的外 部主機。 在作業216中,CC 11從結合該正確的處理引擎的陰影 記憶體安裝該程式。誠如以上討論地,該資料單元保留在 結合處理引擎的陰影記憶體中,直到該資料單元備妥被處 理。作業216也牵涉將用於那通道程式的上下文裝進處 引擎。 _ 對於一些資料單元,用於特別的資料單元的處理引擎是 FS-RISC26(圖1)。在這情形,該應用程式一般已經在執行 ,及因此作業216的該等程式安裝步驟可能不需要被執行 。在這情形’作業2】6包括CC 11通知KMCE 12的作業, 那資料單元已被載進結合FS-RISC 26的記憶體,例如記憶 ___________ -30- 氏乐尺度適用中國國家標準(CNS ) Λ4規格(2lf^7公楚〉 ~~
In I -III —8 -- Tn —I— n<\^- I 1-1 II - -I I . · 1 (請先閲讀背面之注意事項再填寫本頁) A7 —___ B7 五、發明説明(28 ) ' 體25(例如,用於FS-RISC 26的郵箱),及備妥被處理。 在作‘業218中’結合資料早元的該等命令被讀取。最好 ,該資料單元的命令雙字组67(圖3)被適當的處理引擎(作 業210)讀取’尤其,來決定要在該資料單元上被執行的該 等功能。該處理引擎現在備妥處理該資料單元。當該處理 它的儲存位置讀取命令雙字組67。當該處理引擎是FS-RISC 26時’該CC 11從記憶體25中該資料單元的位置.讀 取命令雙字组67。 在作業218被執行之後,作業220處理該資料單元。在 作業218中一旦讀取命令id欄位81,CC 11致使該處理 引擎藉由適當的通道程式,選擇要在該資料單元上被執行 的該等功能。在作業220中,結合該選好的功能及通道的 該關鍵字或該等關鍵字被載進該處理引擎_。—般,選好的 功能也決定該處理好的資料被送到那裡。例如,在解密功 能可能發送該處理好的(已解密的)資料至ρΤΙρ 13時,加密 功能可能發送該處理好的(已加密的)資料至CTIP 15。對於 内部資料單元處理,該處理好的資料可能被送至cp_RAM 9 經濟部中央標率局員工消费合作社印製 JI—7XV! (請先聞讀背面之注t事項再填寫本頁) ’用以進一步處理’或至记憶體25,用以被FS-RISC 26 額外的處理。 典型的處理功能221包括加密、解密、數位簽章及認證 。包括非密碼相關的功能之其他功能也可能被執行,包括 不使用關鍵字的該等功能。在作業222期間,該輸出介面 處理器累積該處理好的資料單元。最好,正如資料單元的 _ -31 - 本紙張尺度適财ϋΗ家縣(CNS ) Α4規格(21GX297公f ~' :~~ A7 B7 五、發明説明(29 雙字組被處理’該處理好的雙字組被提供給輸出介面 理器…旦資料單元之全部處理好的雙字組被輸出介面 處理器累積,該輸出介面處理器被通知,冑資料單元已完 成處:,及該介面處理器有完整處理好的有效載荷部份: 資科單元。作業222也可能包括格式化該處理好的資科單 元貧 字組66之標題資訊及例如命令雙字組67(圖3)之命令資訊 的作業。作業222也可能包括,當該資料單元已完成處埋 及是成正確格式時,C(:11通知該輸出介面處理器的步驟。 在作業224中,該介面處理器通知外部主機,該資料單 疋可供傳輸至外邵主機。最好,當該外部主機備妥接受該 處理好的資料單元時,該外部主機要求該資料單元。例如 ,忒外部主機及輸出介面處理器可能著手信號交換 (handshake)通訊協定,來傳輸該處理好的資料單元。正如 作業224的一部份,在該處理好的資料單元被傳輸之後, 遠輸出介面處理器清除它的記憶體。 在某些情形,額外的處理可能被執行在資料單元上。在 作業222中,假如在資料單元上更多處理被需要,該處理 好的資料單元從PCE 14或CCE 16繞回至_ CC 11。CC 11 安排該額外的處理,及作業2 10-222被重複。 應用程式決定何時額外的處理應被執行在資料單元上。 應有額外的處理被執行的資料單元被格式化成ApDU,來 卉可CC 11決定那個程序,來安排下—個。資料單元處理 的執行順序最好由通道程式決定,及藉由CC11用該aPDu ------- -3?- 本紙張尺度顧㈣ .(請先聞讀背面之注+事項再填寫本頁 經濟部中央標準局吳工消贽合作社印策
ΑΊ --—___Β7 五、發明説明(3〇 ) 讀取該通道號碼來決定要執行的下一作業被實施。 在本發明的某-實施例中,成APDU格式的資料單元在 作業224之前被重新格式化及重新組態。例如,可 能被轉換成一標準的PDU格式。這重新格式化、重新組態 或轉換可能被輸出介面處理器或外部主機執行。 〈重大好處。尤其,本發明的可程式密碼系統對該等功能 ,例如加密、解密及其他S全服務ν例如訊息認證、訊息 簽章及其他,提供顯著增進的效能。本發明的處理系統也 支援對於高級的、安全通訊系統之日益増多的要求。本發 明的處理系統是可程式化的及支援使用單一 ULSI設計的 多個程式,及許可與目前的及未來的通信裝置互相運作。 該可程式岔碼處理系統及方法是適於同時地處理多個密 碼程式。該可程式密碼處理系統及方法朱可快逮地及安全 地切換在被處理的每一資料單元上程式(例如,演繹)及上 下文。 — 經濟部中央榡率局員工消费合作社印製 尤其’本發明的可程式密碼系統支援廣泛的應用。每一 應用可能有許多不同的及獨立的通信通道。再者,每一通 道可能有不同的密碼變數及狀態β本發明_的可程式密碼系 統之架構幫忙確保正確的程式及功能在保全作業中被執行β 本發明的可程式密碼系統也支援非密碼處理,例如組框 (framing)及波段内(in_band)發信號。在該等較佳實施例中 ,可程式密碼系統是可程式的,及允許該系統被使用於造 成更多的彈性及較低成本之各種不同的設備型態。 --- -33- 本纸張尺度it/种®I( CNS ) ( 210X297^1^ ~~~ -’ A7 B7 五、發明説明(3! 在較佳實施例中,用於密碼功能之典型的處理呑吐量是 大約50 MBPS ’包括用於多種程式之同時的執行。這能力 尤其透過下一作業之背景階進被得到,其提供呑吐量好處 以及支援多通道實施。 本發明提供有允許多個程序同時運作的架構之系統。例 如,速串列解密伴 鍵子運作例如數位簽章同時地被執行。本發明的可程式密 碼系統被分割’來幫助確保用於從安全手握無線電至安全 的高性能多通道無線電及網路範圍之多種應用的解決方法。 在較佳實施例中’本發明的處理系統以具有同時處理能 力的超純量(suPer scalar)架構,支援這高性能處理。深度 管線(deep Pipeiine)機器被提供用於高時脈率執行、分封的 資料單元處理、智慧型介面處理器及藉背景作業安排之單 —周期作業交換。這些特性整合進一種系一统提供超過使用 標準的商業上類似的處理器之實施將近i 〇 〇〇〇倍的效能好 處。 - 在標準的商業的DSP處理器上多個程式之處理的該等問 題之一是,由於在安全作業系統中作業交換,有太量的效 能損失。本發明在背景提供快速安全的作_業交換。伴隨典 型的微處理器及DSP的另一問題是,沒有足夠高速平行數 字及邏輯處理資源,用以高速密碼處理。在較佳實施例中 ,本發明的系統有3個加速密碼處理之高速處理資源,及 用於較低速需求之低速處理器。 在典型的密碼處理系統中,關键字變動資料當在子系統 _______- 34 - 本紙乐尺度適用中國國家標準(CNS ) A4規格(210X 297公楚)" "~—~~--- 请 先 閱 背 ιέ 之 注 舍 I 再 f 訂 ^濟部中^標聲局員工消资合作社印^ 五、發明説明(32 ) A7 B7 經濟部中夹標準局負工消费合作社印製 (間被交換時是易受傷害的。透過關鍵字管理及控制器子 系統及.位於單一晶片上的密碼處理引擎的使用,這安全危 機明顯地被本發明降低。從運作設備中深次微米平面擷取 資料是非常困難的,及因此幫助避免資料損失,甚至阻礙 最老練的攻擊者。在本發明的ULSI實施例中,該ULSI最 貧味濩性外殼覆蓋表面之探 一步加強這機密資料之保護。 密碼程式處理最好在保全架構中被執行,來幫助甚至當 有硬體故障時避免機密資料之任何損失。典型地,保全設 计典,型地導致額外的複雜性、額外的成本、額外的功率消 耗及較低的可靠度。然而,本發明最好結合保全技術,其 導致增進的可靠度、較低的功率消耗及較低的成本。 在較佳實施例中,本發明也允許在終端使用者設備中程 式的昇級。岔碼程式之連續昇級的這考量-延長該安全的設 備之可使用壽命及允許其他設備之互相運作。 在本發明的較佳實施例中,該等密碼程式被加密保護, 直到他們被載進該密碼引擎。一旦該加密關鍵字被移除, 該程式變成無法恢復的。在較佳實施例中,被使用來解密 該程式軟體的關鍵字被歸零。 _ 如此’已顯示的是可程式密碼處理系統,特徵爲:可程 式密碼處理器(PCP),用以處理資料單元;及密碼控制器 (CC),用以根據被包含在每一資料單元中的資訊,識別用 於每一資料單元的通道程式,及其中該等資料單元的每一 個由標題攔位、命令欄位及有效載荷部份組成,及其中該
(請先閲讀背面之注4?事項存填寫本寅) .^n ——I. I . τό------ir------ 35 卜紙乐尺度边用中國國家標準(CNS ) Λ4規格(210X297公釐〉 經濟部中央標隼局負工消费合作社印製 A7 _ B7 _ — --------- —""** 一 ---—— — —~^--- 五、發明説明(33 ) cc包括··用以讀取該等資料單元的某一個的標題欄位之裝 置;用以根據在標題欄位中的通道索引,從多個通道程式 識別用以處理單一資料單元之通道程式的裝置;用以回應 該通道索引,使該通道程式被下載至PCP中的處理引擎之 裝置;及用以傳送該有效載荷部份至PCP,預期被該處理 ^|~章戈理之裝及其中該命令欄位識别一要被 執行於單一資料單元上的該等功能,其中該PCP尚包括: 用以儲存該單一通道程式的第一記憶體;在被該處理引擎 處理該有效載荷部份之前,用以儲存該有效載荷部份的第 二記憶體;用以讀取該單一資料單元的命令欄位來決定該 等功能的裝置;及用以將該通道程式載進用於該等功能之 執行的該處理引擎的裝置。 同樣已被顯示的是一種系統,其中該等資料單元由標題 欄位、命令欄位及有效載荷部份組成,及_其中該PCP包括 用以儲存該有效載荷部份的第一記憶體及用以儲存多個通 道程式的第二記憶體,其中該等通道程式的某一個被下載 至處理引擎的第二記憶體,預期在先前資料單元之處理期 間,處理該等資料單元的某一個。 同樣已被顯示的是一種系統,有多個介面處理器(IP), 用以接收來自外部主機的該等資料單元,及傳送處理好的 資料單元至外部主機;及其中該PCP有裝置,用以在被處 理引擎處理單一資料單元期間,傳送該等資料單元的某一 個之處理好的部份至該等介面處理器的第二個,及其中該 第二介面處理器有裝置,當單一資料單元已被PCP完成處 __ - 36 - 本紙張尺度顧Ϊ’關家料(CNS ) Λ4規格(21GX 297公楚) —'~' ----------I ____ T ,· d 1 (請先閲讀背面之注t事項再填寫本頁) 經濟部中央標準局負工消费合作社印製 _ A7 ----------- B7 五、發明説明(34) 時用以通知孩外部主機;及該等介面處理器的第一個 包括m以非时地接收來自外部主機的該等資料單 凡’及其中該第二介面處理器有裝置,用以非同步地傳送 該等處理好的資料單元至外部主機。 同樣已被顯示的是-種系統,其中該pcp、CC及第一 及菜------- 同樣被顯示的是一種系統,其中該等資料單元由標題攔 位 '命令搁位及有效載荷部份組成,其中該PCP包括至少 兩個處理引擎,用以執行該等功能在該等資料單元上,及 其中孩CC包括:用以讀取該單一資料單元的標題欄位之 裝置;用以根據在標題欄位中的通道索引,從多個通道程 式識別該通道程式的裝置;用以根據該通道程式,選擇該 等處理引擎的某一個之裝置;用以回應該通道索引,使該 通道程式被下載至PCP中選擇好的處理引-擎之裝置;及用 以傳送該有效載荷部份至PCP,預期被選擇好的處理引擎 處理之裝置。 同樣已被類示的是一種系統,進一步特徵爲··與cc搞 合的關鍵字管理密碼引擎(KMCE)’及其中該PCP尚包括可 程式密碼引擎(PCE)及可组態密碼引擎(CGE),及其中該CC 有裝置,用以根據被包含於每一資料單元中的通道索引, 選擇該等密碼引擎的某一個,用以處理每一資料單元,及 用以回應該通道索引,繞送每一資料單元至該等密碼引擎 之選擇好的一個之裝置,該選擇好的密碼引擎執行多個通 道程式的某一個在每一資料單元上,及其中該單~通道程 -37- 本紙張尺度適用中國國家標準(CNS ) Λ4規格(2丨0X297公釐) — CV· (請先閲讀背面之注ΊΤ事項再填寫本頁)
*1T A7 B7 五、發明説明(35) 式有與其結合的上下文,該上下文以加密形式被儲存於外 部記憶禮中,及其中該系統有用以解碼該上下文之裝置, 在處理該單一資料單元之前,使該上下文被傳送至該選擇 好的密碼引擎。 同樣被顯示的是一種系統,其中該CC有用以從多個通 n—式 的裝置,I 其中該cc有用以識別與每一通道程式結合的上下文,及 其中該PCP有裝置,用以根據該已認出的通道程式及結合 的上下文’處理該等資料單元的每一個,該結合的上下文 特徵爲用於通道程式的關鍵字及狀態資訊。 經濟部中央標嗥局負工消費合作社印製 (請先閲讀背面之注f事項再填寫本瓦} 同樣被顯示的是一種系統,用以處理有與其結合的標題 部份、命令部份及有效載荷部份之該等資料單元,其中該 標題部份識別用以處理該結合的資料單元的通道程式,及 該命令部份識別要在該結合的資料單元之有效載荷部份上 被執行的該等功能’該系統特徵爲:可程式密碼處理器 (P CP),用以根據該等資料單元的每一個指定的通道程式, 處理該等資料單元的每一個;及密碼控制器(cc),用以讀 取該標題部份及使由該結合的資料單元識別的通道程式被 I載至PCP中的處理引擎’該CC使該減載荷部份被傳 送至處理引擎的記憶體,來等待被該通道程式處理。 同樣被顯示的是-種系統’有第一介面處理器,用以非 同步地接收來自外部主機的該等資料單元,及當該等資料 單元的某一個可供處理用時,用以通知cc;及第二介面 處理器,用以接收來自該PCP的單—資料單元之處理好的 -38 - 本紙浪尺度適用中國國家榡準(CNS ) Λ4規格(21〇x 2g7公釐 --1 五、發明説明(36 ) A7 B7 經濟部中央標準局負工消费合作社印製 部份’當該資料單元之全部處理好的部份已從pcp被接收 時,用‘以通知該外部主機,及用以非同步地傳送該處理 的資料單无至外部主機。 同樣已被顯示的是一種系統,進一步特徵爲:關鍵字管 理密碼引擎(KMCE),及其中該處理引擎是可程式密碼引擎 中該CC有裝置’用以根據由該資料單元識別的通道索引‘ ,選擇该等社、碼引擎的某一個,用以處理單一資料單元, 及用以回應菽通道索引,繞送單一資料單元至該選擇好的 密碼5丨擎之裝置,該選擇好的密碼引擎執行該通道程式在 單一資料單元上,及其中該等密碼引擎、CC及第一及第 二介面處理器被製造在單一晶片上’其中該通道程式有與 其結合的上下文’該上下文以加密形式被儲存於外部記憶 體中,及其中該系統有用以解碼該上下九之裝置,在處理 該孳-資料單疋之前’使該上下文被傳送至該選擇好的密 碼引擎。- 同樣已被顯示的是一種方法,用以在有多個處理引擎的 處理系統中處理該等資料單元,該方法特徵爲該等步驟: 根據該等資料單元的第一個中的資訊,從多個通道程式識 別通道权式;從多個處理引擎識別一處理引擎,用以處 m、資料單n送該第__資料單元至與該已認出的 處理引擎結合的記憶體;裝載該已認出的通道程式至該已 認出的處理引擎;及使用該已認出的通道程式,處理在該 已認出的處理5丨擎中的第一資料單元,及其中該一通 請 先 閲 S 背 再
I 訂 ------ -39- 本;張尺度(2齡297公楚) 經濟部中火樣本局員工消费合作社印^ A7 B7 五、發明説明(37 道的步驟尚包括識別與該通道結合的上下文之識別步驟, 該上下文被儲存在記憶體中,及其中該處理步驟包括由該 已認出的通道程式處理該第一資料單元的步驟,該已認出 的通道程式使用該結合的上下文。 同樣已被顯示的是一種方法,進一步特徵爲該步驟:在 處理,二單 It 步驟之執 複識別通道程式、識別處理引擎、繞送及裝載的該等步驟 ’其中該重複的識別通道程式步驟識別用於第二資料萆元 的第二通道程式,及其中該已認出的處理引擎是第一處理 引擎及其中該方法進一步特徵爲該等歩驟:識別第二處理 引擎’用以處理第三資料單元;識別用於第三資料單元的 第三通道程式;繞送該第三資料單元至與第二處理引擎結 合的記憶體;裝載該第三通道程式至第二處理引擎;及使 用該第二通道私式’處理在第二處理引擎_中的第三資料單 元’其中該處理第三資料單元步驟與由第—處理引擎處理 第一資料單元的步驟同時地被執行。 同樣被顯示的是一種方法,其中該等資料單元由標題欄 位、命令棚位及有效載荷部份組成,該方法進一步特徵爲 該等步驟:讀取該等資料單元的標題欄位根據在標題欄 位中的通道索引,從多個通道程式識別用以處理該等資料 單元之通道程式;根據該通道程式,選擇處理引擎;回應 該通道索引,下載該通道程式至該選擇好的處理引擎;傳 送該有效載荷部份至結合該處理引擎的記憶體位置,預期 被處理引擎處理;非同步地接收來自外部主機的該等資料 40- 表紙張尺度適用中國國家樣準(CNS ) Μ規格(2丨0X297公楚) , —pl-o------1Τ------ (請先聞讀背面之注1事項再填寫本頁) A7 B7 五、發明説明(38 ) 單元;及非同步地傳送該等處理好的資料單元至外部主機。 請 先 閲 讀 背
同樣被顯示的是一種方法,用以在有多個處理單元的可 程式密碼處理系統中同時地執行密碼功能,該方法特徵爲 該等步驟:接收由第一標題欄位、命令ID欄位及有效栽荷 部份組成的第一資料單元;根據該第一標題欄位,選擇該 資料單元上;繞送該第一資料單元至該選擇好的單一處理 單元;及根據命令ID欄位中資訊,該選擇好的單—處理單 元執行該等密碼功能之選擇好的一個在有效載荷部份上。 同樣被顯示的是一種方法,用以在該執行步驟的執行期 間’形成第一處理好的資料單元在介面處理器中,及其中 訂 該方法包括當該第一處理好的資料單元被形成時,通知外 部主機的步驟。 同樣被顯示的是一種方法,其中該繞送_步驟包括繞送該 第一資料單元至與該選擇好的單一處理單元結合的記憶體 的步驟。- 同樣被顯不的是一種方法,其中該方法包括在用於第— 資料單元之執行步驟的執行期間,選擇該等密碼功能的某 經濟部中央樣準局員工消費合作社印掣 一個的步驟、選擇該等處理單元的某一假的步驟、及繞送 的步驟,用在第二資料單元上。 同樣被顯示的是一種方法,其中該選擇單—處理單元步 驟尚包括選擇多個處理單元之可使用的一個來執行該等密 碼功能的某一個的步驟。 同樣被顯示的是-種方法,其中執行該單—密碼功能的 本紙張从顧巾_ 41 (210X297公釐) A7 ____— B7 五、發明説明(39 ) 步驟包括«與該單1碼功能結合的關鍵字,及使用該 關鍵字來執行該密碼功能的該等步驟。 同樣被顯示的是-種方法’進一步特徵爲該步驟:重複 選擇該等密碼功能的某叫固的步驟、選擇該等處理單元的 某-個的步驟、繞送的步驟,及執行用於第二資料單元的 是在連續接收的資料單元中跟隨第一資料單元之後續的資 料單元’該第一及第二資料單元非同步地從外部主機被接 收。 同樣被顯示的是-種方法,進—步特徵爲該步驟·定義 多個通道程式’每-通道程式與密碼功能及關鍵字相結合。 同樣被顯示的是一種方法,進一步特徵爲該步驟:重新 疋義多個通道程式’每—通道與該等密碼功能及加密關鍵 字足組合相結合,其中每一資料單元的標題欄位識別多個 通道程式的某一個,及其中執行的步驟包括以用於每一資 料單7L的單一通道程式之該等加密關鍵字,執行該等密碼 功能的某一個的步驟,及其中該密碼功能包括—加密功能 ,及其中孩接收步驟包括藉由該系統的明文可程式介面, 接收成明文形式的第一資料單元的步驟,-該選擇步驟包括 選擇結合該通道程式的該等密碼功能的某一個的步驟,及 孩執行單一密碼功能步驟包括使用被儲存於該系統中及結 合該通道程式的加密關鍵字,至少加密該第一資料單元之 有效載荷部份的步驟,及其中該密碼功能包括—解碼功能 ’及其中該接收步驟包括藉由該系統的密文可程式介面, ------- - 42 - 本紙張尺奴财 (請先閲讀背面之注—事項再填寫本頁) .-α. 訂 -濟部中央榡準局員工消費合作社印製 經濟部中央標準局負工消费合作社印製 A7 B7 五、發明説明(4〇 ) 接收成密文形式的第一資料單元的步驟,該選擇步驟包括 選擇結.合該通道程式的單一密碼功能的步驟,及該執行密 碼功能步驟包括使用被儲存於該系統中結合該通道程式之 該選擇好的密碼功能及加密β鍵字,至少解碼該第一資料 單元之有效載荷部份的步驟。 章功能,及其中該接收步驟包括在該系統的可程式介面處 ,接收該第一資料單元的步驟,該選擇步驟包括選擇結合 該通道程式的密碼功能的步驟,及該執行密碼功能步驟包 括使用被儲存於該系統中結合該通道程式之該選擇好的密 碼功能及加密關鍵字,至少數位簽章該第一資料單元的步 驟。 同樣被顯示的是一種方法,其中該密碼功能包括認證功 能,及其中該接收步驟包括在該系統的可-程式介面處,接 收該第一資料單元的步騍,該選擇步驟包括選擇結合該通 道程式的密碼功能的步驟,及該執行密碼功能步驟包括使 用被儲存於該系統中結合該通道程式之該選擇好的密碼功 能及加密關鍵字,至少認證該第一資料單元的步驟。 同樣被顯示的是一種方法,其中該標題欄位包括識別結 合該第一資料單元的資料單元安全等級的欄位,及其中該 第一資料單元識別第一通道程式,該第一通道有與其結合 的程式安全等級,及其中該方法包括比較該資料單元安全 等級與該程式安全等級的步骤,及其中當該程式安全等級 至少是如同資料單元安全等級一樣大時,執行該密碼功能 -43- 本纸張尺度適用中國國家標準(CNS ) Λ4規格(2IOX 297公釐) - m - 1: I In In ml - I— -- I -- -I— - -.1 1--1—— .,.1 (請先閲讀背面之注意事項再填寫本I ) B7 五、發明説明(41 ) 的步驟被執行β 同樣已被顯Τ的是處理資科 等步驟··從第—諸适_ “樣 万法’特徵爲及 通道資訊識別的道,,·根據由第一 通道程式,處理孩第一資料單元;從 資訊;根據由第二通道資訊識 —通道資訊步驟,下載該第—通道程式至處理^^及回 =讀取第二通道㈣步驟,下載該第二通道程式至處理 引擎,孩下載第二通道程式步驟在處理該第 驟之執行期㈤被執行。 ' 請皁兀, 同樣被顯示的是—種方法,進—步特徵爲該步驟 結合該第二通道程式的上下文至結合該處 勃該裝載上下文在處理該第—資料單元步驟之執行期㈣ 執行。 經濟部中央榡牟局負工消费合作社印製 同樣被顯示的是一種方法,其中該處理引擎是密碼處理 系統的多個處理引擎的某一個,該方法進一步特徵爲該步 驟:根據被包含於該第—資料單元中的資訊,識別該等處 理引擎的某-個;及繞送該第一資料單元至該等處理引擎 之已認出的一個,其中該處理第一資料幂元步驟包括以該 等處理引擎之已認出的一個處理該第一資料單元的步驟, 及其中該下載第一通道程式步驟包括下載第—通道程式至 該等處理引擎之已認出的一個的步驟。 同樣被顯示的是一種方法,其中該讀取步驟處理步 、下載步驟及裝載步驟被可程式密碼處理系統執行,其中 本紙张尺度適用中關家標率(CNS ) Μ規格(21GX_297公楚)
該上下文被儲存於系統外部 的記憶體位置中,及其中該方 經 濟 部 中 央 標 準 法尚包括在裝載上下文步 從第三資料單元讀取第:=:、,解碼該上下文的步驟; 料單元中的資訊,識別根據被包含於第三資 三資料單元至該等處理引擎 擎的第-個;繞送該第 —固,及根據由第三通道 單元。 同樣被顯示的是一種方法,其 別該等處理引擎的第二個及这送: /訊、識 调及%达第二資料單元的該等步驟 一'處理弟—資料單元的步驟同時地被執行。 該等特$的實施例之先前説明_完全地揭#本發明的— =特性由應用目前知識,其他能夠沒有脱離一般的觀 念,容易地修改及/或改造這類特定的實施例做爲各種不同 的應^,及所以這類改造及修改應該及打算被了解是在類 似該等已揭示的實施例的意義及範圍之内。 應了解在此被採用的術語或用語是爲了説明用的及不是 限制°因此’本發明打算包含全部諸如位於該等附加的申 請專利範圍的精神及廣泛範圍之内的替代、修改、類似及 變化。 〆 f請先閲讀背面之注事項再填窍本頁〕
*ΙΌ 、玎--------------- 消 衽 印 -45- 本氏張尺度制巾_家轉(cns ) 規格(2咖297公楚

Claims (1)

  1. 871 06 7 1 9 經濟部中央標準局員工消費合作社印製 .-\〇 BS 一 cs D8六、申請專利範圍 1. 一種可程式密碼處理系統(1〇),特徵爲: 可程式密碼處理器(PCP)(i7),用以處理該等資料單元 :及 密碼控制器(CC)( 11 ),用以根據被包含在每一資料單 元中的資訊’識別用於每一資料單元的通道程式。 2:ι-據屮請專利碼處理系蜣,其中 該等資料單元的每一個由標題欄位、命令欄位及有效載 荷部份组成,及其中該CC特徵爲: 裝置’用以讀取該等資料單元的某_個的標題欄位; 裝置,用以根據在標題欄位中的逸道索引,從多個通 道程式識別用以處理該單.一資料單元之通道程式; 裝置,用以回應該通道索引,使該通道程式被下載至 PCP中的處理引擎;及 裝置,用以傳送該有效載荷部份至ρςρ,預期被處理 引擎處理。 3.根據申請專利範圍第2項之可程式密碼處理系統,其中 該命令攔铢識別要被該處理引擎在單一資料單元上執行 的該等功能,其中該PCP進一步特徵爲,-· 第一記憶體,用以儲存該單一通道程试; 第二記偉體,用以在被該處理引擎處理韓有效載荷部 W分之前,儲存該有效載荷部份; 裝置’用以讀取該單一資料單元的命令欄位,來決定 該等功能;及 裝置,用以將該通道程式載進用於該等功能之執行的 -46- 本紙張尺度適用中關家縣(CNS〉Α4· (21()><297公瘦) (請先聞讀背面之注 I.—n-t— I意事項再填寫本頁) ,ιτ 經濟部中央標準局貝工消費合作社印製 B8 "CS ______ D8 六、申請專利範圍 處理引擎,及 其中該等資料單元由標題欄位、命令攔位及有效載荷 部份組成,及其中該pcp包括用以儲存該有效載荷部份 的弟s己憶趙及用以儲存多個通道程式的第二記憶體, 其中該等通道程式的某T個被下載至處理引擎的第二 料單元的某一個。 4.根據申請專利範園第丨項之可程式密碼處理系統,進一 步特徵爲: 多個介面處理器(ip)(13、is),肸以接收來自外部主 機的該等資料單元,及傳送處理好的資料單元至外部主 機; 其中該PCP有裝置,用以在被處理引擎(14、16)處理 單一資料單7C之處理期間,傳送該等資科單元的某—個 t處理好的部份至該等介面處理器的第二個,及 其中孩第二介面處理器有裝置,當單一資料單元已被 pcp處理完成時,用以通知該外部主機; 菝―等介面處理器的第一個包括裝置,用以非同步地接 收來自外部主機的該等資料單元,及其冲該第二介面處 理器有裝,用以非同步地傳送該等處理好的資料單元 至外部主機,及 其中該等資料單元由標題欄位、命令欄位及有效載荷 部份组成, 其中該PCP特徵爲:至少兩個處理引擎,用以執行該 -47 - 本紙張尺度適用中國國家標準(CNsT^STYj〇X297TI") (請先閲讀背面之注 f事項再填寫本頁) 訂 * I ' 88 CS D8 六、申請專利範圍 等功能在該等資料單元上,及 ’用以讀取該單—資料單元的標題欄位,· f r = β 根據在襟題欄位中的通道索引,從多個通 k程式識別該通道程式; —_ 道程式,選擇該等處理?丨擎的某 裝置,用以回應該通道索引,使該通道程式被下載至 PCP中選擇好的處理幻擎; 裝置,用以傳送該有效載荷部份至PCP,預期被選擇 好的V處理引擎處理;及 、 -與CC耦合的關鍵字f理密碼引擎(KmCE)(12),及其 中該PCP it纟特徵爲:可程式密碼引擎(PCE)(14)及可 組,%密碼引擎(CCE)(16),及其中該cc有裝置,用以根 據被包含於每一資料單元中的通道索引,選擇該等密碼 引擎的某一個,用以處理每—資料單元,及用以回應該 通道索引,繞送每一資料單元至該等密碼引擎之選擇好 的一個之裝置,該選擇好的密碼引擎執行多個通道程式 的某一個在每一資料單元上。 5.—種資料單元處理系統,用以處理有與嗔結合的標題部 份)命令部份及有效載荷部份的資料單元,其中該標題 部份識別用以處理該結合的資料單元的通道程式,及該 命令部份識別要在該結合的資料單元之有效載荷部份上 被執行的該等功能,該系統特徵爲: .可程式密碼處理器(ρςρ)(ΐ7),用以根據由該等資料單 -48- 本紙張尺度通用中國國家標準(CNS > Α4規格(210Χ297公釐 詩 先 聞 面 之. 項 再 填 寫 本 頁 Q 訂 經濟部中央樣準局員工消費合作社印製 B8 CS D8 六、申請專利範圍 元的每一個指定的通道程式,處理該等資料單元的每一 個ί及 密碼控制器(CC)( 11),用以讀取該標題部份及使由該 結.合的資料單元識別的通道程式被下載至pcp中的處理 引擎,該CC使該有效載荷部份被傳送至該處理引擎的記 憶禮,待被冢。 6. 根據申請專利範圍第5項之系統,進一步特徵爲: 第一介面處理器’用以非同步地接收來自外部主機的 該等資料單元,及當該等資料單元的某一個可供處理用 時,用以通知C C ; 、 第二介面處理器,用以接收來自該PCP的單一資料單 元之處理好的部份’當該資料單元之全部處理好的部份 已從P C P被接收時用以通知該外部主機,及用以非同 步地傳送該處理好的資料單元至外部主機; 關键子曼—理#碼引擎(KMCE)( 12),及其中翁處理引擎 是可程式密碼引擎(PCE)( 14) ’及其中該pep進一步特歡 爲可組態岔碼引擎(CCE)(16),及其中、該有裝置,用 〜以根據由該資料單元識別的通道索引,選擇該等密碼引 擎的某-個,用以處理單一資料單元,—及用以回應該通 道索引,繞送單一資料單元至該選擇好的密碼引擎之裝 置,繫選擇好的密碼引擎執行該通道程式在單一資料單 元上。 7. -種方法(200),用以在有多個處理引擎的處理系統中處 理該等資料單元,該方法特徵爲包含步驟: 度朝 家料(CNS ) (請先閲讀背面之注 iO^— 項再本頁) 訂 經濟部中央標準局員工消费合作社印製 49- ------ — --- I_____,, ------------ *** ------ — --- I_____,, ------------ *** 經濟部中央榇準局員工消費合作社印製 Λ卞 Β8 -CS — 六、申請專利範圍 (208)根據該等資_料單元的第一個中的資訊,從多個通 道程式識別一通道程式; (208)從多個處理引擎識別一處理引擎,用以處理該第 —資料單元; (2 10)繞送該第一資料單元至與該已認出的處理引擎 綠合的記憶體; (216)裝載該已認出的通道程式至該已認出的處理引 擎;及 (220)使用該已認出的通道程式,處理在該已認出的處 理引擎中的第一資料單元,及 、 其中該識別一通道的步驟尚包括識別輿該通道結合的 :彳下文之^識別步驟,該上下文被儲存在記憶體中,及其 中該處理步驟包括由該已認出的通道程式處理該第一資 料單元的步驟’該已認出的通道程式使月該結合的上下 文。 8,根據申請專利範圍第7項之方法,進—步特徵爲該步驟 :在處理第一資料單元步驟之執行期間,對於第二資料 單元重複識別通道程式、識別處理引擎、繞送及裝載的 該等步驟,其中該重複的識別通道程式涉膝識別用於策 二資料單元的第二通道程式,及 其中該已認出的處理引擎是第一處理引擎及其中該方 法進一步特徵爲該等步驟·· 識別第二處理引擎,用以處理第三資料單元· ^別用於第三資料單元的第三通道程式; -50- 本紙張尺度適用中國國家標準(CNS ) A4規格(2丨0X297公釐) iQ-i C请先閲讀背面之注一意事項再f本頁) 訂 B8 CS D8 繞送該第三資料單元至與第二 费恭;楚_、s L 学結合的記憶體; 裝載孩弟二通道程式至第二處理引擎;及 使用該矛二通道程式,處理.笼_老 在第一處理引擎中的笛_ 資料單元, )丨竽甲的弟二 其中該處理第二資料單元步骤與由笛 —故一 少殊興田第—處理引聲虚逨
    經濟部中失標犖局員工消费合作社印裝 六、申請專利範圍 J万! L以在有多個處理單元的可程式密碼處 理系統中同時地執行密碼功能,該方法特徵爲 驟 _)接收由第—標題欄位、命令⑴欄位及有效載荷 部.份组成的第一資料單元; ° (208)根據該第-標題欄位,選擇該等處理單元的某一 個来執行該等密碼功能的某一個在第一資料單元上; (210)繞送該第-資料單元至該選擇好的單—處 元; 根據命令ID欄位中資訊,該選擇好的單一處理單元 (220)執行該等密碼功能之選擇好的一個在有效載 份上;及 在該執行步驟的執行期間,形成第一處理好的資料單 、元在介面處理器中,及其中該方法包括嗜該第—處理好 的資料單元被形成時,通知外部主機的步驟,及 其中孩繞送步驟包括繞送該第一資料厚元至與該選擇 r梦的單一處理單元結合的記憶體的步驟。 瓜根據申請專利範圍第9項之方法,其中該方法包括在用 於第一資料單7C之執行步驟的執行期間,選擇該等密肩 -51 張尺度通用中國國家標準(CNS )Α4ϋΓ( 210X297^ ) (請先閲讀背面之注i項再f本頁)
    申请專利範圍 Λ-Κ Β8 CS D8 經濟部中央標準局員工消费合作社印製 功能的某一個的步驟、選擇該等處理單元的某一個的步 碟、及繞送的步驟,用在第二資料單元上,及 其中該選擇單一處理單元步驟進一步特徵爲選擇多個 處理單元之可使用的一個來執行該等密碼功能的某一個 的步驟,及 — 碼功能結合的關鍵字,及使用該關键字來執行該密碼功 能的該等步線; 重複選擇該寺歡碼功能的某一個的步驟、選擇該等處 理單元的某一個的步驟、繞送的步獠及執行用於第二資 料單元的該等密碼功能之選擇好的某—個的步驟,該第 —資料單元是在連續接收的戈科單元中跟随第一資料單 元之後續的資料單S ’該第—及第:資料單元非同步地 從外部主機被接收;及 _ 定義多個通道程式,每一通道程式與密碼功能及關键 字相結合; 重新定義多個通道程式,每一通道與該等密碼功能及 加密關鍵字之組合相結合,其中每一資料單元的標題爛 位識別多個一通道程式的某一個,及其中章行的步驟包括 以用於每一資料單元的單一通道輕式之該等加密關鍵字 ’執行該等密碼功能的某一個的步驟,及 其中該密碼功能特徵爲一加密功能,及其中該接收步 驟包括藉由該系統的明文可程式介面,接收成明Λ文形式 的第一資料單元的步驟,該選擇步驟包括選擇結合該;^甬 lr>ί C待先聞請背面之注f事項再填寫本頁) 訂 i -52 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐
    B3 -CS D8 六、申請專利範圍 道程式的該等密碼功能的某一値的步驟,及該執行單一 密碼功能步驟包括使用被儲存於該系統中及結合該通道 程式的加密關鍵字,至少加密該第一資,料卑元之有效載 荷部份的步驟,及 其中該密碼功能特徵爲一解碼功能,及其中該接收步 驟包括 該系統的密面7接收成密支 的第一資料單元的步驟,該選擇步驟包括選擇結合該通 道程式的單一密碼功能的步驟,及該執行密碼功能步驟 包括使用被儲存於該系統中結合該通道程式之該選擇好 的密碼功能及加密關鍵字,至少解跨該第一資料單元之 有效載荷部份的步驟β I m 4·. : . n (請先閲讀背面之注畜事項再填寫本頁) 訂 經濟部中央標準局員工消費合作社印製 -53- 本紙張尺度適用中國國家標準(CNS )八4規格(210X297公釐)
TW087106719A 1997-04-30 1998-05-05 Programmable crypto processing system and method TW386202B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/841,314 US6101255A (en) 1997-04-30 1997-04-30 Programmable cryptographic processing system and method

Publications (1)

Publication Number Publication Date
TW386202B true TW386202B (en) 2000-04-01

Family

ID=25284556

Family Applications (1)

Application Number Title Priority Date Filing Date
TW087106719A TW386202B (en) 1997-04-30 1998-05-05 Programmable crypto processing system and method

Country Status (5)

Country Link
US (1) US6101255A (zh)
EP (1) EP0876026B1 (zh)
JP (1) JPH10320191A (zh)
DE (1) DE69841760D1 (zh)
TW (1) TW386202B (zh)

Families Citing this family (123)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6418478B1 (en) * 1997-10-30 2002-07-09 Commvault Systems, Inc. Pipelined high speed data transfer mechanism
US7581077B2 (en) 1997-10-30 2009-08-25 Commvault Systems, Inc. Method and system for transferring data in a storage operation
US6965999B2 (en) * 1998-05-01 2005-11-15 Microsoft Corporation Intelligent trust management method and system
FI105739B (fi) * 1998-06-10 2000-09-29 Ssh Comm Security Oy Verkkoon kytkettävä laite ja menetelmä sen asennusta ja konfigurointia varten
US6301662B1 (en) * 1998-08-21 2001-10-09 Nortel Networks Corporation Authentication of routing data using variable output length one-way functions
JP4763866B2 (ja) * 1998-10-15 2011-08-31 インターシア ソフトウェア エルエルシー 2重再暗号化によりデジタルデータを保護する方法及び装置
DE19907964C1 (de) 1999-02-24 2000-08-10 Fraunhofer Ges Forschung Vorrichtung und Verfahren zum Erzeugen eines verschlüsselten Datenstroms und Vorrichtung und Verfahren zum Erzeugen eines entschlüsselten Audio- und/oder Videosignals
US7107612B1 (en) * 1999-04-01 2006-09-12 Juniper Networks, Inc. Method, apparatus and computer program product for a network firewall
US6701432B1 (en) * 1999-04-01 2004-03-02 Netscreen Technologies, Inc. Firewall including local bus
US7600131B1 (en) 1999-07-08 2009-10-06 Broadcom Corporation Distributed processing in a cryptography acceleration chip
US20030014627A1 (en) * 1999-07-08 2003-01-16 Broadcom Corporation Distributed processing in a cryptography acceleration chip
US7370348B1 (en) * 1999-07-30 2008-05-06 Intel Corporation Technique and apparatus for processing cryptographic services of data in a network system
EP1153367A4 (en) * 1999-10-15 2002-05-29 Ascom Hasler Mailing Sys Inc TECHNIQUE FOR EFFECTIVELY GENERATING POSTAL MARKS USING A POSTAGE SECURITY DEVICE
US6842863B1 (en) 1999-11-23 2005-01-11 Microsoft Corporation Certificate reissuance for checking the status of a certificate in financial transactions
AU1541700A (en) * 1999-12-09 2001-06-18 Milinx Business Group, Inc. Method and apparatus for secure e-commerce transactions
WO2001084836A2 (en) * 2000-04-28 2001-11-08 Broadcom Corporation Cryptographic key distribution system and method for digital video systems
US20020037081A1 (en) * 2000-04-28 2002-03-28 David Rogoff Cryptographic key distribution system and method for digital video systems
JP2001339383A (ja) * 2000-05-29 2001-12-07 Hitachi Ltd 認証通信用半導体装置
JP2002014872A (ja) 2000-06-29 2002-01-18 Fujitsu Ltd 暗号制御装置
DE10040854A1 (de) * 2000-08-21 2002-03-21 Infineon Technologies Ag Chipkarte
US7277542B2 (en) * 2000-09-25 2007-10-02 Broadcom Corporation Stream cipher encryption application accelerator and methods thereof
CN1202643C (zh) * 2000-10-07 2005-05-18 Lg电子株式会社 具有无线电链路控制层的无线电通信系统和数据处理方法
DE10061998A1 (de) * 2000-12-13 2002-07-18 Infineon Technologies Ag Kryptographieprozessor
JP4098478B2 (ja) * 2001-01-31 2008-06-11 株式会社東芝 マイクロプロセッサ
DE60203277T2 (de) 2001-04-30 2006-03-30 Activcard Ireland Ltd. Verfahren und system zur authentifizierung eines personal security device gegenüber mindestens einem fernrechnersystem
US7363486B2 (en) * 2001-04-30 2008-04-22 Activcard Method and system for authentication through a communications pipe
US20020162021A1 (en) * 2001-04-30 2002-10-31 Audebert Yves Louis Gabriel Method and system for establishing a remote connection to a personal security device
US7225465B2 (en) * 2001-04-30 2007-05-29 Matsushita Electric Industrial Co., Ltd. Method and system for remote management of personal security devices
WO2002091316A1 (en) 2001-04-30 2002-11-14 Activcard Ireland, Limited Method and system for remote activation and management of personal security devices
DE10127424B4 (de) * 2001-06-06 2004-09-02 Infineon Technologies Ag Elektronische Schaltung mit asynchroner Taktung von Peripherieeinheiten
US7500104B2 (en) * 2001-06-15 2009-03-03 Microsoft Corporation Networked device branding for secure interaction in trust webs on open networks
JP2003051819A (ja) * 2001-08-08 2003-02-21 Toshiba Corp マイクロプロセッサ
US7512780B1 (en) * 2001-08-31 2009-03-31 Verizon Corporate Services Group, Inc. Packet-parallel high performance cryptography systems and methods
US7082200B2 (en) * 2001-09-06 2006-07-25 Microsoft Corporation Establishing secure peer networking in trust webs on open networks using shared secret device key
US7162631B2 (en) * 2001-11-02 2007-01-09 Activcard Method and system for scripting commands and data for use by a personal security device
EP1320006A1 (en) * 2001-12-12 2003-06-18 Canal+ Technologies Société Anonyme Processing data
US7376967B1 (en) * 2002-01-14 2008-05-20 F5 Networks, Inc. Method and system for performing asynchronous cryptographic operations
US7305567B1 (en) * 2002-03-01 2007-12-04 Cavium Networks, In. Decoupled architecture for data ciphering operations
US20030167399A1 (en) * 2002-03-01 2003-09-04 Yves Audebert Method and system for performing post issuance configuration and data changes to a personal security device using a communications pipe
US7899924B2 (en) * 2002-04-19 2011-03-01 Oesterreicher Richard T Flexible streaming hardware
US20040006636A1 (en) * 2002-04-19 2004-01-08 Oesterreicher Richard T. Optimized digital media delivery engine
US20040006635A1 (en) * 2002-04-19 2004-01-08 Oesterreicher Richard T. Hybrid streaming platform
US7802108B1 (en) * 2002-07-18 2010-09-21 Nvidia Corporation Secure storage of program code for an embedded system
US7885409B2 (en) * 2002-08-28 2011-02-08 Rockwell Collins, Inc. Software radio system and method
GB2409553B (en) 2002-09-16 2007-04-04 Commvault Systems Inc System and method for optimizing storage operations
US6684271B1 (en) * 2002-10-18 2004-01-27 Xilinx, Inc. Method and apparatus for changing context in link channelization
US7568218B2 (en) * 2002-10-31 2009-07-28 Microsoft Corporation Selective cross-realm authentication
US20040123123A1 (en) * 2002-12-18 2004-06-24 Buer Mark L. Methods and apparatus for accessing security association information in a cryptography accelerator
US7568110B2 (en) * 2002-12-18 2009-07-28 Broadcom Corporation Cryptography accelerator interface decoupling from cryptography processing cores
US7434043B2 (en) * 2002-12-18 2008-10-07 Broadcom Corporation Cryptography accelerator data routing unit
US7191341B2 (en) 2002-12-18 2007-03-13 Broadcom Corporation Methods and apparatus for ordering data in a cryptography accelerator
US20040123120A1 (en) * 2002-12-18 2004-06-24 Broadcom Corporation Cryptography accelerator input interface data handling
CN1799207B (zh) * 2003-04-08 2011-12-07 先进通信网络股份有限公司 通过电力线进行数据通信的系统和方法
US7542566B2 (en) * 2003-04-18 2009-06-02 Ip-First, Llc Apparatus and method for performing transparent cipher block chaining mode cryptographic functions
US8060755B2 (en) * 2003-04-18 2011-11-15 Via Technologies, Inc Apparatus and method for providing user-generated key schedule in a microprocessor cryptographic engine
US7519833B2 (en) * 2003-04-18 2009-04-14 Via Technologies, Inc. Microprocessor apparatus and method for enabling configurable data block size in a cryptographic engine
US7502943B2 (en) * 2003-04-18 2009-03-10 Via Technologies, Inc. Microprocessor apparatus and method for providing configurable cryptographic block cipher round results
US7844053B2 (en) * 2003-04-18 2010-11-30 Ip-First, Llc Microprocessor apparatus and method for performing block cipher cryptographic functions
US7539876B2 (en) * 2003-04-18 2009-05-26 Via Technologies, Inc. Apparatus and method for generating a cryptographic key schedule in a microprocessor
US7536560B2 (en) * 2003-04-18 2009-05-19 Via Technologies, Inc. Microprocessor apparatus and method for providing configurable cryptographic key size
US7529367B2 (en) * 2003-04-18 2009-05-05 Via Technologies, Inc. Apparatus and method for performing transparent cipher feedback mode cryptographic functions
US7532722B2 (en) * 2003-04-18 2009-05-12 Ip-First, Llc Apparatus and method for performing transparent block cipher cryptographic functions
US7925891B2 (en) * 2003-04-18 2011-04-12 Via Technologies, Inc. Apparatus and method for employing cryptographic functions to generate a message digest
US7529368B2 (en) * 2003-04-18 2009-05-05 Via Technologies, Inc. Apparatus and method for performing transparent output feedback mode cryptographic functions
US7900055B2 (en) * 2003-04-18 2011-03-01 Via Technologies, Inc. Microprocessor apparatus and method for employing configurable block cipher cryptographic algorithms
US7657757B2 (en) * 2003-04-30 2010-02-02 Freescale Semiconductor, Inc. Semiconductor device and method utilizing variable mode control with block ciphers
US20040242261A1 (en) * 2003-05-29 2004-12-02 General Dynamics Decision Systems, Inc. Software-defined radio
US7685436B2 (en) * 2003-10-02 2010-03-23 Itt Manufacturing Enterprises, Inc. System and method for a secure I/O interface
CA2544063C (en) 2003-11-13 2013-09-10 Commvault Systems, Inc. System and method for combining data streams in pilelined storage operations in a storage network
FR2863076B1 (fr) * 2003-11-28 2006-02-03 Bull Sa Systeme cryptographique haut debit a architecture modulaire.
WO2005099168A1 (ja) * 2004-03-30 2005-10-20 Matsushita Electric Industrial Co., Ltd. 暗号化方式のアップデートシステム
DE102004027372B4 (de) * 2004-06-04 2006-03-30 Infineon Technologies Ag DPA-resistente konfigurierbare Logikschaltung
US20050276413A1 (en) * 2004-06-14 2005-12-15 Raja Neogi Method and apparatus to manage heterogeneous cryptographic operations
KR100868820B1 (ko) * 2004-07-23 2008-11-14 비치 언리미티드 엘엘씨 데이터 스트림을 전달하는 방법 및 시스템과 데이터 저장 레벨을 제어하는 방법
US20090158282A1 (en) * 2004-07-30 2009-06-18 Mocana Corporation Hardware accelaration for large volumes of channels
US20060117004A1 (en) * 2004-11-30 2006-06-01 Hunt Charles L System and method for contextually understanding and analyzing system use and misuse
US20060136717A1 (en) 2004-12-20 2006-06-22 Mark Buer System and method for authentication via a proximate device
US8295484B2 (en) 2004-12-21 2012-10-23 Broadcom Corporation System and method for securing data from a remote input device
EP1873961A1 (en) 2005-04-07 2008-01-02 Matsushita Electric Industrial Co., Ltd. Circuit building device
WO2006115213A1 (ja) * 2005-04-21 2006-11-02 Matsushita Electric Industrial Co., Ltd. 回路更新システム
JPWO2006115212A1 (ja) * 2005-04-21 2008-12-18 松下電器産業株式会社 アルゴリズム更新システム
US7958353B2 (en) * 2005-04-25 2011-06-07 Panasonic Corporation Information security device
US9191198B2 (en) 2005-06-16 2015-11-17 Hewlett-Packard Development Company, L.P. Method and device using one-time pad data
US8190877B2 (en) * 2005-07-05 2012-05-29 Viasat, Inc. Trusted cryptographic processor
US8527741B2 (en) * 2005-07-05 2013-09-03 Viasat, Inc. System for selectively synchronizing high-assurance software tasks on multiple processors at a software routine level
US7840000B1 (en) * 2005-07-25 2010-11-23 Rockwell Collins, Inc. High performance programmable cryptography system
US20080118065A1 (en) * 2005-07-29 2008-05-22 James Blaisdell Hardware acceleration for large volumes of channels
US8842839B2 (en) * 2005-09-29 2014-09-23 Hewlett-Packard Development Company, L.P. Device with multiple one-time pads and method of managing such a device
US20070177424A1 (en) * 2005-09-29 2007-08-02 Martin Sadler Device with n-time pad and a method of managing such a pad
US8429418B2 (en) * 2006-02-15 2013-04-23 Intel Corporation Technique for providing secure firmware
US9860055B2 (en) * 2006-03-22 2018-01-02 Synopsys, Inc. Flexible architecture for processing of large numbers and method therefor
JPWO2007145220A1 (ja) * 2006-06-14 2009-11-05 パナソニック株式会社 書き換え可能な回路を備える装置、更新システム、更新方法、更新プログラム、及び集積回路
US8301905B2 (en) * 2006-09-08 2012-10-30 Inside Secure System and method for encrypting data
JP4991223B2 (ja) * 2006-09-08 2012-08-01 ルネサスエレクトロニクス株式会社 データ処理装置
US8320556B1 (en) * 2006-09-28 2012-11-27 Rockwell Collins, Inc. Method to allow cryptographic processing of messages without sanitizing the cryptographic processor between messages
US8280028B1 (en) * 2007-03-23 2012-10-02 Nextel Communications, Inc. System and method for indicating call priority
US8583915B1 (en) * 2007-05-31 2013-11-12 Bby Solutions, Inc. Security and authentication systems and methods for personalized portable devices and associated systems
DE102007060675A1 (de) * 2007-06-11 2008-12-18 Rohde & Schwarz Gmbh & Co. Kg Vorrichtung und Verfahren zur Verarbeitung von Datenströmen
US20090034734A1 (en) 2007-07-31 2009-02-05 Viasat, Inc. Multi-Level Key Manager
US9020146B1 (en) * 2007-09-18 2015-04-28 Rockwell Collins, Inc. Algorithm agile programmable cryptographic processor
US8897448B2 (en) * 2008-10-31 2014-11-25 Ciena Corporation Controlling session keys through in-band signaling
US8990582B2 (en) * 2010-05-27 2015-03-24 Cisco Technology, Inc. Virtual machine memory compartmentalization in multi-core architectures
US8812871B2 (en) * 2010-05-27 2014-08-19 Cisco Technology, Inc. Method and apparatus for trusted execution in infrastructure as a service cloud environments
US9141831B2 (en) 2010-07-08 2015-09-22 Texas Instruments Incorporated Scheduler, security context cache, packet processor, and authentication, encryption modules
US9191200B1 (en) * 2010-10-07 2015-11-17 L-3 Communications Corp. System and method for changing the security level of a communications terminal during operation
US9456340B2 (en) * 2011-06-29 2016-09-27 Hewlett Packard Enterprise Development Lp Unsolicited broadcast packet transmission through close-by communication protocol
US9536078B2 (en) 2011-10-12 2017-01-03 Forcepoint Federal Llc Integrated circuit for cyber security processing
FR3003712B1 (fr) * 2013-03-19 2016-08-05 Altis Semiconductor Snc Module de securite materiel
US9317718B1 (en) 2013-03-29 2016-04-19 Secturion Systems, Inc. Security device with programmable systolic-matrix cryptographic module and programmable input/output interface
US9374344B1 (en) 2013-03-29 2016-06-21 Secturion Systems, Inc. Secure end-to-end communication system
US9355279B1 (en) 2013-03-29 2016-05-31 Secturion Systems, Inc. Multi-tenancy architecture
US9798899B1 (en) 2013-03-29 2017-10-24 Secturion Systems, Inc. Replaceable or removable physical interface input/output module
US9524399B1 (en) * 2013-04-01 2016-12-20 Secturion Systems, Inc. Multi-level independent security architecture
FR3016065B1 (fr) 2013-12-26 2016-02-05 Thales Sa Procede de conception d'une architecture reconfigurable de traitement d'un ensemble d'operations multi niveau de securite
DE102014222181A1 (de) * 2014-10-30 2016-05-04 Robert Bosch Gmbh Verfahren zum Betreiben eines Steuergeräts
US9904481B2 (en) 2015-01-23 2018-02-27 Commvault Systems, Inc. Scalable auxiliary copy processing in a storage management system using media agent resources
US9898213B2 (en) 2015-01-23 2018-02-20 Commvault Systems, Inc. Scalable auxiliary copy processing using media agent resources
US11283774B2 (en) 2015-09-17 2022-03-22 Secturion Systems, Inc. Cloud storage using encryption gateway with certificate authority identification
US9794064B2 (en) 2015-09-17 2017-10-17 Secturion Systems, Inc. Client(s) to cloud or remote server secure data or file object encryption gateway
US10708236B2 (en) 2015-10-26 2020-07-07 Secturion Systems, Inc. Multi-independent level secure (MILS) storage encryption
US11010261B2 (en) 2017-03-31 2021-05-18 Commvault Systems, Inc. Dynamically allocating streams during restoration of data
IT201700074269A1 (it) * 2017-07-03 2019-01-03 Stmicroelectronics Application Gmbh Sistema di elaborazione, relativo circuito integrato, dispositivo e procedimento
US11057194B2 (en) * 2017-07-03 2021-07-06 Stmicroelectronics S.R.L. Processing system, related integrated circuit, device and method

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4075691A (en) * 1975-11-06 1978-02-21 Bunker Ramo Corporation Communication control unit
US4386234A (en) * 1977-12-05 1983-05-31 International Business Machines Corp. Cryptographic communication and file security using terminals
US4433207A (en) * 1981-09-10 1984-02-21 Best Robert M Cryptographic decoder for computer programs
US4590552A (en) * 1982-06-30 1986-05-20 Texas Instruments Incorporated Security bit for designating the security status of information stored in a nonvolatile memory
US4603381A (en) * 1982-06-30 1986-07-29 Texas Instruments Incorporated Use of implant process for programming ROM type processor for encryption
DE3241376A1 (de) * 1982-11-09 1984-05-10 Siemens AG, 1000 Berlin und 8000 München Dma-steuereinrichtung zur uebertragung von daten zwischen einem datensender und einem datenempfaenger
US4941176A (en) * 1988-08-11 1990-07-10 International Business Machines Corporation Secure management of keys using control vectors
FR2677200B1 (fr) * 1991-05-30 1993-09-17 Besnard Christian Dispositif de securisation de donnees numeriques.
US5584039A (en) * 1993-11-08 1996-12-10 International Business Machines Corporation System for coordinating execution of multiple concurrent channel programs without host processor involvement using suspend and resume commands to control data transfer between I/O devices
US5469507A (en) * 1994-03-01 1995-11-21 International Business Machines Corporation Secure communication and computation in an insecure environment
US5533123A (en) * 1994-06-28 1996-07-02 National Semiconductor Corporation Programmable distributed personal security
US5610839A (en) * 1994-10-07 1997-03-11 Itt Corporation Communications management system architecture
US5742840A (en) * 1995-08-16 1998-04-21 Microunity Systems Engineering, Inc. General purpose, multiple precision parallel operation, programmable media processor
US5825889A (en) * 1996-10-15 1998-10-20 Ericsson Inc. Use of duplex cipher algorithms for satellite channels with delay

Also Published As

Publication number Publication date
DE69841760D1 (de) 2010-08-26
EP0876026A2 (en) 1998-11-04
EP0876026A3 (en) 2000-05-10
US6101255A (en) 2000-08-08
EP0876026B1 (en) 2010-07-14
JPH10320191A (ja) 1998-12-04

Similar Documents

Publication Publication Date Title
TW386202B (en) Programmable crypto processing system and method
US11966355B2 (en) Network adapter with a common queue for both networking and data manipulation work requests
US8321659B2 (en) Data encryption apparatus, data decryption apparatus, data encryption method, data decryption method, and data transfer controlling apparatus
US5961626A (en) Method and processing interface for transferring data between host systems and a packetized processing system
US7320071B1 (en) Secure universal serial bus
US10846224B2 (en) Methods and apparatus for control of a jointly shared memory-mapped region
US8218770B2 (en) Method and apparatus for secure key management and protection
TW576963B (en) An interface for a security coprocessor
US7653754B2 (en) Method, system and protocol that enable unrestricted user-level access to a network interface adapter
US6070198A (en) Encryption with a streams-based protocol stack
CN111930676B (zh) 多处理器间的通信方法、装置、系统及存储介质
WO2016101288A1 (zh) 一种远程直接数据存取方法、设备和系统
US8918652B2 (en) System and method for BIOS and controller communication
EP1292082B1 (en) Method and apparatus for establishing secure session
CN109067523A (zh) 一种加密卡的数据加密方法
CN109104275A (zh) 一种hsm设备
US10031758B2 (en) Chained-instruction dispatcher
JP4071098B2 (ja) ネットワークフィルタドライバのためのアーキテクチャおよびランタイム環境
CN113449347B (zh) 微处理器、数据处理方法、电子设备和存储介质
US20060013397A1 (en) Channel adapter managed trusted queue pairs
CN113810397A (zh) 协议数据的处理方法及装置
JP2001331380A (ja) 計算機システムおよびデータ復号化方法
CN113449331B (zh) 微处理器、数据处理方法、电子设备和存储介质
JP7321414B2 (ja) 送信制御方法、およびプログラム
EP1049292A2 (en) System and method for network monitoring

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent