TW202412285A - 記憶體裝置及其形成方法 - Google Patents

記憶體裝置及其形成方法 Download PDF

Info

Publication number
TW202412285A
TW202412285A TW112118948A TW112118948A TW202412285A TW 202412285 A TW202412285 A TW 202412285A TW 112118948 A TW112118948 A TW 112118948A TW 112118948 A TW112118948 A TW 112118948A TW 202412285 A TW202412285 A TW 202412285A
Authority
TW
Taiwan
Prior art keywords
layer
wafer
ferroelectric
substrate
forming
Prior art date
Application number
TW112118948A
Other languages
English (en)
Inventor
陳逸軒
陳坤意
怡情 王
丁裕偉
涂國基
黃國欽
學理 莊
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202412285A publication Critical patent/TW202412285A/zh

Links

Images

Abstract

根據本公開之形成記憶體裝置的方法包括在第一晶圓的第一基材中形成溝槽,在溝槽中沉積數據存儲元件,對第一晶圓執行熱處理以改善數據存儲元件中的結晶,在第一基材上方形成第一重分佈層,在第二晶圓的第二基材中形成電晶體,在第二基材上方形成第二重分佈層,以及在執行熱處理之後將第一晶圓與第二晶圓接合。數據存儲元件透過第一重分佈層和第二重分佈層電耦合到電晶體。

Description

含鐵電材料的記憶體元件及其形成方法
積體電路(integrated circuit, IC)行業經歷了指數增長。積體電路在材料和設計方面的技術進步產生了多代積體電路,其中每一代都具有比上一代更小、更複雜的電路。在積體電路發展過程中,功能密度(即每個晶片面積的互連裝置數量)普遍增加,而幾何尺寸(即,可以使用製程產生的最小元件(或線寬))減小。這種按比例縮小的製程通常透過提高生產效率和降低相關成本來提供益處。
按比例縮小製程已經促使電路設計者將裝置從前段製程(front-end-of-line, FEOL)級別移動到互連結構所在的後段(back-end-of-line, BEOL)級別。例如,含鐵電材料的記憶體裝置可以在後段製程級別形成。在後段製程級別形成含鐵電材料的記憶體裝置並非沒有挑戰。例如,因為過熱可能會損壞前段製程特徵,因此在生長鐵電膜時可能會由於熱處理不充分而難以實現所需的結晶。雖然含鐵電材料的記憶體裝置的現有製程和結構通常可足以滿足其預期目的,但它們並非在所有方面都令人滿意。
以下公開提供了用於實現本公開之不同特徵的許多不同的示例或實施例。以下描述元件和配置的特定實施例以簡化本公開。當然,這些僅是實施例,並不旨在進行限制。例如,在下面的描述中,在第二特徵之上或上方形成第一特徵可以包含第一特徵和第二特徵以直接接觸形成的實施例,並且還可以包含在第一特徵和第二特徵之間形成附加的特徵,使得第一特徵和第二特徵可以不直接接觸的實施例。另外,本公開可以在各個實施例中重複參考數字和/或文字。此重複是出於簡單和清楚的目的,並且其本身並不指示所討論的各種實施例和/或配置之間的關係。
更甚者,空間相對的詞彙(例如,「低於」、「下方」、「之下」、「上方」、「之上」等相關詞彙)於此用以簡單描述如圖所示之元件或特徵與另一元件或特徵的關係。在使用或操作時,除了圖中所繪示的轉向之外,這些空間相對的詞彙涵蓋裝置的不同轉向。再者,這些裝置可旋轉(旋轉90度或其他角度),且在此使用之空間相對的描述語可作對應的解讀。
此外,當用使用「大約」、「大約」等描述一個數字或一個數字範圍時,考慮到本領域具普通知識者理解的製造過程中固有出現的變化,此術語旨在包括合理範圍內的數字。例如,基於與製造具有與此數字相關聯的特徵的已知製造公差,此數字的數量或範圍涵蓋包括所描述的數量的合理範圍(例如,在所描述的數量的+/-10%以內)。例如,厚度為「約5奈米」的材料層可涵蓋從4.5奈米到5.5奈米的尺寸範圍,其中本領域具普通知識者理解與沈積此材料層相關的製造公差為+/-10%。更進一步地,本公開可以在不同的實施例中重複參考數字和/或文字。這種重複是為了簡單和清楚的目的,並且其本身並不規定所討論之不同的實施例和/或配置之間的關係。
本公開涉及製造記憶體裝置,並且更具體地涉及在單獨的晶圓中製造邏輯裝置和記憶體陣列並且透過晶圓堆疊(wafer-on-wafer, WOW)製程將單獨的晶圓接合在一起。
積體電路製造流程通常分為三類:前段(front-end-of-line, FEOL)製程、中段(middle-end-of-line, MEOL)製程和後段(back-end-of-line, BEOL)製程。前段製程通常包括與製造積體電路裝置(例如,電晶體)相關的製程。例如,前段製程可以包括形成隔離特徵、通道特徵、閘極結構以及源極和汲極特徵(通常稱為源極/汲極特徵)。中段製程通常包括與製造多閘極裝置(例如,鰭式場效應電晶體(fin-type field effect transistors, FinFET)或閘極全環(gate-all-around, GAA)電晶體(也稱為多橋通道(multi-bridge-channel, MBC)電晶體或環繞閘極電晶體(surrounding gate transistor, SGT)))的接觸相關的製程。中段製程特徵的實施例包括與閘極結構的接觸和/或與多閘極電晶體的源極/汲極特徵的接觸。後段製程通常包含與製造多層互連(multilayer interconnect, MLI)特徵相關的製程,此多層互連特徵互連前段製程積體電路特徵,從而實現積體電路裝置的運作。為了在前段製程級別節省空間,不需要電晶體的微影精度級別的較大裝置可以轉移到後段製程結構。例如,可以在後段製程級別製造記憶體裝置(例如,含磁性材料的記憶體裝置(例如,磁性穿隧接面(magnetic tunnel junction, MTJ)記憶體裝置)和含鐵電材料的記憶體裝置(例如,鐵電穿隧接面(ferroelectric tunnel junction, FTJ)記憶體裝置))。
含鐵電材料的記憶體裝置(或鐵電記憶體裝置)是非揮發性記憶體(即,可以在沒有電源的情況下存儲數據的記憶體)。鐵電記憶體裝置(例如,鐵電場效應電晶體(ferroelectric field effect transistor, FeFET)、鐵電隨機存取記憶體(ferroelectric random-access memory, FeRAM or FRAM)裝置或鐵電穿隧接面(ferroelectric tunnel junction, FTJ)記憶體裝置),通常具有夾在底部電極和頂部電極之間的鐵電膜(也稱為鐵電層)。界面層(也稱為非極化層(non-polarization layer,))形成在鐵電膜和相鄰電極之一之間。非極化層的形成對於產生殘留極化(remnant polarization)很重要,其中鐵電記憶體裝置依賴殘留極化來正常運行。在鐵電隨機存取記憶體中,厚鐵電膜夾在兩個電極之間,並且透過在兩個電極之間施加電場來切換殘留極化。儘管厚鐵電膜使得非極化層的形成相對容易,但跨越厚鐵電膜上的讀出電流(readout current)往往較低,這對微型化或整合到後段製程結構中帶來了挑戰。另一方面,鐵電穿隧接面記憶體包含一層薄鐵電膜(以奈米為單位),可以實現量子力學穿隧(quantum-mechanical tunneling)。然而,當鐵電膜變更薄時(例如,小於5奈米),非極化層的形成變得困難並且鐵電膜的極化特性會開始消失,這將導致記憶體裝置的故障。
已經觀察到,鐵電記憶體裝置中鐵電膜的充分熱處理有利於實現結晶和良好的鐵電性。在一些現有技術中,鐵電層的熱處理是謹慎進行的,因為過熱可能導致前段製程結構(例如,電晶體中的閘極結構)劣化。通常熱處理的溫度保持在400°C以下,這可能導致鐵電膜的結晶不充分。
本公開提供了一種製程和一種鐵電記憶體裝置(例如,鐵電穿隧接面記憶體結構),以實現鐵電層的結晶,而不會對前段製程結構造成意外損壞。本公開的鐵電記憶體裝置採用晶圓堆疊製程分別製造邏輯裝置(通常在前段製程中形成)和鐵電記憶體裝置(包括鐵電膜)(通常在中段製程或後段製程中形成)以克服熱約束並防止高溫影響邏輯裝置中的元件。透過晶圓堆疊技術,在形成鐵電膜時沒有熱限制,因為前段製程結構在不同的晶圓中並且不受鐵電膜所在之晶圓的熱處理。承載鐵電膜的晶圓可以在大於約550°C的溫度下(例如,在約550°C和約1000°C之間)進行熱處理,而不使前段製程結構經受過熱。因此,在幾乎沒有或沒有損壞前段製程結構的風險下提高了鐵電膜的結晶品質,並且提高了鐵電記憶體裝置的性能。在本公開內容中,出於說明目的給出了包含鐵電穿隧接面記憶體裝置的實施例。所示的鐵電穿隧接面記憶體裝置當然只是一個實施例,並不旨在進行限制。如上所述,支持鐵電記憶體應用的鐵電膜可應用於鐵電場效應電晶體記憶體裝置、鐵電隨機存取記憶體裝置或鐵電穿隧接面記憶體裝置。此外,包括電子記憶體在內的許多其他現代電子裝置也可以透過將中段製程/後段製程結構與前段製程結構分開處理而受益於晶圓堆疊製程。下一代電子記憶體的實施例包括電阻式隨機存取記憶體(resistive random-access memory, RRAM)、相變隨機存取記憶體(phase-change random-access memory, PCRAM)和磁阻隨機存取記憶體(magneto-resistive random-access memory, MRAM)。
現在將參照附圖更詳細地描述本公開的各個方面。在本公開中,除非另有明確地說明,否則相似的附圖標記表示相似的特徵。
第1圖是根據部分實施例之記憶體系統100的圖示。記憶體系統100包括記憶體控制器105和記憶體陣列120。記憶體陣列120是存儲數據的硬體元件。一方面,記憶體陣列120體現為半導體記憶體裝置。記憶體陣列120包括多個存儲電路或記憶體單元125。記憶體單元125可以排列成二維或三維陣列。記憶體陣列120還包括位元線(bit line)BL0、BL1...BLK(每個都在第一方向(例如,X方向)上延伸)和字元線(word line)WL0、WL1...WLJ(每個都在第二個方向(例如,Y方向)上延伸)。字元線WL和位元線BL可以是導電金屬或導電軌。在一方面,每一記憶體單元125耦合至對應的字元線WL和對應的位元線BL,並且可以根據透過對應的字元線WL和對應的位元線BL的電壓或電流來操作。每個記憶體單元125可以耦合到對應的字元線WL和對應的位元線BL。由於記憶體單元125配置在位元線BL和字元線WL的交叉點處,所以這樣的記憶體系統100也被稱為交叉點記憶體架構(cross-point memory architecture)。
在交叉點記憶體陣列中,記憶體單元125可以包括數據存儲元件。在部分實施例中,數據存儲元件的電阻根據數據存儲元件的數據狀態而變化。例如,數據存儲元件可以在第一數據狀態具有低電阻並且可以在第二數據狀態具有高電阻。在其他實施例中,數據存儲元件的電容或一些其他合適的參數根據數據存儲元件的數據狀態而變化。在部分實施例中,數據存儲元件是金屬-絕緣體-金屬(metal-insulator-metal, MIM)堆疊,並且記憶體單元125可以是電阻記憶體單元。在其他的實施例中,數據存儲元件是鐵電穿隧接面或磁性穿隧接面。數據存儲元件的其他結構和/或記憶體單元125的其他記憶體單元類型也是可行的。
當將鐵電穿隧接面配置為記憶體單元中的數據存儲元件時,交叉點記憶體陣列可以例如包括分別設置在位元線和源極線(source line)的交叉點處的多個單電晶體單鐵電穿隧接面(one-transistor one-FTJ, 1T1F)記憶體單元。將電晶體配置為當偏壓高於各自的臨界電壓時使電流透過鐵電穿隧接面。透過對位元線和源極線進行適當的偏壓,可以選擇在位元線和源極線交叉點的一個單電晶體單鐵電穿隧接面(1T1F)記憶體單元並寫入相反的狀態。當選擇了一個單電晶體單鐵電穿隧接面(1T1F)記憶體單元後,可以將其他位元線和源極線偏置在中點電壓以關閉未選擇的記憶體單元。為了實現更高的密度,交叉點記憶體架構可以替代地實現單電晶體單鐵電穿隧接面(1T1F)的配置,其中多個鐵電穿隧接面記憶體單元可以共享一個電晶體,而不需要為每個記憶體單元都提供一個交叉耦合的電晶體。
記憶體控制器105可以根據通過字元線WL和位元線BL的電信號向記憶體陣列120寫入數據或從記憶體陣列120讀取數據。在其他實施例中,記憶體系統100包括比第1圖所示更多、更少或不同的元件。在部分實施例中,記憶體陣列120包括額外的線(例如,選擇線(select line)、參考線(reference lin)、參考控制線(reference control line)、電源軌(power rail)等)。
記憶體控制器105是控制記憶體陣列120的操作的硬體元件。在部分實施例中,記憶體控制器105包括位元線控制器112、字元線控制器114和時序控制器110。在一種配置中,字元線控制器114是一種電路,它透過記憶體陣列120的一條或多條字元線WL提供電壓或電流,而位元線控制器112是一種電路,它透過記憶體陣列120的一條或多條位元線BL提供或感測電壓或電流。在一種配置中,時序控制器110是一種電路,它提供控制訊號(control signal)或時脈訊號(clock signal)以同步位元線控制器112和字元線控制器114的操作。位元線控制器112可以是耦合到記憶體陣列120的位元線BL,並且字元線控制器114可以耦合到記憶體陣列120的字元線WL。在一個實施例中,為了將數據寫入記憶體單元125,字元線控制器114透過與記憶體單元125耦合的字元線WL向記憶體單元125提供電壓或電流,而位元線控制器112透過與記憶體單元125耦合的位元線BL向記憶體單元125施加偏置電壓。在一個實施例中,為了從記憶體單元125讀取數據,字元線控制器114透過耦合到到記憶體單元125的字元線WL向記憶體單元125提供電壓或電流,並且位元線控制器112透過耦合到記憶體單元125的位元線BL感測到與記憶體單元125存儲的數據相對應的電壓或電流。在部分實施例中,記憶體控制器105包括比第1圖所示更多、更少或不同的元件。
第2圖繪示記憶體單元125中的數據存儲元件128,此記憶體單元125是如第1圖所示的記憶體陣列120的構建區塊。數據存儲元件128包括嵌入在基材132中的鐵電穿隧接面130。鐵電穿隧接面130包括至少一層鐵電材料,鐵電材料通常是指在向其施加電場時表現出極化,並在移除(或減少)電場後繼續表現出極化的材料。因此,鐵電材料也稱為極化材料。通常,鐵電材料具有本質電偶極(intrinsic electric dipole),其可以透過電場在極化狀態之間切換(例如,在第一極化狀態和第二極化狀態之間切換)。第一極化狀態可對應於第一數據狀態(例如,邏輯「1」)(例如,取決於鐵電記憶體裝置的第一電阻或第一電容)。第二極化狀態可對應於第二數據狀態(例如,邏輯「0」)(例如,取決於鐵電記憶體裝置的第二電阻或第二電容)。
在所示實施例中,鐵電穿隧接面130設置於形成在基材132中的深溝槽中。深溝槽通常以高深寬比(深度與寬度之比)形成。因此,各個層(包括底部電極134、界面層136、不連續晶種結構(discontinuous seed structure)138(也稱為鐵電促進結構)、鐵電膜140和頂部電極142)的側壁在基材132中進一步向下延伸。可相應地增加充電面積,以節省鐵電穿隧接面體積並有助於實現高密度佈局。在部分實施例中,其中沉積有鐵電穿隧接面130的深溝槽的深寬比在約5至約30的範圍內。
基材132包括半導體材料(例如,矽)。在一個實施例中,基材132可以包括其他半導體材料(例如,矽鍺、碳化矽、砷化鎵等)。在本實施例中,基材132為p型半導體基材(受體型(acceptor type))或n型半導體基材(施體型(donor type))。亦或是,基材132包括其他元素半導體(例如,鍺);化合物半導體,包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦和/或銻化銦;合金半導體,包括矽鍺(SiGe)、磷砷化鎵(GaAsP)、砷銦化鋁(AlInAs)、砷鎵化鋁(AlGaAs)、砷銦化鎵(GaInAs)、磷銦化鎵(GaInP)和/或磷砷化銦鎵(GaInAsP);或其組合。在另一個實施例中,基材132是絕緣體上半導體(semiconductor-on-insulator, SOI)。在其他實施例中,基材132可以包括摻雜的磊晶層、梯度半導體層和/或覆蓋不同類型的另一半導體層的半導體層(例如,矽鍺層上的矽層)。在其他的實施例中,基材132可以包括覆蓋半導體層的介電層(例如,矽層上的層間介電(interlayer dielectric, ILD)層),並且在後段製程期間在層間介電質層中形成深溝槽。
底部電極134可以共形地沉積在深溝槽的側壁和底表面上。底部電極134還覆蓋深溝槽之外的基材132之部分的頂表面。底部電極134可以包括任何合適的導電材料(例如,銅(Cu)、鋁(Al)、鋯(Zr)、鈦(Ti)、氮化鈦(TiN)、鎢(W)、鉭(Ta)、氮化鉭(TaN)、鉬(Mo)、釕(Ru)、鈀(Pd)、鉑(Pt)、鈷(Co)、鎳(Ni)、銥(Ir)、它們的合金等)。在部分實施例中,底部電極134可以由氮化鈦(TiN)、釕(Ru)、鎢(W)、鉬(Mo)、氮化鉭(TaN)等形成。可以使用任何合適的沉積製程來沉積底部電極134。例如,合適的沉積製程可以包括物理氣相沉積(physical vapor deposition, PVD)、濺射、化學氣相沉積(chemical vapor deposition, CVD)、原子層沉積(atomic layer deposition, ALD)、電漿增強化學氣相沉積(plasma-enhanced chemical vapor deposition, PECVD)或其組合。底部電極134的厚度可以在從10奈米到100奈米的範圍內,但是也可以使用更薄和更厚的厚度。
界面層136可以共形地沉積在底部電極134上。界面層136包括非極化材料。界面層136也稱為非極化層。界面層136可以包括具有大於3.9的介電常數的高介電常數介電質材料並且可以包括但不限於氮化矽(SiN x)、氧化鉿(HfO 2)、氧化鉿矽(HfSiO)、鉿鉭氧化物(HfTaO)、鉿鈦氧化物(HfTiO)、鉿鋯氧化物(Hf 0.5Zr 0.5O 2)(HZO)、氧化鉭(Ta 2O 5)、氧化鋁(Al 2O 3)、鋁酸鑭(LaAlO 3)、氧化鉿-氧化鋁(HfO 2-Al 2O 3)、氧化鋯(ZrO 2)、氧化鎂(MgO)、它們的組合等。其他合適的介電質材料亦在本公開的範圍內。可以使用任何合適的沉積製程來沉積界面層136。例如,合適的沉積製程可以包括物理氣相沉積、濺射、化學氣相沉積、原子層沉積、電漿增強化學氣相沉積或其組合。界面層136的厚度可以小於約2奈米。此厚度並非微不足道。如果界面層136的厚度大於約2奈米,則流過鐵電穿隧接面130的讀取電流可能變得太小而無法被感測,和/或邏輯狀態之間的差異可能變得太小而無法辨別。
晶種結構138可以是金屬顆粒的不連續層,其可以包括離散的金屬原子或離散的金屬奈米顆粒。晶種結構138可以是不連續層,使得晶種結構138不會在界面層136的表面上形成導電路徑。在不同的實施例中,晶種結構138不會在界面層136上形成連續的金屬層。晶種結構138可以具有厚度,和/或晶種金屬顆粒可以具有範圍從約1埃(Å)到約20埃(例如,從約1埃到約10埃,或從大約1埃到大約5埃)的平均粒徑。在部分實施例中,晶種結構138可以是晶種金屬原子的部分單層。例如,晶種結構138可以包括晶種金屬原子的完整單層中所包含的晶種金屬原子的約1/4至約3/4。晶種結構138可以透過使用任何合適的沉積製程沉積晶種金屬來形成。例如,可以使用物理氣相沉積、化學氣相沉積、原子層沉積等形成晶種結構138。然而,亦可以使用用於形成晶種金屬的不連續層的其他合適的製程。
鐵電膜140包括鐵電材料(極化材料)。鐵電膜140也稱為極化層。鐵電膜140可為單層或多層結構(例如,第一鐵電層設置於第二鐵電層之上,其中第一鐵電層與第二鐵電層具有不同的成分)。鐵電材料可以是高介電常數介電質材料(例如,介電常數值大於約28(例如,k≥28))、具有斜方晶體結構(orthorhombic crystal structure)的介電質材料。在部分實施例中,鐵電膜140包括金屬氧化物材料或金屬氮氧化物材料。例如,鐵電膜140可以包括含氧化鉿的材料或含氧化鋯的材料。在其他的實施例中,鐵電膜140可包括氧化鉿(例如,Hf xO y)、氧化鉿鋯(例如,Hf xZr zO y)(也稱為HZO)、氧化鉿鋁(例如,Hf xAl zO y)、氧化鑭鉿(例如,Hf xLa zO y)、氧化鉿鈰(例如,Hf xCe zO y)、氧化鉿矽(Hf xSiO y)、氧化鉿钆(例如,Hf xGd zO y)、其他合適的含氧化鉿(Hf xO y)的材料或其組合(其中x、y、z是原子百分比)。在另一實施例中,鐵電膜140可以包括含氧化鋯(Zr jO k)的材料,其中j、k是原子百分比。鐵電膜140可以透過使用任何合適的沉積方法(例如,物理氣相沉積、旋塗和退火、濺射、化學氣相沉積、原子層沉積、電漿增強化學氣相沉積、噴霧裂解法(spray pyrolysis)、脈衝雷射沉積(pulsed laser deposition, PLD)或其組合)沉積鐵電材料而形成。在沉積過程中,晶種金屬可以促進鐵電膜140中所需晶相的生長。例如,當鐵電膜140包括含鉿的鐵電材料時,鐵電膜140的初晶相(primary crystal phase)可以具有斜方晶體結構。如果鐵電膜140包括含鉛(Pb)的材料(例如,摻雜有鐵電材料的氧化鈦(ferroelectric (Pb, Ba)TiO 3, PBT)或鋯鈦酸鉛(PZT)),則鐵電膜140的初晶相可以具有四方晶體結構(tetragonal crystal structure)。具體而言,初晶相可佔鐵電膜140的至少50%(例如,約60%至約99.9%,或約70%至約95%)。在部分實施例中,鐵電膜140的厚度小於約5奈米。此厚度並非微不足道。如果鐵電膜140的厚度大於約5奈米,則量子力學隧穿效應(quantum-mechanical tunneling effect)可能變得微不足道並且會劣化鐵電穿隧接面的性能。在部分實施例中,鐵電膜140可以被熱退火,以進一步改善其晶體結構。例如,可以使用準分子雷射退火(Excimer-laser annealing, ELA)、閃光燈退火(flash lamp annealing, FLA)、爐管退火(furnace annealing)等對鐵電膜140進行退火。
頂部電極142可以沉積在鐵電膜140上。頂部電極142可以包括和/或可以實質上由過渡金屬、導電金屬氮化物和導電金屬碳化物中的至少一種組成。可用於頂部電極142的示例性金屬材料包括但不限於氮化鈦(TiN)、氮化鉭(TaN)、氮化鎢(WN)、鎢(W)、銅(Cu)、鋁(Al)、鈦(Ti)、鉭(Ta)、釕(Ru)、鈷(Co)、鉬(Mo)、鉑(Pt)及其合金,和/或其組合。亦可以使用在公開預期的範圍內之其他合適的材料。例如,頂部電極142可以包括和/或可以實質上由諸如鎢(W)、銅(Cu)、鈦(Ti)、鉭(Ta)、釕(Ru)、鈷(Co)、鉬(Mo)或鉑(Pt)的元素金屬組成。頂部電極142的厚度可以在從10奈米到100奈米的範圍內,但是也可以使用更薄和更厚的厚度。
仍然參考第2圖,互連結構150設置在鐵電穿隧接面130上方。互連結構150通常在後段製程中形成並且被配置為將鐵電穿隧接面130與另一層電耦合。在部分實施例中,互連結構150將鐵電穿隧接面130與覆蓋金屬層160電耦合。互連結構150可以包括導電通孔152和154,以及層間介電質156。導電通孔152和154形成在層間介電質156中,並分別電耦合到鐵電穿隧接面130的底部電極134和頂部電極142。導電通孔152和154可以由導電材料(例如,鋁、金、銀和鎢)形成。層間介電質156可由多種介電質材料(例如,氧化物(例如,鍺氧化物)、氮氧化物(例如,磷化鎵(GaP)氮氧化物)、二氧化矽(SiO 2)、含氮氧化物(例如,含氮二氧化矽(SiO 2))、氮摻雜氧化物(例如,氮(N 2)佈植的二氧化矽(SiO 2))、氮氧化矽(Si xO yN z)等)形成。
金屬層160設置在互連結構150上方。金屬層160用於將鐵電穿隧接面130電耦合到重分佈層以與另一基材(例如,另一晶圓)中的裝置或元件電連接。金屬層160可以包括分別電耦合到導電通孔152和154的金屬線162和164。金屬線162和164可以由鈦(Ti)、釕(Ru)、鎳(Ni)、鈷(Co)、銅(Cu)、鉬(Mo)、鎢(W)或鋁(Al)形成。在一個實施例中,它們由銅(Cu)形成。
第3A圖至第3D圖繪示如第2圖所示的數據存儲元件128的替代實施例。在第3A圖中,與第2圖中描繪的實施例的一個不同之處在於,界面層136夾在鐵電膜140與頂部電極142之間。因此,晶種結構138形成在底部電極134上,而鐵電膜140形成於晶種結構138上且位於界面層136下方。
請參照第3B圖,與第2圖中所描述的實施例的一個不同之處在於,深溝槽形成於摻雜區域146內。摻雜區域146位於基材132中。在部分實施例中,摻雜區域146為p井結構、n井結構或雙井結構。摻雜區域146中的摻雜濃度大於基材132。在一個實施例中,摻雜區域146包括與基材132的第二摻雜類型相反的第一摻雜類型。例如,基材132為n型基材,而摻雜區域146為p型井。摻雜區域146配置有基材132作為逆向偏壓p-n接面(reversed bias p-n junction)以抑制基材漏電流(current leakage)。可選地,在有或沒有摻雜區域146的情況下,鐵電穿隧接面130還可以包括位於底部電極134下方的介電層133。介電層133提供在鐵電穿隧接面130和基材132之間的電絕緣。在部分實施例中,介電層133由介電質材料製成(例如,高介電常數介電質材料)。高介電常數介電質材料的示例包括氧化鉿(HfO 2)、氧化鉿矽(HfSiO)、氮氧化鉿矽(HfSiON)、氧化鉿鉭(HfTaO)、氧化鉿鈦(HfTiO)、氧化鉿鋯(HfZrO)、氧化鋯、氧化鋁、氧化鉿-氧化鋁(HfO 2-Al 2O 3)合金、其他合適的高介電常數介電質材料和/或其組合。另外,介電層133的厚度設計得相對較薄。這將節省深溝槽中的體積。在部分實施例中,介電層133的厚度小於鐵電膜140的厚度。
仍然參考第3B圖,與第2圖所描繪的實施例的又一不同之處在於,除了底部電極134之部分的頂表面和頂部電極142之部分的頂表面被暴露以放置導電通孔152和154之外,鐵電穿隧接面130中其他層的頂表面(包括介電層133、界面層136、鐵電膜140)均被覆蓋。這可以在鐵電穿隧接面130中沉積各個層之後的圖案化製程期間被控制。透過暴露鐵電穿隧接面130中相對敏感層的側壁而不是頂表面,鐵電穿隧接面130可在隨後的製程中得到更好的保護免受損壞。
在第3C圖中,與第2圖中所描繪的實施例的一個不同之處在於,由頂部電極142包圍的溝槽填充有插塞148,其不同於在層間介電質156中的介電質材料。插塞148具有比深溝槽大的高深寬比。在部分實施例中,插塞148的深寬比在約30至約50的範圍內。在部分實施例中,插塞148具有從第3C圖中描繪的橫截面圖看平行的側壁。在部分實施例中,插塞148具有從其頂面到其底面錐形的側壁。即,從插塞148的頂面測量到的寬度可以大於從遠離插塞148頂面的任何其他位置測量到的寬度。插塞148加強了高深寬比鐵電穿隧接面130的機械強度,並且如果它由半導體材料或導電材料形成,則可以進一步作為頂部電極142的延伸。在部分實施例中,插塞148包括介電質材料(例如,高介電常數介電質材料)。高介電常數介電質材料的示例包括氧化鉿(HfO 2)、氧化鉿矽(HfSiO)、氮氧化鉿矽(HfSiON)、氧化鉿鉭(HfTaO)、氧化鉿鈦(HfTiO)、氧化鉿鋯(HfZrO)、氧化鋯、氧化鋁、氧化鉿-氧化鋁(HfO 2-Al 2O 3)合金、其他合適的高介電常數介電質材料和/或其組合。在部分實施例中,插塞148包括半導體材料(例如,多晶矽)。在部分實施例中,插塞148包括不同於頂部電極142的導電材料(例如,銅、鋁、金、銀或鎢)。
在第3D圖中,與第2圖中描述的實施例的一個不同之處在於,頂部電極142的導電材料完全地填充了深溝槽的剩餘體積。頂部電極142的導電材料可以首先沉積在基材132上和在深溝槽中以作為塊狀材料並且隨後透過研磨製程(例如,化學機械研磨(chemical mechanical polishing, CMP)製程)減薄。上面在第3A圖至第3D圖中討論的特徵的每個替代實施例(例如,第3A圖中界面層136與鐵電膜140,第3B圖中的摻雜區域146,第3B圖中的介電層133,第3B圖中被覆蓋的頂表面,第3C圖中的插塞148,和第3D圖中頂部電極142的塊狀導電材料的位置),可分別地應用於第2圖和第3A圖至第3D圖中描述的每個實施例。
參照第4A圖,提供了包括多個行和多個列中的多個記憶體單元125的記憶體陣列120的部分實施例的示意圖。記憶體單元125分別包括透過接合墊170與控制電晶體180串聯電耦合的數據存儲元件128。接合墊170是來自兩個晶圓的兩個重分佈層的接合結構的一部分,其中一個設置在其中形成數據存儲元件128的頂部晶圓中,另一個設置在其中形成控制電晶體180(和其他前段製程結構)的底部晶圓中。記憶體單元125可以例如各自如關於第1圖至第3D圖所說明和描述的。作為實施例,位元線(例如,BL0、BL1...BLK)沿記憶體陣列的相應列橫向延伸並與相應列中的記憶體單元電耦合,而字元線(例如,WL0、WL1...WLJ)沿記憶體陣列的相應行橫向延伸並與相應行中的記憶體單元電耦合。下標標識對應的行或列,K或J是整數變量,代表記憶體陣列120中的列或行。透過對位元線BL和字元線WL進行適當的偏壓,可選擇在位元線BL和字元線WL的交叉點的記憶體單元,以透過源極線(例如,SL0、SL1...SLK)進行讀取或寫入。每個數據存儲元件128透過接合墊170電耦合到相應的控制電晶體180。
第4B圖說明第4A圖的替代實施例。由於接合墊170通常擁有相對大的面積,因此兩個或更多數據存儲元件128可共享一個接合墊170以減少所需的接合墊的數量並實現緊密的設計。在所描述的實施例中,兩個數據存儲元件128可以共享一個接合墊170和一個控制電晶體180。相應地,所需的接合墊和控制電晶體的數量將減半。透過對位元線BL和字元線WL進行適當的偏壓,以及對相鄰的位元線BL進行適當的偏壓,可以適當地選擇在位元線BL和字元線WL的交叉點處的記憶體單元125,以透過共享源極線(數量也減少了一半)進行讀取或寫入。
第5圖和第6圖共同繪示根據本公開示例性的實施例之接合的積體電路元件。如第5圖所示,示例性積體電路元件200包括其中形成有電子電路的半導體基材(或基材)202,以及設置在半導體基材202上的互連結構204。在部分實施例中,積體電路元件200包括其中形成電子電路的主動區域(active region)200A,以及圍繞主動區域200A的外圍區域(periphery region)200B。重分佈層206在後段製程中形成在積體電路元件200的互連結構204上。形成於積體電路元件200的互連結構204上的重分佈層206可作為積體電路元件200與其他元件接合時的接合層。因此,重分佈層206也被稱為接合層206。在第5圖所示的示性實施例中,在半導體基材202中形成的電子電路包括位於半導體堆疊內的類比電路(analog circuitry)和/或數位電路(digital circuitry),此半導體堆疊具有一層或多層導電層(也稱為金屬層)與一層或多層非導電層(也稱為絕緣層)相互交錯。然而,本領域具普通知識者應理解,在不脫離本公開的精神和範圍的情況下,電子電路可以包括一個或多個機械和/或機電裝置。
半導體基材202可以由矽或其他半導體材料製成。亦或是,半導體基材202可以包括其他元素半導體材料(例如,鍺)。在部分實施例中,半導體基材202由化合物半導體(例如,藍寶石、碳化矽、砷化鎵、砷化銦或磷化銦)製成。在部分實施例中,半導體基材202由合金半導體(例如,矽鍺、碳化矽鍺、磷化鎵砷或磷化鎵銦等)製成。在部分實施例中,半導體基材202包括磊晶層。例如,半導體基材202具有覆蓋塊狀半導體的磊晶層。
半導體基材202還可以包括隔離特徵(未繪示)(例如,淺溝槽隔離(shallow trench isolation, STI)特徵或矽的局部氧化(local oxidation of silicon, LOCOS)特徵)。隔離特徵可以定義和隔離各種半導體元件。半導體基材202還可以包括摻雜區域(未繪示)。摻雜區域可以摻雜有p型摻雜劑(例如,硼或二氟化硼(BF 2))和/或n型摻雜劑(例如,磷(P)或砷(As))。摻雜區域可以形成在半導體基材202正上方、在P井結構中、在N井結構中或在雙井結構中。
可以在半導體基材102上形成包括上述的隔離特徵和半導體元件的電子電路(例如,電晶體(例如,金屬氧化物半導體場效應電晶體(metal oxide semiconductor field effect transistor, MOSFET)、互補式金屬氧化物半導體(complementary metal oxide semiconductor, CMOS)電晶體、雙極性接面電晶體(bipolar junction transistor, BJT)、高電壓電晶體、高頻電晶體、p通道場效應電晶體(p-channel field effect transistor, PFET)和/或n通道場效應電晶體(n-channel field effect transistor, NFET)等)、二極體和/或其他適用元件)。可以執行各種製程(例如,沉積、蝕刻、佈植、微影、退火和/或其他適用製程)來形成隔離特徵和半導體元件。在部分實施例中,包括隔離特徵和半導體元件的電子電路在前段製程中形成在半導體基材202中。
在部分實施例中,互連結構204包括介電層、嵌入介電層中的導電通孔以及形成在介電層之間的導電配線。不同層的導電配線透過導電通孔相互電連接。此外,互連結構204電連接到形成在半導體基材202中的電子電路。在部分實施例中,至少一密封環(seal ring)和至少一對準指標(alignment mark)形成在互連結構204中,其中密封環和對準指標形成在積體電路元件200的外圍區域200B內。在一些情況下,密封環圍繞積體電路元件200的主動區域200A,並且對準指標形成在密封環外部的區域中。在部分實施例中,多個對準指標形成在積體電路元件200的角落。本公開不限制上述密封環和對準指標的數量。
在第5圖的示性實施例中,重分佈層206表示半導體堆疊的一個或多個導電層中的一個導電層(例如,一個金屬層),其用於將電子電路電耦合到其他電子、機械和/或機電裝置。例如,重分佈層206可用於將電子電路電耦合到積體電路封裝(integrated circuit package)(例如,通孔插裝封裝(through-hole package)、表面黏著封裝(surface mount package)、針柵陣列封裝(pin grid array package)、扁平封裝(flat package)、小尺寸封裝(small outline package)、晶片級封裝(chip-scale package)和/或球腳陣列(ball grid array))。
作為另一個例子並且如第6圖所示,半導體裝置包括第一積體電路元件200.1、第一重分佈層206.1、第二積體電路元件200.2和第二重分佈層206.2。第一重分佈層206.1和第二重分佈層206.2位於第一積體電路元件200.1和第二積體電路元件200.2之間。示例性第一積體電路元件100.1包括其中形成有第一電子電路的第一半導體基材202.1和設置在第一半導體基材202.1上的第一互連結構204.1。示例性第二積體電路元件200.2包括其中形成有第二電子電路的第二半導體基材202.2和設置在半導體基材202.2上的第二互連結構204.2。來自與第一電子電路相關聯的第一半導體堆疊中的第一重分佈層206.1可以電耦合和/或機械地耦合到來自與第二電子電路相關聯的第二半導體堆疊中的第二重分佈層206.2,以電耦合第一電子電路和第二電子電路。在此示例性實施例中,第一重分佈層206.1被配置和設置成電耦合和/或機械耦合到第二重分佈層206.2。在示例性實施例中,第一重分佈層206.1使用混合接合(hybrid bonding)技術接合到第二重分佈層206.2。在此示例性實施例中,混合接合技術利用接合波(bonding wave)來電地和/或機械地耦合第一重分佈層206.1和第二重分佈層206.2。術語「混合接合」源自接合過程中金屬對金屬接合和絕緣體對絕緣體(或介電質對介電質)接合的組合。在部份情況下,重分佈層206.1和206.2包括用於金屬對金屬接合的導電特徵和用於絕緣體對絕緣體接合的介電質特徵,並且接合波在同一平面接合界面中連接也具有金屬互連連接在一起的介電質表面。因此,重分佈層206.1和206.2也可稱為接合層206.1和206.2(或混合接合層206.1和206.2)。如以下進一步詳細描述的,將第一重分佈層206.1和第二重分佈層206.2配置和設置為增加接合波傳播路徑(例如,沿著X方向和Y方向)的平衡,以在接合過程中促進對稱接合波在第一重分佈層206.1和第二重分佈層206.2之間的傳播,以有效減少接合後晶圓的變形。值得注意的是,本領域具普通知識者應理解,本公開的精神和範圍也可以應用於其他眾所周知的接合技術,包括但不限於直接接合(direct bonding)、表面活化接合(surface activated bonding)、電漿活化接合(plasma activated bonding)、陽極接合(anodic bonding)、共晶接合(eutectic bonding)、熱壓接合(thermo-compression bonding)、反應接合(reactive bonding)和暫態液相擴散接合(transient liquid phase diffusion bonding)。
第7圖、第8圖和第9圖繪示根據本公開示例性實施例之包括示例性積體電路元件的示例性半導體晶圓。參考第7圖,利用半導體裝置製造操作在半導體晶圓300中製造多個積體電路元件200.1至200.n。半導體晶圓300包括排列成陣列的多個積體電路元件200.1至200.n。在部分實施例中,半導體晶圓300包括其中形成有電子電路的半導體基材302和設置在半導體基材302上的互連結構304。在部分實施例中,包含在半導體晶圓300中的積體電路元件200.1到200.n中的每一個都包括其中形成有電子電路的主動區域200A和圍繞主動區域200A的外圍區域200B。半導體裝置製造操作使用預定順序的微影和化學處理操作以在第一半導體晶圓300中形成多個積體電路元件200.1至200.n。
在第7圖所示的示例性實施例中,使用第一系列製造操作(稱為前段製程)和第二系列製造操作(稱為後段製程)在半導體基材302中和/或上形成積體電路元件200.1至200.n。前段製程表示一系列的微影和化學製程操作,以在半導體基材302中和/或上形成多個積體電路元件200.1到200.n的相應電子電路。後段製程表示另一系列的微影和化學處理操作以在半導體基材302上形成多個積體電路元件200.1至200.n的對應互連結構204以形成半導體晶圓300。在示例性實施例中,包括在半導體晶圓300中的積體電路元件200.1至200.n可以彼此相似和/或不同。
請參考第7圖,半導體基材302為半導體晶圓300的一部分。半導體基材302可由矽或其他半導體材料製成。此外,半導體基材302可以包括其他元素半導體材料(例如,鍺)。在部分實施例中,半導體基材302由化合物半導體(例如,碳化矽、砷化鎵、砷化銦或磷化銦)製成。在部分實施例中,半導體基材302由合金半導體(例如,藍寶石、矽鍺、碳化矽鍺、磷化鎵砷或磷化鎵銦)製成。在部分實施例中,半導體基材302包括磊晶層。例如,半導體基材302具有覆蓋塊狀半導體的磊晶層。半導體基材302還可以包括隔離特徵(未繪示)(例如,淺溝槽隔離特徵或矽的局部氧化特徵)。隔離特徵可以定義和隔離各種半導體元件。半導體基材302還可以包括摻雜區域(未繪示)。摻雜區域可以摻雜有p型摻雜劑(例如,硼或二氟化硼(BF 2))和/或n型摻雜劑(例如,磷(P)或砷(As))。摻雜區域可以形成在半導體基材302正上方、在P井結構中、在N井結構中或在雙井結構中。
在部分實施例中,互連結構304包括介電層、嵌入介電層中的導電通孔以及在介電層之間的導電配線,其中不同層的導電配線透過導電過孔彼此電連接。
在半導體晶圓300上形成重分佈層306。在部分實施例中,在半導體晶圓300上形成重分佈層306的製程包括:在半導體晶圓300上形成介電層;圖案化介電層,以在介電層中形成多個開口,以暴露半導體晶圓300的導電墊;在半導體晶圓300上沉積導電材料,使得導電材料覆蓋介電層和在介電層中由開口暴露的導電墊,其中導電材料不僅覆蓋介電層和導電墊,還覆蓋開口的側壁表面並完全地填充開口;執行研磨製程(例如,化學機械研磨製程)以部分地去除導電材料的多餘部分,直到暴露介電層308的頂表面,以便在介電層308中形成導電接觸陣列310(例如,金屬通孔和/或金屬接合墊)。包括介電層308和導電接觸陣列310的重分佈層306可以在執行晶圓級接合製程以將半導體晶圓300與另一晶圓接合時作為接合層。
參照第8圖,提供要彼此接合的第一半導體晶圓300.1和第二半導體晶圓300.2。在部分實施例中,提供了兩種不同類型的晶圓300.1和300.2。換句話說,包括在第一半導體晶圓300.1中的積體電路元件200.1到200.n和包括在第二半導體晶圓300.2中的積體電路元件200.1到200.n可以具有不同的架構並且執行不同的功能。例如,第二半導體晶圓300.2是包括多個晶片的記憶體裝置晶圓,其中這些晶片包括記憶體陣列(例如,如第4A圖或第4B圖中的記憶體陣列120)和其他前段製程結構,而第一半導體晶圓300.1是特殊用途積體電路(application-specific integrated circuit, ASIC)晶圓,其包括多個電晶體和其他前段製程結構。第一半導體晶圓300.1中的電晶體對應於第二半導體晶圓300.2中的記憶體陣列中的記憶體單元(例如,如第4A圖或第4B圖中的記憶體單元125)。在其他的實施例中,第二半導體晶圓300.2沒有電晶體,以允許第二半導體晶圓300.2經歷過熱而使記憶體單元中的鐵電膜獲得更高的結晶品質。
在接合第一半導體晶圓300.1和第二半導體晶圓300.2之前,分別在第一半導體晶圓300.1和第二半導體晶圓300.2上方形成第一重分佈層306.1和第二重分佈層306.2。形成第一重分佈層306.1和第二重分佈層306.2的製程可以與第7圖中形成重分佈層306的製程類似,因此在此不再贅述。
在部分實施例中,在第一半導體晶圓300.1上方形成第一重分佈層306.1的製程包括:在第一半導體晶圓300.1上方形成第一介電層;圖案化第一介電層以在第一介電層308.1中形成多個第一開口以暴露第一半導體晶圓300.1的第一導電墊;在第一半導體晶圓300.1上沉積第一導電材料,使得第一導電材料覆蓋第一介電層308.1和在第一介電層308.1中由第一開口暴露的第一導電墊,其中第一導電材料不僅覆蓋第一介電層308.1和第一導電墊,還覆蓋第一開口的側壁表面並完全地填滿第一開口;執行第一研磨製程(例如,化學機械研磨製程)以部分地去除第一導電材料的多餘部分,直到暴露出第一介電層308.1的頂表面,從而在第一介電層308.1中形成多個導電接觸陣列310.1(例如,在第4A圖中的接合墊170)。在部分實施例中,在第二半導體晶圓300.2上方形成第二重分佈層306.2的製程包括:在第二半導體晶圓300.2上方形成第二介電層308.2;圖案化第二介電層308.2以在第二介電層308.2中形成多個第二開口以暴露第二半導體晶圓300.2的第二導電墊;在第二半導體晶圓300.2上沉積第二導電材料,使得第二導電材料覆蓋第二介電層308.2和由第二開口暴露的第二導電墊,其中第二導電材料不僅覆蓋第二介電層308.2和第二導電接墊,也覆蓋第二開口的側壁表面且完全地填滿第二開口;執行第二研磨製程(例如,化學機械研磨製程)以部分去除第二導電材料的多餘部分,直到暴露出第二介電層308.2的頂表面,從而在第二介電層308.2中形成多個導電接觸陣列310.2(例如,接在第4A圖中的接合墊170)。
在部分實施例中,導電接觸陣列310.1從第一介電層308.1的頂表面稍微突出並且導電接觸陣列310.2從第二介電層308.2的頂表面稍微突出,因為在化學機械研磨過程中,第一介電層308.1和308.2以相對較高的研磨速率被研磨,而導電材料以相對較低的研磨速率被研磨。
參照第8圖和第9圖,在第一半導體晶圓300.1和第二半導體晶圓300.2之上形成第一重分佈層306.1和第二重分佈層306.2之後,將其上形成有第二重分佈層306.2的第二半導體晶圓300.2倒裝到形成在第一半導體晶圓300.1上的第一重分佈層306.1上,使得第一重分佈層306.1的多個導電接觸陣列310.1與第二重分佈層206.2的多個導電接觸陣列310.2實質上對準。然後,第一半導體晶圓300.1透過第一重分佈層306.1和第二重分佈層306.2接合到第二半導體晶圓300.2以形成半導體裝置320。在部分實施例中,在接合結構(例如,半導體裝置)320中位於第一重分佈層306.1和第二重分佈層306.2之間的接合界面在執行接合製程之後實質上沒有未對準。這種接合可以包括直接接合、表面活化接合、電漿活化接合、陽極接合、共晶接合、熱壓接合、反應接合和暫態液相擴散接合和/或在不脫離本公開的精神和範圍的情況下,對本領域具普通知識者來說顯而易見的任何其他所知的接合技術。隨後,接合結構320被切割成單獨的晶片。
第10圖是包括鐵電記憶體裝置的製造的半導體製造方法400。方法400僅僅是一個實施例,並不旨在將本公開限制在請求項中明確記載的範圍之外。可以在方法400之前、期間和之後提供附加的步驟,並且可以替換、消除或移動所描述的一些步驟以作為此方法的附加實施例。方法400在下文中結合第11圖至第26圖進行描述,其表示根據本公開的部分實施例之根據方法400的各個階段的記憶體裝置的實施例的橫截面圖。
方法400在操作402(第10圖)提供(或被提供)包括基材502的裝置結構500(如第11圖所示)。所描繪的裝置結構500可以是第一晶圓的一部分。裝置結構500與裝置結構600(將於稍後討論)將接合在一起以形成積體電路晶片的一部分、系統單晶片(system on chip, SoC)或其一部分,其可以包括各種被動和主動微機電裝置(例如,電阻器、電容器、電感器、二極體、p型場效應電晶體、n型場效應電晶體、金屬氧化物半導體場效應電晶體、互補式金屬氧化物半導體、雙極性接面電晶體、橫向擴散金屬氧化物半導體(laterally diffused metal-oxide semiconductor)電晶體、高壓電晶體、高頻電晶體、其他合適的元件或它們的組合)。在其他的實施例中,裝置結構500是上部積體電路元件200.2(第6圖)的一部分。
基材502可以實質上類似於上面討論的基材132(例如,第2圖)和/或基材202(例如,第5圖)。可選地,可以在基材502中形成類似於摻雜區域146(例如,第3B圖)的摻雜區域(未繪示)。摻雜區域的摻雜類型與基材502的摻雜類型相反。可以形成摻雜濃度高於基材502的摻雜區域。在部分實施例中,摻雜區域可以透過合適製程形成(例如,POCl 3摻雜方法或其他摻雜方法)。
方法400在操作404(第10圖)中在基材502中形成溝槽504(如第12圖所示)。在部分實施例中,溝槽504具有寬度W1和深度D1,深度D1與寬度W1的深寬比大約為約5至約30。在基材502上方設置有溝槽遮罩(未繪示)處形成溝槽504。溝槽遮罩可以是光阻遮罩或硬遮罩(例如,氮化物)。然後,在有溝槽遮罩的情況下執行蝕刻操作。透過合適的蝕刻製程(例如,乾式蝕刻操作)形成溝槽504。在部分實施例中,本操作中的乾式刻蝕包括採用含氟氣體的反應離子刻蝕(reactive ion etch, RIE)。在形成溝槽504之後移除溝槽遮罩。
方法400在操作406(第10圖)沉積底部電極層506(如第13圖所示)。在部分實施例中,底部電極層506毯覆式沉積在裝置結構500上,包括溝槽504的側壁和底表面上。底部電極層506的材料成分可以與如上所述之底部電極134實質上相似(例如,第2圖)。在部分實施例中,底部電極層506可以包括任何合適的導電材料(例如,銅(Cu)、鋁(Al)、鋯(Zr)、鈦(Ti)、氮化鈦(TiN)、鎢(W)、鉭(Ta)、氮化鉭(TaN)、鉬(Mo)、釕(Ru)、鈀(Pd)、鉑(Pt)、鈷(Co)、鎳(Ni)、銥(Ir)、它們的合金或相似物)。在部分實施例中,底部電極層506可以由氮化鈦(TiN)、釕(Ru)、鎢(W)、鉬(Mo)、氮化鉭(TaN)等形成。可以使用任何合適的沉積製程來沉積底部電極層506。例如,合適的沉積製程可以包括物理氣相沉積、濺射、化學氣相沉積、原子層沉積、電漿增強化學氣相沉積或其組合。底部電極層506的厚度可以在從10奈米到100奈米的範圍內,但是也可以使用更薄和更厚的厚度。
方法400在操作408 (第10圖)沉積界面層508(如第14圖所示)。在部分實施例中,界面層508毯覆式沉積在裝置結構500之上,其包括在溝槽504的側壁和底表面上。界面層508的材料成分可以實質上類似於上面討論過的界面層136(例如,第2圖)。界面層136可以包括具有大於3.9的介電常數的高介電常數介電質材料並且可以包括但不限於氮化矽(SiN x)、氧化鉿(HfO 2)、氧化鉿矽(HfSiO)、氧化鉿鉭(HfTaO)、氧化鉿鈦(HfTiO)、氧化鉿鋯(Hf 0.5Zr 0.5O 2)(HZO)、氧化鉭(Ta 2O 5)、氧化鋁(Al 2O 3)、鋁酸鑭(LaAlO 3)、氧化鉿-氧化鋁(HfO 2-Al2O 3)、氧化鋯(ZrO 2)、氧化鎂(MgO)、它們的組合等。其他合適的介電質材料亦在本公開的範圍內。可以使用任何合適的沉積製程來沉積界面層136。例如,合適的沉積製程可以包括物理氣相沉積、濺射、化學氣相沉積、原子層沉積、電漿增強化學氣相沉積或其組合。界面層136的厚度可以小於約2奈米。
方法400在操作410(第10圖)沉積鐵電膜510(如第15圖所示)。在沉積鐵電膜510之前,可選地在界面層508的頂表面上形成與上面討論的晶種結構138(例如,第2圖)實質上相似的晶種結構(未繪示)。晶種結構可以是金屬顆粒的不連續層,其可以包括離散的金屬原子或離散的金屬奈米顆粒。晶種結構可以是不連續層,使得晶種結構不會在界面層508的表面上形成導電路徑。晶種結構可以具有厚度,和/或晶種金屬顆粒可以具有範圍從約1埃(Å)到約20埃(例如,從約1埃到約10埃,或從約1埃到約5埃)的平均粒徑。可以透過使用任何合適的沉積製程沉積晶種金屬來形成晶種結構。例如,可以使用物理氣相沉積、化學氣相沉積、原子層沉積等形成晶種結構。
在部分實施例中,鐵電膜510毯覆式沉積在裝置結構500上,包括在溝槽504的側壁和底表面上。鐵電膜510的材料成分可以實質上類似於如上所述之鐵電膜140(例如,第2圖)。鐵電膜510包含鐵電材料(極化材料)。鐵電膜140可為單層或多層結構,例如第一鐵電層設置於第二鐵電層之上,其中第一鐵電層與第二鐵電層具有不同的成分。鐵電材料可以是高介電常數介電質材料(例如,介電常數大於約28(例如,k≥28))、具有斜方晶體結構的介電質材料。在部分實施例中,鐵電膜510包括金屬氧化物材料或金屬氮氧化物材料。例如,鐵電膜510可以包括含氧化鉿的材料或含氧化鋯的材料。在其他的實施例中,鐵電膜510可以包括氧化鉿(例如,Hf xO y)、氧化鉿鋯(例如,Hf xZr zO y)(也稱為HZO)、氧化鉿鋁(例如,Hf xAl zO y)、氧化鑭鉿(例如,Hf xLa zO y)、氧化鉿鈰(例如,Hf xCe zO y)、氧化鉿矽(Hf xSiO y)、氧化鉿钆(例如,Hf xGd zO y)、其他合適的含氧化鉿(Hf xO y)的材料或其組合,其中x、y、z是原子百分比。在另一實施例中,鐵電膜140可以包括含氧化鋯(Zr jO k)的材料,其中j、k是原子百分比。可以透過使用任何合適的沉積方法沉積鐵電材料來形成鐵電膜140(例如,物理氣相沉積、旋塗和退火、濺射、化學氣相沉積、原子層沉積、電漿增強化學氣相沉積、噴霧裂解法、脈衝雷射沉積或其組合)。在沉積過程中,晶種金屬可以促進鐵電膜510中所需晶相的生長。例如,當鐵電膜510包括含鉿(Hf)鐵電材料時,鐵電膜510的初晶相可以具有斜方晶體結構。如果鐵電膜510包括含鉛(Pb)基材料(例如,摻雜有鐵電材料的氧化鈦(ferroelectric (Pb, Ba)TiO 3, PBT)或鋯鈦酸鉛(PZT)),則鐵電膜510的初晶相可以具有四方晶體結構。特別地,初晶相可佔鐵電膜510的至少50%(例如,約60%至約99.9%,或約70%至約95%)。在部分實施例中,鐵電膜140的厚度小於約5奈米。
方法400在操作412(第10圖)沉積頂部電極層512(如第16圖所示)。在部分實施例中,頂部電極層512毯覆式沉積在裝置結構500上,包括在溝槽504的側壁和底表面上。頂部電極層512的材料成分可以與如上所述之頂部電極142(例如,第2圖)實質上相似。頂部電極層512可以包括和/或可以實質上由過渡金屬、導電金屬氮化物和導電金屬碳化物中的至少一種組成。可用於頂部電極層512的示例性金屬材料包括但不限於氮化鈦(TiN)、氮化鉭(TaN)、氮化鎢(WN)、鎢(W)、銅(Cu)、鋁(Al)、鈦(Ti)、鉭(Ta)、釕(Ru)、鈷(Co)、鉬(Mo)、鉑(Pt)及其合金,和/或其組合。亦可以使用本公開預期範圍內的其他合適的材料。例如,頂部電極層512可以包括和/或可以實質上由諸如鎢(W)、銅(Cu)、鈦(Ti)、鉭(Ta)、釕(Ru)、鈷(Co)、鉬(Mo)或鉑(Pt)的元素金屬組成。頂部電極512的厚度可以在從10奈米到100奈米的範圍內,但是也可以使用更薄和更厚的厚度。
方法400在操作414(第10圖)沉積插塞層514(如第17圖所示)。插塞層514填滿溝槽504中的剩餘開口。插塞層514的材料成分可以與上面討論的插塞148(例如,第3C圖)實質上相似。在部分實施例中,插塞層514包括介電質材料(例如,高介電常數介電質材料)。高介電常數介電質材料的實施例包括氧化鉿(HfO 2)、氧化鉿矽(HfSiO)、鉭氧化鉿矽(HfSiON)、氧化鉿鉭(HfTaO)、氧化鉿鈦(HfTiO)、氧化鉿鋯(HfZrO)、氧化鋯、氧化鋁、氧化鉿-氧化鋁(HfO 2-Al 2O 3)合金、其他合適的高介電常數介電質材料和/或其組合。在部分實施例中,插塞層514包括半導體材料(例如,多晶矽)。在部分實施例中,插塞層514包括不同於頂部電極層512的導電材料(例如,銅、鋁、金、銀或鎢)。可以使用任何合適的沉積製程來沉積插塞層514。例如,合適的沉積製程可以包括物理氣相沉積、濺射、化學氣相沉積、原子層沉積、電漿增強化學氣相沉積或其組合。在操作414結束時,執行研磨製程(例如,化學機械研磨製程)以去除插塞層514的多餘部分,直到暴露頂部電極層512的頂表面,以形成嵌入頂部電極層512的插塞。研磨製程之後的所得結構繪示於第18圖中。插塞被繪示為插塞516。插塞516增強了待形成的高深寬比鐵電穿隧接面的機械強度,並且如果其由半導體材料或導電材料形成,則插塞516可以進一步作為頂部電極層512的延伸。插塞516的頂表面可以與頂部電極層512的頂表面實質上齊平。由於研磨製程中的碟盤效應(dishing effect),可選地,插塞516的頂表面可以具有凹形輪廓並且低於頂部電極層512的頂表面。插塞516的深度D2與寬度W2的深寬比在約30至約50的範圍內,其大於溝槽504的深寬比。
方法400在操作416(第10圖)中圖案化頂部電極層512、鐵電膜510、界面層508、底部電極層506以形成鐵電穿隧接面520(如第19圖所示)。為簡單起見,底部電極506和頂部電極512分別表示圖案化的底部電極層506和圖案化的頂部電極層512。在部分實施例中,底部電極層506的圖案化包括在裝置結構500上方沉積光阻層(未繪示),將光阻暴露於圖案,執行曝光後烘烤製程,以及顯影光阻以形成遮罩元件。然後遮罩元件可以用於保護鐵電穿隧接面520的區域和形成在其上的層,同時蝕刻製程透過遮罩元件中的開口從未被保護的區域去除層。蝕刻製程可以包括乾式蝕刻、濕式蝕刻、反應離子蝕刻和/或其他合適的製程。透過類似的圖案化製程依序圖案化頂部電極層512。頂部電極層512的圖案化暴露底部電極506的頂表面。在部分實施例中,堆疊在頂部電極和底部電極之間的層的頂表面保持被頂部電極512覆蓋,而其側壁被暴露。在部分實施例中,從底部到頂部,層506、508、510和512的尺寸依次減小,使得每一層均有一部分的頂表面被暴露出來。
方法400在操作418(第10圖)對裝置結構500執行熱處理524(如第20圖所示)。透過在另一個晶圓中形成電晶體和其他前段製程結構(如稍後將更詳細地解釋的),可在裝置結構500施加過熱的溫度而不會對電晶體和其他前段製程結構造成損壞。在部分實施例中,承載鐵電膜510的晶圓可經受溫度大於約550°C(例如,在約550°C與約1000°C之間)的熱處理,而不會使前段製程結構經受這種過熱的影響。因此,提高了鐵電膜510的結晶品質,並且在些微或幾乎沒有損壞前段製程結構的風險下提高了鐵電記憶體裝置的性能。在部分實施例中,可以使用準分子雷射退火、閃光燈退火、爐管退火等對鐵電膜510進行退火。在操作上,可以在操作416之前,甚至在操作414之前但在操作412之後進行執行熱處理524。也就是說,可以在電極層、鐵電膜和界面層被圖案化為鐵電穿隧接面520之前執行結晶改善。
方法400在操作420(第10圖)中互連結構530形成在裝置結構500上(如第21圖所示)。在部分實施例中,互連結構530可以包括大約兩(2)到大約五(5)層金屬層。互連結構的每個金屬層包括嵌入至少一個介電質層(例如,層間介電質層和/或金屬間介電質(intermetal dielectric, IMD)層)中的多個導電通孔和金屬線。導電通孔和金屬線可以由鈦(Ti)、釕(Ru)、鎳(Ni)、鈷(Co)、銅(Cu)、鉬(Mo)、鎢(W)或鋁(Al)形成。在一個實施例中,它們由銅(Cu)形成。介電層可以是或包括氧化物(例如,二氧化矽)、低介電常數介電質材料、另一種合適的介電質材料或前述的任何組合。在其他的實施例中,介電層可以例如是或包括二氧化矽、低介電常數介電質材料、氮化矽、碳化矽、極低介電常數(extreme low-k, ELK)介電質材料、另一種合適的介電質材料或其任何的組合。導電通孔和金屬線嵌入或設置在介電層中。例如,在所描繪的實施例中,導電通孔534位在底部電極506的暴露頂表面上,而導電通孔536位在頂部電極512的頂表面上。在所描繪的實施例中,導電通孔536進一步將頂部電極512電耦合到頂部金屬線538。
方法400在操作422(第10圖)形成覆蓋互連結構530的重分佈層(或混合接合層)540(如第22圖所示)。重分佈層540包括用於金屬對金屬接合的導電特徵(例如,接合墊542)和用於絕緣體對絕緣體接合的介電特徵。接合墊542透過嵌入重分佈層540中的通孔544和互連結構530中的金屬配線(例如,頂部金屬線538)電連接到鐵電穿隧接面520。在部分實施例中,操作418中的熱處理在操作422之後執行但在操作426(其執行接合製程)之前執行。
方法400在操作424(第10圖)形成裝置結構600(如第23圖所示)。所描繪的裝置結構600可以是不同於第一晶圓的之第二晶圓的一部分。裝置結構600在此被簡化並且沒有詳細說明或描述裝置結構600中的所有的特徵。裝置結構600與上面討論的裝置結構500將接合在一起。在其他的實施例中,裝置結構600是下部積體電路元件200.1(第6圖)的一部分。
裝置結構600包括覆蓋基材602的互連結構604。在一個實施例中,基材602包括矽(Si)。備選地或附加地,基材602可以包括另一種元素半導體(例如,鍺(Ge));化合物半導體(例如,碳化矽(SiC)、砷化鎵(GaAs)、磷化鎵(GaP)、磷化銦(InP)、砷化銦(InAs)和/或銻化銦);合金半導體(例如,矽鍺(SiGe)、磷砷化鎵(GaAsP)、砷銦化鋁(AlInAs)、砷鎵化鋁(AlGaAs)、砷銦化鎵(GaInAs)、磷銦化鎵(GaInP)和/或磷砷化銦鎵(GaInAsP));或其組合。亦或者,基材602可以是絕緣體上半導體基材(例如,絕緣體上矽(silicon-on-insulator, SOI)基材、絕緣體上矽鍺(silicon germanium-on-insulator, SGOI)基材或絕緣體上鍺(germanium-on-insulator, GeOI)基材)。絕緣體上半導體基材可以使用氧離子佈植隔離(separation by implantation of oxygen, SIMOX)、晶圓接合和/或其他合適的方法來製造。根據裝置結構600的設計要求,基材602可以包括各種摻雜區域(未繪示)。在部分實施例中,基材602包括摻雜有p型摻雜劑(例如,硼(例如,二氟化硼(BF 2))、銦、其他p型摻雜劑或它們的組合)的p型摻雜區域(例如,p型井)。在部分實施例中,基材602包括摻雜有n型摻雜劑(例如,磷(P)、砷(As)、其他n型摻雜劑或其組合)的n型摻雜區域(例如,n型井)。在部分實施例中,基材602包括由p型摻雜劑和n型摻雜劑的組合形成的摻雜區域。可以在基材602正上方和/或其中形成各種摻雜區域(例如,提供p井結構、n井結構、雙井結構、凸起結構或其組合)。可以執行離子佈植製程、擴散製程和/或其他合適的摻雜製程以形成各種摻雜區域。
多個半導體裝置608設置在基材602內和/或上方。在部分實施例中,半導體裝置608可以例如配置為電晶體或另一種合適的半導體裝置。在這樣的實施例中,半導體裝置608可以包括對應的源極/汲極區域610、對應的閘極結構612和對應的閘極覆蓋層614。如本公開所用,源極/汲極區域或「S/D區域」可以指代裝置的源極或汲極。它還可以指代為多個裝置提供源極和/或汲極的區域。在部分實施例中,源極/汲極區域610設置在基材602內。在其他的實施例中,閘極結構612可以包括覆蓋在對應的閘極介電層上之對應的閘極。在不同的實施例中,閘極例如可以是或包括金屬(例如,鋁、鎢、鈦、前述的任何組合等)、多晶矽、另一種合適的導電材料或前述的任何組合。在其他的實施例中,閘極介電層例如可以是或包括二氧化矽、高介電常數介電質材料、另一種合適的介電質材料或前述的任何組合。閘極覆蓋層614是導電的並且例如可以是或包括鉭、鈦、矽化物、另一種合適的材料或前述的任何組合。此外,隔離結構616設置在基材602內並且可以橫向圍繞相應的半導體裝置608。在部分實施例中,隔離結構616可以例如被配置為淺溝槽隔離結構、深溝槽隔離(deep trench isolation, DTI)結構,或其他合適的隔離結構。在其他的實施例中,隔離結構616例如可以是或包括二氧化矽、氮化矽、碳化矽、另一種合適的介電質材料或前述的任何組合。
此外,半導體裝置608可以是平面電晶體或多閘極電晶體(例如,鰭式場效應電晶體或閘極全環電晶體)。閘極全環電晶體可包括各種形狀(包括奈米線、奈米棒或奈米片,其可統稱為奈米結構)的通道區域。閘極全環電晶體也可稱為多橋通道電晶體或環繞閘極電晶體。雖然半導體裝置608在第23圖和後續圖示中被繪示為平面裝置,然而,應當理解,半導體裝置608也可以是鰭式場效應電晶體或閘極全環電晶體。
互連結構604包括下部,此下部包括嵌入層間介電質層中的閘極接觸通孔618和源極/汲極接觸通孔620。層間介電質層可以包括氧化矽、正矽酸四乙酯(tetraethylorthosilicate, TEOS)氧化物、未摻雜的矽酸鹽玻璃(undoped silicate glass, USG)或摻雜的矽酸鹽玻璃(例如,摻硼的磷矽酸鹽玻璃(boron-doped phosphor-silicate glass, BPSG))、熔融矽酸鹽玻璃 (fused silicate glass, FSG)、磷矽酸鹽玻璃(phospho-silicate glass, PSG)、硼矽酸鹽玻璃(boro-silicate glass, BSG)和/或其他合適的介電質材料。源極/汲極接觸可以包括釕(Ru)、鈷(Co)、鎳(Ni)或銅(Cu)。閘極接觸通孔可以包括鎢(W)、釕(Ru)、鈷(Co)、鎳(Ni)或銅(Cu)。互連結構604的上部包括依次堆疊的多個金屬層(例如,第一金屬層M1至第n金屬層Mn(未繪示))。互連結構604的其他金屬層將形成在第n金屬層Mn之上。在部分實施例中,互連結構604可以包括大約兩(2)到大約五(5)層金屬層。互連結構的每個金屬層包括嵌入至少一個金屬間介電質層中的多個通孔和金屬線。通孔和金屬線可以由鈦(Ti)、釕(Ru)、鎳(Ni)、鈷(Co)、銅(Cu)、鉬(Mo)、鎢(W)或鋁(Al)形成。在一個實施例中,它們由銅(Cu)形成。金屬間介電質層可以具有類似於上述層間介電質層的組成。通孔和金屬線嵌入或設置在金屬間介電質層中。
裝置結構600包括設置在互連結構604上方的重分佈層(或混合結接合層)606。重分佈層606包括用於金屬對金屬接合的導電特徵(例如,接合墊626),以及用於絕緣體與絕緣體接合的介電質特徵。接合墊626透過嵌入重分佈層606中的通孔628和互連結構604中的金屬配線(例如,頂部金屬線624)電連接到半導體裝置608。於一些實施方式中,半導體裝置608可為控制電晶體。
方法400在操作426(第10圖)將裝置結構500與裝置結構600接合以形成接合結構700。第24圖繪示裝置結構500和600接合在一起之後的接合結構700。接合結構700的頂面(或正面)是裝置結構500的底面(或背面)。接合結構700的底面(或背面)是裝置結構600的底面(或背面)。在接合結構700中,裝置結構500的厚度為T1,而裝置結構600的厚度T2通常大於T1。在部分實施例中,T1小於約10微米,T2小於約700微米。一對裝置結構500的接合墊542和裝置結構600的接合墊626共同定義混合接合墊702。透過混合接合墊702,鐵電穿隧接面520的電極電耦合到對應的半導體裝置608的源極/汲極區域610其中之一。
方法400在操作428(第10圖)執行進一步處理以形成各種特徵(如第25圖所示)。在所描繪的實施例中,正面接合墊704沿著接合結構700的正面設置。基材通孔(Through-substrate-vias, TSV)706從正面接合墊704延伸,穿過基材502,並且突出到互連結構530中。基材通孔706具有相對較小的尺寸(例如,小於或等於大約2.5微米),以允許基材通孔706連接到互連結構530中的細金屬線。正面接合墊704被鈍化層707和708覆蓋。在其他的實施例中,鈍化層707和708可以例如分別是或包括二氧化矽、氮氧化矽、碳氧化矽、氮化矽、碳化矽、另一種合適的介電質材料或前述的任何組合。球下金屬(under-bump metallurgy, UBM)層710延伸穿過鈍化層707和708中的開口以接觸正面接合墊704。在部分實施例中,球下金屬層710也可以沿著鈍化層708的上表面延伸。將導電凸塊712設置在球下金屬層710內的一個位置,此位置透過球下金屬層710將導電凸塊712與鈍化層707和708分開。將導電凸塊712配置為提供鐵電穿隧接面520和位元線BL之間的電連接。
共同參考第4A圖和第25圖,在部分實施例中,鐵電穿隧接面520的頂部電極512透過混合接合墊702電耦合到半導體裝置608的源極/汲極區域610,而底部電極506透過基材通孔706耦合到位元線BL。在部分其他實施例中,鐵電穿隧接面520的底部電極506透過混合接合墊702電耦合到半導體裝置608的源極/汲極區域,而頂部電極512透過基材通孔706耦合到位元線BL。
共同參考第4B圖和第26圖,在部分其他實施例中,兩個或更多個鐵電穿隧接面可以共享一個混合接合墊702和一個半導體裝置608以減少混合接合墊和控制電晶體所需的數量。由於混合接合墊702的尺寸通常遠大於半導體裝置608和鐵電穿隧接面520的尺寸,所以混合接合墊和單獨鐵電穿隧接面的一對一映射需要與記憶體陣列中的記憶體單元相同數量的混合接合墊,這將花費相對較大的裝置面積。如第26圖所示,兩個或更多個鐵電穿隧接面520的頂部電極512可以透過導電通孔536電連接到金屬線並且進一步耦合到共享的混合接合墊702,而在一對一映射中底部電極506電耦合到基材通孔706。亦或是,兩個或更多鐵電穿隧接面520的底部電極506可以透過導電通孔536電連接到金屬線並且進一步耦合到共享的混合接合墊702,而在一對一映射中頂部電極512電耦合到基材通孔706。因此,減少了混合接合墊的數量和所需的控制電晶體的數量。
透過在一個晶圓中形成電晶體和其他前段製程結構並在另一個晶圓中的記憶體單元中形成數據存儲元件,可以將過高的熱溫度施加到鐵電膜以改善結晶而不會對電晶體和其他前段製程結構造成損壞。熱處理後,使用晶圓堆疊技術將兩個晶圓接合在一起。透過在深溝槽中形成數據存儲元件,減少了記憶體單元所需的體積並增加了佈局密度。透過與混合接合墊和控制電晶體共享多個數據存儲元件,所需的混合接合墊和控制電晶體的數量也顯著減少,使晶圓堆疊記憶體結構更加可行。
在一個示例性方面,本公開涉及一種形成記憶體裝置的方法。此方法包括在第一晶圓的第一基材中形成溝槽,在溝槽中沉積數據存儲元件,對第一晶圓進行熱處理以改善數據存儲元件中的結晶,在第一基材上形成第一重分佈層,在第二晶圓的第二基材中形成電晶體,在第二基材上形成第二重分佈層,以及在進行熱處理之後,將第一晶圓與第二晶圓接合,使得數據存儲元件透過第一重分佈層和第二重分佈層電耦合到電晶體。在部分實施例中,數據存儲元件包括鐵電穿隧接面。在部分實施例中,在形成第一重分佈層之前執行熱處理。在部分實施例中,數據存儲元件的沉積包括在溝槽中沉積底部電極層,在底部電極層上沉積鐵電膜,在鐵電膜上沉積頂部電極層,以及圖案化底部電極層、鐵電膜和頂部電極層以形成數據存儲元件。在部分實施例中,在圖案化底部電極層、鐵電膜和頂部電極層之前進行熱處理。在部分實施例中,熱處理包括對第一晶圓施加高於約550°C的溫度。在部分實施例中,在第一晶圓與第二晶圓接合之後,第一晶圓的厚度小於第二晶圓的厚度。在部分實施例中,第一晶圓的厚度小於約10微米,並且第二晶圓的厚度小於約700微米。在部分實施例中,數據存儲元件包括靠近溝槽側壁的底部電極和遠離溝槽側壁的頂部電極,並且其中底部電極與電晶體電耦合。在部分實施例中,數據存儲元件包括靠近溝槽側壁的底部電極和遠離溝槽側壁的頂部電極,並且頂部電極與電晶體電耦合。
在另一個示例性方面,本公開涉及一種形成記憶體裝置的方法。此方法包括在第一晶圓中形成多個鐵電穿隧接面,進行熱處理以改善在鐵電穿隧接面中的鐵電膜的結晶,在第一晶圓上形成第一重分佈層,其中第一重分佈層包括與多個鐵電穿隧接面關聯的多個第一接合墊,在第二晶圓中形成多個電晶體,在第二晶圓上形成第二重分佈層,其中第二重分佈層包括與多個電晶體相關聯的多個第二接合墊,並且在進行熱處理之後,將第一晶圓與第二晶圓接合,使得多個第一接合墊與多個第二接合墊接合。在部分實施例中,鐵電膜具有小於約5奈米的厚度。在部分實施例中,多個鐵電穿隧接面的形成包括在第一晶圓中形成多個溝槽,在多個溝槽中沉積底部電極層,在底部電極層上沉積鐵電膜,在鐵電膜上沉積頂部電極層,以及圖案化底部電極層、鐵電膜和頂部電極層以形成多個鐵電穿隧接面。在部分實施例中,形成多個鐵電穿隧接面還包括在沉積頂部電極層之後,沉積插塞層填充被頂部電極層包圍的溝槽。在部分實施例中,在第一晶圓與第二晶圓接合之後,一對接合的第一接合墊和第二接合墊與多個鐵電穿隧接面中的一個且僅一個鐵電穿隧接面相關聯。在部分實施例中,在第一晶圓與第二晶圓接合之後,一對接合的第一接合墊和第二接合墊與多個鐵電穿隧接面中的兩個或更多個鐵電穿隧接面相關聯。
在又一個示例性方面,本公開涉及一種記憶體裝置。此記憶體裝置包括包含多個電晶體的第一基材、在第一基材上方的第一重分佈層,第一重分佈層包括電耦合到多個電晶體的多個第一接合墊、在第一重分佈層上方的第二重分佈層,第二重分佈層包括與多個第一接合墊物理接觸的多個第二接合墊,以及在第重二分佈層上方的第二基材,第二基材包括嵌入在第二基材中的多個數據存儲元件,多個數據存儲元件中的每一個具有電耦合到多個第二接合墊之一的第一電極。在部分實施例中,多個數據存儲元件中的每一個均具有電耦合到記憶體裝置的位元線的第二電極。在部分實施例中,多個電晶體中的每一個均具有電耦合到記憶體裝置的字元線的閘極結構。在部分實施例中,數據存儲元件包括鐵電穿隧接面。
以上概述了幾個實施例的特徵,以便本領域具普通知識者可以更好地理解本公開的方面。本領域具普通知識者應該理解,他們可以容易地使用本公開作為設計或修改其他製程和結構的基礎,以實現與本公開介紹之實施例相同的目的和/或實現相同的益處。本領域具普通知識者可也應該理解,這樣的等同結構並不脫離本公開的精神和範圍,並且他們可以在不脫離本公開的精神和範圍的情況下對其進行各種更改、替換和更改。
100:記憶體系統 102:半導體基材 105:記憶體控制器 110:時序控制器 112:位元線控制器 114:字元線控制器 120:記憶體陣列 125:記憶體單元 128:數據存儲元件 130:鐵電穿隧接面 132:基材 133:介電層 134:底部電極 136:界面層 138:晶種結構 140:鐵電膜 142:頂部電極 146:摻雜區域 148:插塞 150:互連結構 152:導電通孔 154:導電通孔 156:層間介電質 160:金屬層 162:金屬線 164:金屬線 170:接合墊 180:控制電晶體 200:積體電路元件 200.1:積體電路元件 200.2:積體電路元件 200.n:積體電路元件 200A:主動區域 200B:外圍區域 202:半導體基材 202.1:第一半導體基材 202.2:第二半導體基材 204:互連結構 204.1:第一互連結構 204.2:第二互連結構 206:重分佈層 206.1:第一重分佈層 206.2:第二重分佈層 300:半導體晶圓 300.1:第一半導體晶圓 300.2:第二半導體晶圓 302:半導體基材 304:互連結構 306:重分佈層 306.1:第一重分佈層 306.2:第二重分佈層 308:介電層 308.1:第一介電層 308.2:第二介電層 310:導電接觸陣列 310.1:導電接觸陣列 310.2:導電接觸陣列 320:半導體裝置 400:方法 402:操作 404:操作 406:操作 408:操作 410:操作 412:操作 414:操作 416:操作 418:操作 420:操作 422:操作 424:操作 426:操作 428:操作 500:裝置結構 502:基材 504:溝槽 506:底部電極層 508:界面層 510:鐵電膜 512:頂部電極層 514:插塞層 516:插塞 520:鐵電穿隧接面 524:熱處理 530:互連結構 534:導電通孔 536:導電通孔 538:頂部金屬線 540:重分佈層 542:接合墊 544:通孔 600:裝置結構 602:基材 604:互連結構 606:重分佈層 608:半導體裝置 610:源極/汲極區域 612:閘極結構 614:閘極覆蓋層 616:隔離結構 618:閘極接觸通孔 620:源極/汲極接觸通孔 624:頂部金屬線 626:接合墊 628:通孔 700:接合結構 702:混合接合墊 704:正面接合墊 706:基材通孔 707:鈍化層 708:鈍化層 710:球下金屬層 712:導電凸塊 D1:深度 D2:深度 T1:厚度 T2:厚度 W1:寬度 W2:寬度 BL0:位元線 BL1:位元線 BLK:位元線 SL0:源極線 SL1:源極線 SLK:源極線 WL0:字元線 WL1:字元線 WLJ:字元線
當結合附圖閱讀時,根據以下詳細描述可以最好地理解本公開。需要理解的是,根據行業標準慣例,各種特徵並未按比例繪製,僅供說明之用。事實上,為了討論的清楚起見,可以任意地增加或減少各種特徵的尺寸。 第1圖是根據本公開的各個方面的記憶體系統的圖示。 第2圖、第3A圖、第3B圖、第3C圖和第3D圖繪示根據本公開的各個方面之記憶體單元中的數據存儲元件的各種實施例的橫截面圖。 第4A圖和第4B圖是根據本公開的各個方面之包括複數個記憶體單元的記憶體陣列的部分實施例的示意圖。 第5圖和第6圖分別繪示根據本公開的各個方面之示例性積體電路元件和包括接合的積體電路元件的半導體裝置。 第7圖、第8圖和第9圖繪示根據本公開示例性實施例之包括示例性積體電路元件的示例性半導體晶圓。 第10圖說明根據本公開的各個方面之用於形成記憶體裝置的方法的流程圖。 第11圖、第12圖、第13圖、第14圖、第15圖、第16圖、第17圖、第18圖、第19圖、第20圖、第21圖、第22圖、第23圖、第24圖、第25圖和第26圖繪示根據本公開的一個或多個方面之第10圖的方法之製程期間記憶體裝置的橫截面圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記 ) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記 ) 無
128:數據存儲元件
130:鐵電穿隧接面
132:基材
134:底部電極
136:界面層
138:晶種結構
140:鐵電膜
142:頂部電極
150:互連結構
152:導電通孔
154:導電通孔
156:層間介電質
160:金屬層
162:金屬線
164:金屬線

Claims (20)

  1. 一種形成記憶體裝置的方法,包含: 形成一溝槽於一第一晶圓的一第一基材中; 設置一數據存儲元件於該溝槽中; 對該第一晶圓進行一熱處理以改善該數據存儲元件中的一結晶; 形成一第一重分佈層於該第一基材上; 形成一電晶體於一第二晶圓的一第二基材中; 形成一第二重分佈層於該第二基材上;以及 在進行該熱處理之後,將該第一晶圓與該第二晶圓接合,使得該數據存儲元件透過該第一重分佈層和該第二重分佈層電連接到該電晶體。
  2. 根據請求項1所述的方法,其中該數據存儲元件包含一鐵電穿隧接面。
  3. 根據請求項1所述的方法,其中在形成該第一重分佈層之前進行該熱處理。
  4. 根據請求項1所述的方法,其中該數據存儲元件的設置包含: 沉積一底部電極層在該溝槽中; 沉積一鐵電膜在該底部電極層上; 沉積一頂部電極層在該鐵電膜上;以及 圖案化該底部電極層、該鐵電膜和該頂部電極層以形成該數據存儲元件。
  5. 根據請求項4所述的方法,其中在圖案化該底部電極層、該鐵電膜和該頂部電極層之前執行該熱處理。
  6. 根據請求項1所述的方法,其中該熱處理包含對該第一晶圓施加高於約550°C的一溫度。
  7. 根據請求項1所述的方法,其中在該第一晶圓與該第二晶圓接合後,該第一晶圓的一厚度小於該第二晶圓的一厚度。
  8. 根據請求項7所述的方法,其中該第一晶圓的該厚度小於約10微米,並且該第二晶圓的該厚度小於約700微米。
  9. 根據請求項1所述的方法,其中該數據存儲元件包含靠近該溝槽的複數個側壁的一底部電極和遠離該溝槽的該些側壁的一頂部電極,並且其中該底部電極與該電晶體電耦合。
  10. 根據請求項1所述的方法,其中該數據存儲元件包含靠近該溝槽的複數個側壁的一底部電極和遠離該溝槽的該些側壁的一頂部電極,並且其中該頂部電極與該電晶體電耦合。
  11. 一種形成記憶體裝置的方法,包含: 形成複數個鐵電穿隧接面於一第一晶圓中; 執行一熱處理以改善該些鐵電穿隧接面中一鐵電膜的一結晶; 形成一第一重分佈層於該第一晶圓上,其中該第一重分佈層包含與該些鐵電穿隧接面相關聯的複數個第一接合墊; 形成複數個電晶體於一第二晶圓中; 形成一第二重分佈層於該第二晶圓上,其中該第二重分佈層包含與該些電晶體相關聯的複數個第二接合墊;以及 在進行該熱處理之後,接合該第一晶圓與該第二晶圓,使得該些第一接合墊與該些第二接合墊接合。
  12. 根據請求項11所述的方法,其中該鐵電膜的一厚度小於約5奈米。
  13. 根據請求項11所述的方法,其中形成該些鐵電穿隧接面包含: 形成複數個溝槽於該第一晶圓中; 沉積一底部電極層於該些溝槽中; 沉積該鐵電膜於該底部電極層上; 沉積一頂部電極層於該鐵電膜上;以及 圖案化該底部電極層、該鐵電膜和該頂部電極層以形成該些鐵電穿隧接面。
  14. 根據請求項13所述的方法,其中形成該些鐵電穿隧接面更包含: 在沉積該頂部電極層之後,沉積一插塞層以填充被該頂部電極層包圍的該些溝槽。
  15. 根據請求項11所述的方法,其中在該第一晶圓與該第二晶圓接合之後,一對接合的第一接合墊和第二接合墊與該些鐵電穿隧接面中的一個且僅一個鐵電穿隧接面相關聯。
  16. 根據請求項11所述的方法,其中在該第一晶圓與該第二晶圓接合之後,一對接合的第一接合墊和第二接合墊與該些鐵電穿隧接面中的兩個或更多個鐵電穿隧接面相關聯。
  17. 一種記憶體裝置,包含: 一第一基材,包含複數個電晶體; 一第一重分佈層,位於該第一基材之上,該第一重分佈層包含電連接至該些電晶體的複數個第一接合墊; 一第二重分佈層,位於該第一重分佈層之上,該第二重分佈層包含與該些第一接合墊物理接觸的複數個第二接合墊;以及 一第二基材,位於該第二重分佈層上,該第二基材包含嵌入該第二基材中的複數個數據存儲元件,每個該些數據存儲元件均具有電耦合到該些第二接合墊中的一個的一第一電極。
  18. 根據請求項17所述的記憶體裝置,其中每個該些數據存儲元件均具有電耦合到該記憶體裝置的一位元線的一第二電極。
  19. 根據請求項18所述的記憶體裝置,其中每個該些電晶體均具有電耦合到該記憶體裝置的一字元線的一閘極結構。
  20. 根據請求項17所述的記憶體裝置,其中該些數據存儲元件包含鐵電穿隧接面。
TW112118948A 2022-05-26 2023-05-22 記憶體裝置及其形成方法 TW202412285A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US63/346,084 2022-05-26
US63/382,243 2022-11-03
US18/181,229 2023-03-09

Publications (1)

Publication Number Publication Date
TW202412285A true TW202412285A (zh) 2024-03-16

Family

ID=

Similar Documents

Publication Publication Date Title
US11923339B2 (en) Integration of three-dimensional NAND memory devices with multiple functional chips
US10840297B2 (en) Storage layer for magnetic memory with high thermal stability
TWI638429B (zh) 半導體結構及形成其之方法
US9972774B2 (en) Magnetic memory with high thermal budget
US9923137B2 (en) Magnetic memory with tunneling magnetoresistance enhanced spacer layer
US11515332B2 (en) Ferroelectric memory device and method of forming the same
US11695073B2 (en) Memory array gate structures
US11949020B2 (en) Transistor, integrated circuit, and manufacturing method
US9343662B2 (en) Magnetic memory device and method of forming thereof
US20240021692A1 (en) Method and related apparatus for integrating electronic memory in an integrated chip
US20230361162A1 (en) Ferroelectric Tunnel Junction Devices with a Sparse Seed Layer and Methods for Forming the Same
US20230309315A1 (en) Three-Dimensional Memory Device and Method
TW202343763A (zh) 形成記憶體元件的方法
US20230387224A1 (en) Self-aligned active regions and passivation layer and methods of making the same
US11950427B2 (en) Ferroelectric memory device and method of forming the same
US20220416085A1 (en) Memory Array Gate Structures
US11737288B2 (en) High-density memory device with planar thin film transistor (TFT) selector and methods for making the same
US20230225134A1 (en) Semiconductor storage device and method of manufacturing semiconductor storage device
TW202412285A (zh) 記憶體裝置及其形成方法
US20230389324A1 (en) Ferroelectric-based memory device and method of forming the same
US20230299042A1 (en) Memory Device and Method of Forming The Same
CN116782662A (zh) 存储器器件及其形成方法
US20240081077A1 (en) Transistor, memory device and manufacturing method of memory device
US20240023463A1 (en) Method for forming a memory device at a backside of a wafer substrate, and memory cell including a memory device at a backside of a wafer substrate
TW202243214A (zh) 記憶體裝置、積體電路及記憶體裝置的製造方法