TW202407484A - 使用機器學習在製造系統處進行運行間控制 - Google Patents

使用機器學習在製造系統處進行運行間控制 Download PDF

Info

Publication number
TW202407484A
TW202407484A TW112115784A TW112115784A TW202407484A TW 202407484 A TW202407484 A TW 202407484A TW 112115784 A TW112115784 A TW 112115784A TW 112115784 A TW112115784 A TW 112115784A TW 202407484 A TW202407484 A TW 202407484A
Authority
TW
Taiwan
Prior art keywords
substrate
data
layer
machine learning
metrology
Prior art date
Application number
TW112115784A
Other languages
English (en)
Inventor
派克尚帕萊 卡夏
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202407484A publication Critical patent/TW202407484A/zh

Links

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/4183Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by data acquisition, e.g. workpiece identification
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/0265Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric the criterion being a learning criterion
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41835Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by programme execution
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41875Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by quality surveillance of production
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • G06F18/21Design or setup of recognition systems or techniques; Extraction of features in feature space; Blind source separation
    • G06F18/213Feature extraction, e.g. by transforming the feature space; Summarisation; Mappings, e.g. subspace methods
    • G06F18/2135Feature extraction, e.g. by transforming the feature space; Summarisation; Mappings, e.g. subspace methods based on approximation criteria, e.g. principal component analysis
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V10/00Arrangements for image or video recognition or understanding
    • G06V10/70Arrangements for image or video recognition or understanding using pattern recognition or machine learning
    • G06V10/77Processing image or video features in feature spaces; using data integration or data reduction, e.g. principal component analysis [PCA] or independent component analysis [ICA] or self-organising maps [SOM]; Blind source separation
    • G06V10/774Generating sets of training patterns; Bootstrap methods, e.g. bagging or boosting
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V10/00Arrangements for image or video recognition or understanding
    • G06V10/70Arrangements for image or video recognition or understanding using pattern recognition or machine learning
    • G06V10/77Processing image or video features in feature spaces; using data integration or data reduction, e.g. principal component analysis [PCA] or independent component analysis [ICA] or self-organising maps [SOM]; Blind source separation
    • G06V10/776Validation; Performance evaluation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V10/00Arrangements for image or video recognition or understanding
    • G06V10/70Arrangements for image or video recognition or understanding using pattern recognition or machine learning
    • G06V10/77Processing image or video features in feature spaces; using data integration or data reduction, e.g. principal component analysis [PCA] or independent component analysis [ICA] or self-organising maps [SOM]; Blind source separation
    • G06V10/778Active pattern-learning, e.g. online learning of image or video features
    • G06V10/7784Active pattern-learning, e.g. online learning of image or video features based on feedback from supervisors
    • G06V10/7792Active pattern-learning, e.g. online learning of image or video features based on feedback from supervisors the supervisor being an automated module, e.g. "intelligent oracle"
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32193Ann, neural base quality management
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32194Quality prediction
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V2201/00Indexing scheme relating to image or video recognition or understanding
    • G06V2201/06Recognition of objects for industrial automation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • Manufacturing & Machinery (AREA)
  • Quality & Reliability (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Artificial Intelligence (AREA)
  • Evolutionary Computation (AREA)
  • Software Systems (AREA)
  • Databases & Information Systems (AREA)
  • Health & Medical Sciences (AREA)
  • Medical Informatics (AREA)
  • Computing Systems (AREA)
  • Multimedia (AREA)
  • General Health & Medical Sciences (AREA)
  • Data Mining & Analysis (AREA)
  • Bioinformatics & Computational Biology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Bioinformatics & Cheminformatics (AREA)
  • Evolutionary Biology (AREA)
  • General Factory Administration (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

識別了與針對基板的第一層執行的第一製程相關聯的第一資料。將根據第二製程進一步處理第一層。將第一資料作為輸入提供到機器學習模型,該機器學習模型經訓練為預測在製造系統處針對基板的層的計量量測值。決定了在完成第一製程及/或第二製程之後針對第一層的計量量測值的第一集合偏離目標值的漂移量。鑒於所決定的漂移量及與先前在製造系統處處理的第二基板層相關聯的第二資料來決定對第二製程的配方的修改。基於所決定的一或多個修改來更新第二製程。

Description

使用機器學習在製造系統處進行運行間控制
本揭示的實施例大體係關於製造系統並且更特定地,係關於使用機器學習在製造系統處進行運行間控制。
隨著電子元件的大小持續收縮,基板處理複雜性亦持續增加。用於製造基板的一些技術可以涉及多個不同製程,其中一些進階技術(例如,電漿蝕刻)涉及二十或甚至更多的不同製程。基板製程的多個製程控制變數可以在完成基板製程之後影響相應基板的特性。運行間(run-to-run; R2R)製程控制指在運行之間修改製程配方以便最小化基板的漂移、偏移、及/或變異性的技術。製程控制系統可以藉由修改或調諧與相關聯於製程配方的一或多個製程控制變數相關聯的設置來修改製程配方(例如,用於最佳化製程、導致相應基板的特性對應於目標特性等)。對於製程控制系統而言,決定修改哪些製程控制變數設置及/或修改製程控制變數設置需要多少以便最佳化相應製程及/或導致基板特性對應於目標特性可為困難的。
所描述的一些實施例涵蓋一種用於使用機器學習在製造系統處進行運行間(R2R)控制的方法。方法包括識別與在製造系統處針對基板的第一層執行的第一製程相關聯的第一資料。將在製造系統處根據第二製程進一步處理基板的第一層。方法進一步包括將第一資料作為輸入提供到機器學習模型。機器學習模型經訓練為預測針對在製造系統處處理的基板的一或多個層的計量量測值、及所預測的計量量測值偏離目標計量量測值的漂移。方法進一步包括基於機器學習模型的一或多個輸出,決定在完成第一製程或第二製程中的至少一者之後針對基板的第一層的計量量測值的第一集合偏離計量量測值的目標集合的漂移量。方法進一步包括鑒於所決定的漂移量及與基板的第二層相關聯的第二資料來決定對第二製程的製程配方的一或多個修改。先前根據第三製程在製造系統處處理基板的第二層。方法進一步包括基於所決定的一或多個修改來更新第二製程的製程配方。
在一些實施例中,一種系統包括記憶體及耦合到記憶體的處理裝置。處理裝置用於識別與在製造系統處針對基板的第一層執行的第一製程相關聯的第一資料。將在製造系統處根據第二製程進一步處理基板的第一層。處理裝置進一步用於將第一資料作為輸入提供到機器學習模型。訓練機器學習模型以預測針對在製造系統處處理的基板的一或多個層的計量量測值、及所預測的計量量測值偏離目標計量量測值的漂移。處理裝置進一步用於基於機器學習模型的一或多個輸出,決定在完成第一製程或第二製程中的至少一者之後針對基板的第一層的計量量測值的第一集合偏離計量量測值的目標集合的漂移量。處理裝置進一步用於鑒於所決定的漂移量及與基板的第二層相關聯的第二資料來決定對第二製程的製程配方的一或多個修改。先前根據第三製程在製造系統處處理基板的第二層。處理裝置進一步用於基於所決定的一或多個修改來更新第二製程的製程配方。
在一些實施例中,一種非暫時性電腦可讀取儲存媒體包括指令,當藉由處理裝置執行時,該等指令導致處理裝置識別與在製造系統處針對基板的第一層執行的第一製程相關聯的第一資料。將在製造系統處根據第二製程進一步處理基板的第一層。處理裝置進一步用於將第一資料作為輸入提供到機器學習模型。訓練機器學習模型以預測針對在製造系統處處理的基板的一或多個層的計量量測值、及所預測的計量量測值偏離目標計量量測值的漂移。處理裝置進一步用於基於機器學習模型的一或多個輸出,決定在完成第一製程或第二製程中的至少一者之後針對基板的第一層的計量量測值的第一集合偏離計量量測值的目標集合的漂移量。處理裝置進一步用於鑒於所決定的漂移量及與基板的第二層相關聯的第二資料來決定對第二製程的製程配方的一或多個修改。先前根據第三製程在製造系統處處理基板的第二層。處理裝置進一步用於基於所決定的一或多個修改來更新第二製程的製程配方。
本文描述的實施方式提供了用於使用機器學習在製造系統處進行運行間(R2R)控制的系統及方法。在一些情況中,在最終產品中可以包括基板之前,基板可以經歷大量製程。例如,微影技術涉及將多層材料沉積到基板表面上並且使用所沉積層形成高度複雜圖案(例如,藉由蝕刻掉一或多個所沉積層的部分)。在基板上形成複雜圖案並且在最終產品中可以包括基板之前,基板可以經歷多個基板製程(例如,用於將每個相應層沉積到基板上的製程、用於從基板表面蝕刻掉一或多個層的部分的製程等)。隨著電子元件的大小持續收縮,在基板上形成的圖案的複雜性增加,此增加總基板處理複雜性。例如,針對一些積體電路,在基板表面上形成目標圖案之前,基板可以經歷多達50次或更多的微影循環(例如,在一或多個沉積製程前及/或後有一或多個蝕刻製程等)。
製造系統可以包括製程控制子系統(本文亦稱為製程控制系統或簡單地製程控制器),該等製程控制子系統經配置為調諧及/或修改針對基板(或基板的批次或批)執行的製程的設置以便最佳化相應製程及/或導致基板(或基板批次)的特性對應於目標特性。運行間(R2R)製程控制指調諧或修改基板製程的製程配方的設置以最小化基板的漂移、偏移、及/或變異性的技術。在一些情況中,在完成初始基板製程之後的基板特性可以影響在完成後續基板製程之後的基板特性。例如,可以執行多個沉積製程以在基板表面上沉積材料層(例如,根據上文描述的微影循環)。在基板表面上沉積的初始層的特性可以影響在初始層上沉積的後續層的特性。此外,在初始層上沉積一或多個後續層之前,可以對初始層執行一或多個製程以為後續層製程做好準備。例如,可以對初始層執行化學機械拋光製程以使得初始層為沉積後續層做好準備。此種製程在本文中稱為上游製程。
如上文指示,製程控制子系統可以調諧及/或修改基板製程的設置。由於針對基板執行的每個製程可以影響基板的特性,製程控制子系統很難識別應當調諧或修改哪些設置及/或應當如何調諧或修改每個設置。在一些系統中,可以在完成製程之後收集基板的計量資料,並且製程控制子系統可以決定修改哪些設置及/或如何基於收集的計量資料調諧或修改針對基板執行的後續製程的設置。然而,針對每個基板或甚至批次中的基板的一部分收集計量資料可以花費大量時間,此可以降低總處理量並且增加製造系統的總時延。此外,習知的製程控制子系統不考慮基板的特性如何藉由針對基板執行的上游製程影響,該等上游製程準備基板用於後續基板製程(例如,後續沉積製程、後續蝕刻製程等)。習知的製程控制系統不偵測藉由上游製程導致的基板特性的變異性及/或缺陷,直到執行基板製程之後並且針對基板收集計量資料。由此,習知的製程控制系統不調諧或修改基板製程的設置,以便在起始基板製程之前抵消藉由上游製程導致的基板特性的變化及/或缺陷,並且在完成基板製程之後變化或缺陷可以在基板上呈現。在一些情況中,此種系統可以執行額外處理操作以校正此種變化或缺陷。在其他情況中,無法校正此種變化或缺陷,並且基板由此不可用。藉由額外處理操作校正變化或缺陷及/或從製造系統中移除不可用基板會降低總處理量及效率並且增加製造系統的總時延。
本揭示的態樣藉由提供用於使用機器學習在製造系統處進行運行間(R2R)控制的系統及方法來解決習知技術的缺陷。在一些實施例中,基板(或基板的批次或批)可以在製造系統處經歷多個基板製程(例如,根據微影技術)。在一些實施例中,每個製程可以對應於基板的相應層。僅出於解釋及說明的目的,將針對基板的層執行的基板製程(例如,用於沉積一或多個層、蝕刻掉一或多個層的部分等)在本文中稱為當前層製程。此種層在本文中稱為當前層。先前針對基板的層執行的基板製程(例如,在執行當前層製程之前)在本文中稱為先前層製程。此種層在本文中稱為先前層或初始層。經執行以將基板準備好進行當前層製程的製程在本文中稱為上游製程。在一些實施例中,上游製程的實例可以包括拋光製程(例如,化學機械拋光製程等)、粗糙化製程、蝕刻製程、沉積製程等等。
在一些實施例中,製程控制系統可以使用機器學習技術來決定對將針對基板執行的當前層製程的一或多個修改。例如,在完成上游製程之後(例如,並且在起始當前層製程之前),製程控制系統可以識別與上游製程相關聯的資料。在一些實施例中,上游製程資料可以包括與一或多個操作相關聯的資料及/或與上游製程的製程配方相關聯的設置、在執行上游製程之前、期間、或之後藉由製造系統的一或多個感測器收集的資料等等。製程控制系統可以將上游製程資料作為輸入提供到機器學習模型,該機器學習模型經訓練為預測針對根據上游製程處理的基板的一或多個層的計量量測值及所預測的計量量測值偏離在上游製程之後針對基板的目標計量量測值的漂移量。在一些實施例中,機器學習模型可為、或可以其他方式對應於可變遞歸自動編碼器模型。回應於將上游製程資料作為輸入提供到機器學習模型,製程控制系統可以獲得機器學習模型的一或多個輸出並且可以基於獲得的輸出決定基板的計量量測值偏離目標計量量測值的漂移量。在一些實施例中,所決定的漂移量可以對應於將藉由製程控制系統應用以調諧或修改與當前層製程的製程配方相關聯的設置的第一校正因素。
在一些實施例中,可以在執行針對基板的先前層製程之後收集(例如,使用計量設備)計量資料。製程控制系統可以藉由將所收集的計量資料與目標計量量測值進行比較來決定所收集的計量資料偏離在先前層製程之後的基板的目標計量量測值的漂移量。在一些實施例中,所決定的漂移量可以對應於將藉由製程控制系統應用以調諧或修改與當前層製程的製程配方相關聯的設置的第二校正因素。在額外或替代實施例中,可以在製造系統處針對其他基板執行當前層製程的製程配方之後收集(例如,使用計量設備)額外計量資料。如上文描述,製程控制系統可以決定額外計量資料偏離在當前層製程之後的基板的目標計量量測值的漂移量。如上文描述,所決定的漂移量可以對應於將藉由製程控制系統應用以調諧或修改與當前層製程的製程配方相關聯的設置的第三校正因素。
在一些實施例中,製程控制系統可以鑒於第一校正因素、第二校正因素、及/或第三校正因素決定對當前層製程的製程配方的一或多個修改,並且可以將一或多個修改應用於製程配方。在根據當前層製程配方處理基板之後,製程控制系統可以識別針對基板收集的計量資料並且可以使用所識別的計量資料來進一步調諧或修改與針對在製造系統處處理的未來基板的當前層製程配方相關聯的設置。在本文中提供了關於決定對當前層製程配方的一或多個修改及執行當前層製程的進一步細節。
本揭示的實施例提供了用於最佳化基板製程及/或使用機器學習控制在基板製程之後的基板的特性的技術。本揭示的實施例利用機器學習技術來決定計量量測值偏離在上游製程之後的目標計量量測值的漂移量,而不從製造系統中移除基板以獲得此種量測值。由此,增加製造系統的總處理量並且降低製造系統的總時延。另外,本揭示的實施例使得製程控制系統能夠在決定是否調諧或修改當前層製程的設置及/或如何調諧或修改當前層製程的設置時考慮藉由上游製程導致的基板的變化。由此,可以偵測及較早並且在較少數量的基板製程之後校正基板特性的變化及/或缺陷,此進一步增加製造系統的總處理量及效率並且進一步降低製造系統的總時延。
第1圖描繪了根據本揭示的態樣的說明性系統架構100。在一些實施例中,系統架構100可以作為用於處理基板的製造系統的部分包括在內。系統架構100可包括一或多個客戶端裝置120、製造設備124、計量設備128、預測伺服器112(例如,用於產生預測資料、提供模型適應、使用知識庫等)、計算系統150、及資料儲存器140。預測伺服器112可為預測系統110的部分。預測系統110可以進一步包括伺服器機器170及180。製造設備124可以包括經配置為擷取正在製造系統處處理的基板的資料的感測器。在一些實施例中,製造設備124及感測器可為感測器系統的一部分,該感測器系統包括感測器伺服器(例如,製造設施處的現場服務伺服器(field service server; FSS))及感測器識別符讀取器(例如,感測器系統的前開式晶圓傳送盒(front opening unified pod; FOUP)射頻識別(radio frequency identification; RFID)讀取器)。在一些實施例中,計量設備128可為計量系統的一部分,該計量系統包括計量伺服器(例如,計量資料庫、計量資料夾等)及計量識別符讀取器(例如,計量系統的FOUP RFID讀取器)。應當注意,儘管計量設備128及製造設備124在第1圖中描繪為分離的部件,但計量設備128可以作為製造設備124的部分包括在內。例如,製造設備124可以包括處理工具。計量設備128的一或多個部件可以整合在處理工具的一或多個部件或站內。例如,計量設備128的一或多個部件可以整合在工廠介面、裝載閘、傳遞腔室、處理腔室、及/或製造設備124的處理工具的一或多個額外站內。
製造設備124可在一段時間內根據配方及/或執行運行來產生產品。製造設備124可以包括經配置為在基板製程期間產生基板的資料(稱為感測器資料)的一或多個感測器。感測器資料可包括下列中的一或多個的值:溫度(例如,加熱器溫度)、間隔(spacing; SP)、壓力、高頻射頻(high frequency radio frequency; HFRF)、靜電夾盤(electrostatic chuck; ESC)的電壓、電流、流量、電力、電壓等。感測器資料可與製造參數相關聯或指示製造參數,諸如硬體參數,諸如製造設備124的設置或分量(例如,大小、類型等)、或製造設備124的製程參數。可以在製造設備124執行製造製程時提供感測器資料(例如,當處理產品時的設備讀數)。感測器資料可以針對每個基板不同。
計量設備128提供與藉由製造設備124處理的基板(例如,晶圓等)相關聯的計量資料。計量資料可以包括下列中的一或多個的值:膜性質資料(例如,晶圓空間膜性質)、尺寸(例如,厚度、高度等)、介電常數、摻雜劑濃度、密度、缺陷等。在一些實施例中,計量資料可以進一步包括下列的值:一或多個表面輪廓性質資料(例如,蝕刻速率、蝕刻速率均勻性、在基板表面上包括的一或多個特徵的關鍵尺寸、跨基板表面的關鍵尺寸均勻性、邊緣放置誤差等)。計量資料可為完成或半完成產品的計量資料。計量資料可以針對每個基板不同。在一些實施例中,計量設備128可以收集針對製造設備124處處理的每個基板的計量資料。在其他或類似實施例中,計量設備128可以收集針對製造設備124處處理的基板的一部分的計量資料。例如,可以在製造設備124處處理一批基板。計量設備128可以收集針對批次中的基板的一部分(例如,批次中的基板的15%、批次中的基板的20%等)的計量資料。在一些實施例中,系統架構100的系統(例如,計算系統150、預測系統110)可以關聯針對批次中的基板的部分收集的計量資料,作為針對批次中的每個基板的計量資料的代表。
客戶端裝置120包括計算裝置,諸如個人電腦(personal computer; PC)、膝上型電腦、行動電話、智慧電話、平板電腦、筆記本電腦、網路連接電視(「智慧TV」)、網路連接媒體播放機(例如,藍光播放機)、機上盒、雲上(over-the-top; OTT)串流裝置、操作人員盒等。在一些實施例中,計量資料可從客戶端裝置120接收。在一些實施例中,客戶端裝置120顯示圖形使用者介面(graphical  user  interface; GUI),其中GUI使得使用者能夠提供針對在製造系統處處理的基板的計量量測值作為輸入。在其他或類似實施例中,客戶端裝置120可以顯示另一GUI,該GUI使得使用者能夠提供將在製造系統處處理的基板類型、將針對基板執行的製程類型、及/或在製造系統處的設備類型的指示作為輸入。
資料儲存器140可為記憶體(例如,隨機存取記憶體)、驅動器(例如,硬碟、隨身碟)、資料庫系統、或能夠儲存資料的另一類型的部件或裝置。資料儲存器140可以包括可以跨越多個計算裝置(例如,多個伺服器電腦)的多個儲存部件(例如,多個驅動器或多個資料庫)。在一些實施例中,資料儲存器140可以儲存與針對製造設備124處的一或多個基板執行或將執行的製程相關聯的資料(在本文中稱為製程資料)。製程資料可以包括對與製程相關聯的製程配方及/或針對製程配方的一或多個操作的設置的指示。製程配方指與在製造設備124處執行的製程相關聯的操作及/或指令的集合或系列。例如,沉積製程配方可以包括與針對在製造設備124的處理腔室處的基板執行沉積製程相關聯的操作及/或指令的集合或系列。在一些實施例中,製程配方可以包括與將基板運輸到及/或運輸出製造設備124的特定站相關聯的操作及/或指令的集合。例如,沉積製程配方亦可以包括與在起始沉積製程之前將基板運輸到處理腔室中(例如,從傳遞腔室經由傳遞腔室機器人等)及/或在完成沉積製程之後將基板運輸出處理腔室(例如,經由傳遞腔室機器人到傳遞腔室中等)相關聯的操作的集合及/或系列。在一些實施例中,製程資料可以指歷史製程資料(例如,與使用製造設備124執行的先前製程相關聯的製程資料)及/或當前製程資料(例如,與使用製造設備124執行或將執行的當前製程相關聯的製程資料)。
在額外或替代實施例中,資料儲存器140可以儲存在執行基板製程之前、期間、及/或之後藉由製造設備124處或耦合到製造設備124導電感測器針對基板收集的資料(在本文中稱為感測器資料)。例如,處理腔室可以包括一或多個感測器(例如,溫度感測器、光譜感測器等),該等感測器經配置為收集在基板製程之前、期間、或之後針對基板及/或處理腔室內的環境的資料。與系統架構100相關聯的計算系統(例如,預測系統110、計算系統150、用於製造設備124的系統控制器等)可以接收在基板製程之前、期間或之後收集的感測器資料並且可以在資料儲存器140處儲存感測器資料。在一些實施例中,感測器資料可以指歷史感測器資料(例如,針對根據先前基板製程處理的先前基板收集的感測器資料)及/或當前感測器資料(例如,針對根據當前基板製程正處理、或將處理的當前基板收集的感測器資料)。
在一些實施例中,資料儲存器140可以儲存資料的額外類型。例如,資料儲存器可以儲存與使用製造設備124處理的基板相關聯的計量資料。計量資料可以包括歷史計量資料(例如,針對使用製造設備124處理的先前基板產生的計量量測值)及/或當前計量資料(例如,針對使用製造設備124處理的當前基板產生的計量量測值)。資料儲存器140亦可以儲存與製造系統處的一或多個基板(例如,先前基板、當前基板等)相關聯的上下文資料。上下文資料可以包括製程配方的識別符、基板(及/或基板批次)的識別符、預防維護指示符、操作人員的識別符等等。
在一些實施例中,資料儲存器140可以經配置為儲存製造系統的使用者(例如,操作人員、工程師等)不可存取的資料。例如,針對基板獲得的製程資料、感測器資料、計量資料、及/或上下文資料可能不可由製造系統的使用者存取。在一些實施例中,在資料儲存器140處儲存的所有資料不可由製造系統的使用者(例如,操作人員)存取。在其他或類似實施例中,在資料儲存器140處儲存的資料的一部分不可由使用者存取,而在資料儲存器140處儲存的資料的另一部分可由使用者存取。在一些實施例中,在資料儲存器140處儲存的資料的一或多個部分使用使用者未知的加密機制來加密(例如,資料使用私有加密密鑰來加密)。在其他或類似實施例中,資料儲存器140包括多個資料儲存器,其中不可由使用者存取的資料在一或多個第一資料儲存器中儲存並且可由使用者存取的資料儲存在一或多個第二資料儲存器中。
計算系統150可以包括運行間(R2R)控制引擎152及/或預測部件114。R2R控制引擎152可以經配置為調諧及/或修改針對使用製造設備124執行(或將執行)的製程的製程配方設置,以便最佳化製程及/或導致基板的特性(例如,在完成製程之後)對應於目標特性等。在一些實施例中,鑒於與針對基板執行的先前製程相關聯的資料及/或與針對基板執行的上游製程相關聯的資料,R2R控制引擎152可以調諧及/或修改與將在製造設備124處針對基板執行的當前製程相關聯的製程配方的設置。在一些實施例中,使用製造設備124執行的一或多個製程可以對應於微影技術以在基板表面上形成一或多個複雜圖案。每個製程可以對應於基板的相應層。將針對基板的層執行的基板製程(例如,用於沉積一或多個層、蝕刻掉一或多個層的部分等)在本文中稱為當前層製程。如上文指示,此種層在本文中稱為當前層。先前針對基板的層執行的基板製程(例如,在執行當前層製程之前)在本文中稱為先前層製程。如上文指示,此種層在本文中稱為先前層或初始層。執行以使得基板準備好進行當前層製程的製程在本文中稱為上游製程(例如,拋光製程、粗糙化製程、蝕刻製程等)。在一些實施例中,上游製程可以針對先前層執行(例如,用於準備在先前層上沉積當前層等)。
在一些實施例中,R2R控制引擎152可以決定與將調諧的當前層製程相關聯的製程配方的一或多個設置及/或將基於與基板的先前層相關聯的資料及/或與針對基板的當前層執行的上游製程相關聯的資料調諧相應製程配方多少量。在一些實施例中,R2R控制引擎152可以獲得與基板(或基板批次)的先前層相關聯的計量資料(例如,來自資料儲存器140)並且可以決定所獲得的計量資料偏離與基板相關聯的目標計量資料的漂移量。在一些實施例中,R2R控制引擎152可以決定將用於基於所決定的漂移量來調諧當前層製程配方設置的第一校正因素。在本文中提供了關於決定與先前層計量相關聯的漂移量及決定與先前層相關聯的校正因素的進一步細節。
在額外或替代實施例中,R2R控制引擎152可以決定將用於基於與針對基板的當前層執行的上游製程相關聯的資料來調諧當前層製程配方設置的第二校正因素。例如,R2R控制引擎152可以獲得與針對基板的當前層執行的上游製程相關聯的製程資料及/或感測器資料並且可以將所獲得的製程資料及/或感測器資料提供到預測部件114。如下文描述,預測部件114可以將製程資料及/或感測器資料作為輸入提供到經訓練的機器學習模型190。在一些實施例中,機器學習模型190可以經訓練為基於給定的製程資料及感測器資料,預測與基板相關聯的計量量測值及計量量測值偏離目標計量量測值的漂移量。在本文中提供了關於經訓練的機器學習模型190的進一步細節。預測部件114可以將經訓練的機器學習模型190的一或多個輸出提供到R2R控制引擎152。根據本文描述的實施例,R2R控制引擎152可以基於經訓練的機器學習模型190的一或多個輸出來決定第二校正因素。
在一些實施例中,R2R控制引擎152可以決定將用於基於與根據當前層配方處理的先前基板相關聯的資料來調諧當前層製程配方設置的第三校正因素。例如,R2R控制引擎152可以獲得針對根據當前層配方處理的先前基板收集的計量資料並且可以決定所獲得的計量資料偏離在完成當前層製程之後與基板相關聯的目標計量資料的漂移量。在一些實施例中,第三校正因素可以對應於所決定的漂移量。在下文進一步詳細地提供了關於決定第三校正因素的進一步細節。
在一些實施例中,R2R控制引擎152可以鑒於第一校正因素、第二校正因素及/或第三校正因素來決定將調諧當前層製程的哪些設置。根據本文描述的實施例,R2R控制引擎152可以額外或替代地鑒於第一校正因素、第二校正因素、及/或第三校正因素來決定調諧當前層製程的設置多少量。在一些實施例中,R2R控制引擎152可以基於所決定的對製程配方設置的修改或調諧來修改與當前層製程相關聯的製程配方。在一些實施例中,R2R控制引擎152可以將經修改的製程配方的指示提供到與製造設備124相關聯的系統控制器。根據本揭示的實施例,系統控制器可以基於經修改的製程配方執行當前層製程。在本文中提供了關於R2R控制引擎152及修改當前層製程配方的進一步細節。
在一些實施例中,預測系統110包括伺服器機器170及伺服器機器180。伺服器機器170包括訓練集合產生器172,該訓練集合產生器能夠產生訓練資料集合(例如,資料輸入集合及目標輸出集合)以訓練、驗證、及/或測試機器學習模型190。如上文描述,機器學習模型190可以經訓練為基於與針對基板的當前層執行的上游製程相關聯的給定的製程資料及/或感測器資料,預測與基板相關聯的計量資料,並且在一些實施例中,預測計量資料偏離與基板相關聯的目標計量資料的漂移量。訓練集合產生器172的一些操作在下文關於第5圖詳細描述。在一些實施例中,訓練集合產生器172可以將訓練資料劃分為訓練集合、驗證集合、及測試集合。在一些實施例中,預測系統110產生訓練資料的多個集合。
伺服器機器180包括訓練引擎182、驗證引擎184、選擇引擎186、及/或測試引擎188。引擎可以指硬體(例如,電路系統、專用邏輯、可程式設計邏輯、微代碼、處理裝置等)、軟體(諸如在處理裝置、通用電腦系統、或專用機器上運行的指令)、韌體、微代碼、或其組合。訓練引擎182可以能夠訓練機器學習模型190。機器學習模型190可以指由訓練引擎182使用包括訓練輸入及對應目標輸出(相應訓練輸入的正確答案)的訓練集合資料創建的模型偽影。訓練引擎182可以發現訓練資料中的圖案,該等圖案將訓練輸入映射到目標輸出(預測的答案),並且提供擷取此等圖案的機器學習模型190。在一些實施例中,機器學習模型190使用下列中的一或多個:支援向量機(support vector machine; SVM)、徑向基函數(Radial Basis Function; RBF)、群集、受監督的機器學習、半監督的機器學習、無監督的機器學習、K-最近相鄰演算法(k-nearest  neighbor  algorithm; k-NN)、線性迴歸、隨機森林、神經網路(例如,人工神經網路)、群集技術(例如,分層群集技術)、關聯技術(例如,先驗技術)、分類技術(例如,決策樹、隨機森林技術等)、可變遞歸自動編碼器等。
驗證引擎184可以能夠使用來自訓練集合產生器172的驗證集合的特徵的對應集合來驗證經訓練的機器學習模型190。驗證引擎184可以基於驗證集合的特徵的對應集合來決定經訓練的機器學習模型190的每一者的準確性。驗證引擎184可以丟棄具有不滿足閾值準確性的準確性的經訓練的機器學習模型190。在一些實施例中,選擇引擎185可以能夠選擇具有滿足閾值準確性的準確性的經訓練的機器學習模型190。在一些實施例中,選擇引擎185可以能夠選擇具有經訓練的機器學習模型190的最高準確性的經訓練的機器學習模型190。
測試引擎188可以能夠使用來自訓練集合產生器172的測試集合的特徵的對應集合來測試經訓練的機器學習模型190。例如,使用訓練集合的特徵的第一集合訓練的第一經訓練的機器學習模型190可以使用測試集合的特徵的第一集合測試。測試引擎188可以基於測試集合來決定經訓練的機器學習模型190具有所有經訓練的機器學習模型的最高準確性。
預測伺服器112包括預測部件114,該預測部件能夠將與針對基板的當前層執行的上游製程相關聯的製程資料及/或感測器資料作為輸入提供到經訓練的機器學習模型190並且對輸入運行經訓練的機器學習模型190以獲得一或多個輸出。如下文關於第3圖詳細描述,在一些實施例中,預測部件114亦能夠從經訓練的機器學習模型190的一或多個輸出提取計量資料,並且使用提取的計量資料來決定與在上游製程之後的基板的當前層相關聯的一或多個計量量測值。在一些實施例中,預測部件114亦可以決定一或多個計量量測值偏離目標計量量測值的漂移量。在一些實施例中,預測部件114可以將所決定的計量量測值及/或所決定的漂移量提供到R2R控制引擎152。在額外或替代實施例中,預測部件114可以將經訓練的機器學習模型190的一或多個輸出提供到R2R控制引擎152。在一些實施例中,R2R控制引擎152可以決定在上游製程之後與基板的當前層相關聯的一或多個計量量測值及/或一或多個計量量測值偏離目標計量量測值的漂移量。根據本文描述的實施例,R2R控制引擎152可以基於漂移量來決定第二校正因素。
客戶端裝置120、製造設備124、計量設備128、預測伺服器112、資料儲存器140、計算系統150、伺服器機器170、及伺服器機器180可以經由網路130彼此耦合。在一些實施例中,網路130係公眾網路,該公眾網路為客戶端裝置120提供對計算系統150、預測伺服器112、資料儲存器140、及其他公眾可用的計算裝置的存取。在一些實施例中,網路130係私有網路,該私有網路為客戶端裝置120提供對製造設備124、計量設備128、資料儲存器140、及其他私有可用的計算裝置的存取。網路130可以包括一或多個廣域網路(wide area network; WAN)、區域網路(local area network; LAN)、有線網路(例如,乙太網路)、無線網路(例如,802.11網路或Wi-Fi網路)、蜂巢網路(例如,長期進化(Long Term Evolution; LTE)網路)、路由器、集線器、交換機、伺服器電腦、雲端計算網路、及/或其組合。
應當注意,在一些其他實施方式中,伺服器機器170及180、以及預測伺服器112的功能可藉由較少數量的機器提供。例如,在一些實施例中,伺服器機器170及180可整合到單個機器中,而在一些其他或類似實施例中,伺服器機器170及180,以及預測伺服器112可整合到單個機器中。在其他或類似實施例中,伺服器機器170及180、預測伺服器112、及/或計算系統150可以整合到單個機器或一或多個機器中。
大體上,在一個實施方式中如由計算系統150、伺服器機器170、伺服器機器180、及/或預測伺服器112執行的所描述功能亦可以在客戶端裝置120上執行。此外,歸因於特定部件的功能性可以藉由一起操作的不同或多個部件執行。
在實施例中,「使用者」可以表示為單個個體。然而,本揭示的其他實施例涵蓋「使用者」係藉由複數個使用者及/或自動化源控制的實體。例如,作為一組管理員聯合的獨立使用者集合可被認為係「使用者」。
第2圖係根據本揭示的態樣的示例運行間(R2R)控制引擎152的方塊圖。如第2圖中示出,R2R控制引擎152可以包括先前層控制部件210、上游製程部件212、當前層前饋控制部件214及/或當前層反饋控制部件216。在一些實施例中,R2R控制引擎152可以連接到記憶體250(例如,經由關於第1圖描述的網路130、經由匯流排等)。在一些實施例中,記憶體250可以對應於資料儲存器140的一或多個部分。
如上文描述,先前層控制部件210可以經配置為決定將用於調諧及/或修改與當前層製程配方相關聯的設置的第一校正因素(例如,先前層校正因素260)。如上文描述,在一些實施例中,計量設備128可以產生與使用製造設備124處理的基板的先前層相關聯的計量資料。例如,在製造設備124處完成先前層基板製程之後,基板可以傳遞到計量設備128並且可以產生計量資料且在記憶體250處儲存為先前層計量資料252。應當注意,在一些實施例中,計量資料可能不針對使用製造設備124處理的每個基板產生。例如,兩個或多個基板的批次可以使用製造設備124處理。如上文描述,計量資料252可以針對批次中包括的基板的一部分產生。在一些實施例中,針對批次中包括的基板的部分產生的計量資料252可以與批次中的每個基板相關聯。在額外或替代實施例中,可以針對使用製造設備124處理的每個基板產生計量資料252。根據本文描述的實施例,先前層控制部件210可以獲得先前層計量資料252並且可以決定先前層校正因素260。
在一些實施例中,上游製程部件212可以經配置為決定將用於調諧及/或修改當前層製程配方設置的第二校正因素(例如,上游製程校正因素262)。在一些實施例中,如上文描述,上游製程部件212可以從記憶體250獲得與針對基板的當前層執行的上游製程相關聯的製程資料(例如,上游製程資料254)及/或與上游製程相關聯的感測器資料(例如,上游製程感測器資料256)並且可以將上游製程資料254及/或上游製程感測器資料256作為輸入提供到機器學習模型190。在其他或類似實施例中,如上文描述,上游製程部件212可以將上游製程資料254及/或上游製程感測器資料256提供到預測部件114並且預測部件114可以將資料254及/或資料356作為輸入提供到機器學習模型190。如上文描述,上游製程部件212(及/或預測部件114)可以基於機器學習模型190的一或多個輸出來決定與基板的當前層相關聯的計量資料,並且根據本文描述的實施例,可以基於所決定的計量資料及/或所決定的計量資料偏離目標計量資料的漂移來決定上游製程校正因素262。
當前層前饋控制部件214可以經配置為決定對與將針對基板執行的當前層製程相關聯的製程配方的一或多個修改。在一些實施例中,當前層前饋控制部件214可以基於先前層校正因素260、上游製程校正因素262、及/或當前層校正因素264來決定一或多個修改,並且可以將所決定的一或多個修改作為製程配方修改資料266儲存在記憶體250處。在本文中提供了關於決定對製程配方的一或多個修改的進一步細節。
在一些實施例中,當前層反饋控制部件216可以經配置為決定將用於調諧及/或修改當前製程配方設置的第三校正因素(例如,當前層校正因素264)。在一些實施例中,如上文描述,先前基板可以根據當前層製程配方處理。計量設備128可以產生在完成當前層製程之後與先前基板相關聯的計量資料,該計量資料可以作為當前層計量資料258儲存在記憶體250處。根據本文描述的實施例,當前層反饋控制部件216可以鑒於當前層計量資料258來決定當前層校正因素264。
第3圖係根據本揭示的態樣的用於使用機器學習在製造系統處進行R2R控制的方法300的流程圖。方法300藉由處理邏輯執行,該處理邏輯可以包括硬體(電路系統、專用邏輯等)、軟體(諸如在通用電腦系統或專用機器上運行)、韌體、或其一些組合。在一個實施方式中,方法300可以藉由系統架構(諸如第1圖的系統架構100)的一或多個部件執行。在其他或類似實施方式中,方法300的一或多個操作可以藉由未在圖式中描繪的一或多個其他機器執行。在一些態樣中,方法300的一或多個操作可以藉由計算系統150的R2R控制引擎152執行。在其他或類似態樣中,方法300的一或多個操作可以藉由系統控制器228執行。在又一些或類似態樣中,方法300的一或多個操作可以藉由預測部件114執行。
為了簡單解釋,將方法描繪且描述為一系列動作。然而,根據本揭示的動作可以任何順序及/或同時發生,並且其他動作在本文中不呈現及描述。此外,實施根據所揭示的標的的方法可不執行所有示出的動作。此外,熟習此項技術者將理解及瞭解,方法可以替代地經由狀態圖或事件表示為一系列相互關聯的狀態。此外,應當瞭解,本說明書中揭示的方法能夠儲存在製品上,以便於將此種方法傳輸及傳遞到計算裝置。如本文使用,術語製品意欲涵蓋可從任何電腦可讀取裝置或儲存媒體存取的電腦程式。
於方塊310,處理邏輯識別與在製造系統處針對基板的第一層執行的第一製程相關聯的第一資料。在一些實施例中,第一製程可以對應於使用製造設備124對基板的當前層執行的上游製程。如上文描述,可以執行上游製程以使得基板為當前層製程的製程做好準備。在一些實施例中,上游製程可以包括拋光製程、粗糙化製程、蝕刻製程等等。在一個實例中,上游製程可以包括化學機械拋光製程以使得基板的先前層為當前層沉積到先前層上做好準備。
第4圖描繪了根據本揭示的態樣的使用機器學習在製造系統處進行R2R控制的實例。如第4圖中示出,可以使用製造設備124在製造系統處對基板(或基板批次)執行一或多個先前層製程410。如亦在第4圖中示出,可以使用製造設備124(或製造系統的其他設備)對基板(或基板批次)執行(例如,在完成一或多個先前層製程410之後)一或多個上游製程412。處理邏輯(例如,R2R控制引擎152的上游製程部件212)可以獲得與上游製程412相關聯的資料。在一些實施例中,如上文描述,上游製程部件212可以從記憶體250及/或資料儲存器140獲得上游製程資料。在其他或類似實施例中,與製造設備124相關聯的處理部件(例如,系統控制器450)可以獲得第一資料(例如,從記憶體250及/或資料儲存器140獲得)並且可以將第一資料發送到上游製程部件212(例如,經由網路130、經由匯流排等)。
上游製程資料可以包括與針對基板執行的上游製程412相關聯的配方資料254及/或在執行上游製程412之前、期間、及/或之後藉由在製造系統處或耦合到製造系統的感測器收集的感測器資料256。根據先前實例,化學機械拋光製程的配方資料254可以包括在製程期間執行的一或多個操作的指示、用於化學機械拋光製程的墊的特性(例如,墊材料、墊直徑、墊硬度、墊可壓縮性等)的指示、在化學機械拋光製程期間墊的旋轉速度及/或旋轉角度的指示、用於執行化學機械拋光製程的一或多種材料及/或此種材料的濃度的指示、與化學機械拋光製程相關聯的向下力、在化學機械拋光製程中涉及的一或多個移動部件的速度、相對速度、及/或其他運動學特性、基板-墊界面特性等等。感測器資料256可以包括在執行化學機械拋光製程之前、期間、及/或之後藉由感測器收集的資料。
在一些實施例中,藉由上游製程部件212識別或以其他方式獲得的上游製程資料的量可以非常大及/或可以包括不對應於基板的當前層的特定特徵的資料。由此,在一些實施例中,上游製程部件212可以分析上游製程資料以識別對應於基板的當前層的特定特徵的上游製程資料的一部分並且提取所識別的資料作為第一資料。在一些實施例中,上游製程部件212可以包括特徵分析模組414,該特徵分析模組經配置為分析上游製程資料以識別關於基板的當前層的特定特徵的資料。特徵分析模組414可以將上游製程資料的一或多個部分作為輸入提供到一或多個降維函數。降維函數可以將上游製程資料描繪為真實坐標空間中的點並且可以識別資料點的不相關變數。對應於相關變數的資料點可以與關於基板的當前層的特定特徵的上游製程資料相關聯。在一些實施例中,降維函數可以對應於主分量分析函數、部分最小平方分析函數或自動編碼器函數中的至少一者。特徵分析模組414可以獲得降維函數的一或多個輸出。在一些實施例中,降維函數的一或多個輸出可以指示對應於相關變數的資料點。在一些實施例中,一或多個輸出可以包括對關於基板的當前層的特定特徵的上游製程資料的一或多個部分的指示。在一些實施例中,特徵分析模組414可以從一或多個輸出中提取第一資料。
返回參見第3圖,於方塊312,處理邏輯(例如,上游製程部件212)將第一資料作為輸入提供到機器學習模型。在一些實施例中,機器學習模型可以對應於機器學習模型190。如上文描述,機器學習模型190可以經訓練為基於給定的製程資料及/或感測器資料,預測根據上游製程的基板的一或多個層的計量量測值,並且在一些實施例中,預測所預測的計量量測值偏離在上游製程之後基板的目標計量量測值的漂移量。在一些實施例中,可以根據關於第5圖描述的實施例訓練機器學習模型190。
在一些實施例中,上游製程部件212可以直接將第一資料作為輸入提供到機器學習模型190並且可以獲得模型190的一或多個輸出。在其他或類似實施例中,上游製程部件212可以將第一資料提供到預測部件114,並且預測部件114可以將第一資料作為輸入提供到機器學習模型190。在此種實施例中,預測部件114可以獲得模型190的一或多個輸出,並且在一些實施例中,可以將一或多個輸出提供到上游製程部件212。機器學習模型190的輸出可以包括指示計量量測值的一或多個集合的計量資料,並且針對計量量測值的每個集合,包括計量量測值的相應集合對應於基板的當前層(例如,在完成上游製程之後及/或在完成當前層製程之後)的置信位準的指示。在一些實施例中,計量資料亦可以指示計量測量值的每個集合偏離計量量測值的目標集合的漂移量。在一些實施例中,計量量測值的目標集合可以藉由製造系統的使用者(例如,開發人員、工程師、操作人員等)提供到系統100。在其他或類似實施例中,計量量測值的目標集合可以鑒於與根據上游製程配方及/或當前層製程配方處理的先前基板相關聯的實驗資料來決定(例如,藉由R2R控制引擎152、藉由系統控制器450等)。
在一些實施例中,上游製程部件212及/或預測部件114可以識別具有滿足置信準則的置信位準的計量量測值的相應集合。在一些實施例中,若置信位準滿足及/或超過閾值置信位準,則置信位準可以滿足置信準則。在其他或類似實施例中,若置信位準滿足及/或超過閾值置信位準並且高於與計量量測值的其他集合相關聯的其他置信位準,則置信位準可以滿足置信準則。回應於識別具有滿足置信準則的置信位準的計量量測值的相應集合,上游製程部件212及/或預測部件114可以提取所識別的計量量測值的提取集合及/或偏離機器學習模型190的一或多個輸出的漂移量。在一些實施例中,機器學習模型190的輸出可包括計量量測值的一或多個集合並且不包括計量量測值的每個集合偏離目標計量量測值的漂移量。在此種實施例中,如上文描述,上游製程部件212及/或預測部件114可以提取具有滿足置信準則位準的置信位準的計量量測值的集合,並且可以將計量量測值的提取集合與目標計量量測值進行比較以決定漂移量。
如上文描述,在一些實施例中,機器學習模型190可為可變遞歸自動編碼器(variational recurrent auto-encoder; VRAE)模型。可訓練VRAE模型以從上游製程資料中提取對應於當前層的特定特徵的資料並且鑒於提取特徵來預測計量量測值偏離目標計量量測值的漂移。由此,藉由上游製程部件212獲得的上游製程資料可以對應於作為輸入提供到VRAE模型的第一資料。例如,如在第4圖中指示,在一些實施例中,上游製程部件212可以包括VRAE引擎416。回應於獲得上游製程資料,上游製程部件212的VRAE引擎416可以直接將上游製程資料作為輸入提供到VRAE模型,而非將上游資料提供到特徵分析模組414,如上文關於額外實施例描述。VRAE引擎416可以從VRAE模型的一或多個輸出中提取針對基板的當前層預測的計量量測值的集合偏離目標計量量測值的漂移量。在一些實施例中,可以基於針對先前層製程、上游製程、及/或在製造系統、或與系統架構100相關聯的其他製造系統處執行的當前層製程收集的歷史資料來訓練VRAE模型。
於方塊314,處理邏輯(例如,上游製程部件212)基於機器學習模型190的一或多個輸出來決定在完成第一製程(例如,上游製程412)或第二製程(例如,當前層製程)中的至少一者之後針對基板的第一層的計量量測值的第一集合偏離計量量測值的目標集合的漂移量。如上文指示,在一些實施例中,針對計量量測值的每個集合,機器學習模型190的一或多個輸出可以包括對計量量測值的相應集合偏離目標計量量測值的漂移量的指示。由此,上游製程部件212可以基於從機器學習模型190的一或多個輸出中提取的漂移量的指示來決定針對基板的第一層的計量量測值的集合的漂移量。在其他或類似實施例中,如上文指示,上游製程部件212可以將從機器學習模型190的一或多個輸出中提取的計量量測值的集合與目標計量量測值進行比較以決定漂移量。在一些實施例中,上游製程部件212可以基於針對根據上游製程配方及/或當前層製程配方處理的先前基板獲得的一或多個額外計量量測值來進一步決定漂移量。例如,上游製程部件212可以獲得針對先前基板獲得的額外計量量測值(例如,來自資料儲存器140及/或記憶體250)以決定是否存在針對先前基板及/或當前基板的計量量測值偏離目標計量量測值漂移的趨勢(例如,高於閾值數量的批次)。在一些實施例中,上游製程部件212可以鑒於所決定的趨勢來決定漂移量。
於方塊316,處理邏輯(例如,當前層前饋控制部件214)決定對第二製程(例如,當前層製程)的製程配方的一或多個修改及與基板的第二層(例如,先前層)相關聯的第二資料。如上文指示,在一些實施例中,與基板的當前層相關聯的計量量測值的集合的漂移量可以對應於將用於調諧當前層製程配方設置的校正因素(例如,校正因素262)。例如,計量量測值的集合的漂移量可以指示在起始當前層製程(例如,沉積當前層等)之前在基板的當前層的預測平滑度之間的差異偏離當前層的目標平滑度相差特定數量的單位。由此,校正因素262可以對應於當前層的預測平滑度偏離將校正用於調諧及/或修改當前層製程配方的設置的目標平滑度的差異或變化。如第4圖中示出,可以將所決定的校正因素262提供到當前層前饋控制部件214。根據下文描述的實施例,前饋控制部件214可以鑒於校正因素262來決定對製程配方的一或多個修改。
如第4圖中示出,根據先前描述的實施例,可以收集根據先前層製程410處理的基板的計量資料254。先前層控制部件210可以識別或以其他方式獲得計量資料254(例如,來自資料儲存器140、來自記憶體250等)並且可以將計量資料254與在完成先前層製程410之後同基板相關聯的目標計量資料(例如,目標計量量測值)進行比較。在一些實施例中,根據先前描述的實施例,在完成先前層製程410之後與基板相關聯的目標計量資料可以藉由製造系統的使用者提供及/或基於針對根據先前層製程410處理的先前基板收集的實驗資料來決定。根據先前描述的實施例,先前層控制部件210可以決定計量資料254偏離目標計量資料的漂移量。如上文關於校正因素262描述,校正因素260可以對應於所決定的漂移量。
如亦在第4圖中示出,當前層前饋控制部件214可以從當前層反饋控制部件216中獲得另一校正因素264。如上文描述,當前層反饋控制部件216可以識別或以其他方式獲得針對根據當前層製程配方處理的先前基板收集的計量資料。當前層反饋控制部件216可以將針對先前基板獲得的計量資料與根據當前層製程配方處理的基板的目標計量資料(例如,目標計量量測值)進行比較,並且可以基於比較來決定所收集的計量量測值的漂移量。根據先前描述的實施例,根據當前層製程配方處理的基板的目標計量資料可以藉由製造系統的使用者提供及/或基於針對根據當前層製程配方處理的先前基板收集的實驗資料來決定。如上文關於校正因素260及262描述,校正因素264可以對應於所決定的根據當前層基板製程處理的先前基板的漂移量。
如上文指示,當前層前饋控制部件214可以基於校正因素260、262、及/或264來決定對當前層製程配方的一或多個修改。在一些實施例中,當前層前饋控制部件214可以鑒於與當前層製程相關聯的一或多個規則來決定對當前層製程配方的一或多個修改。例如,製造系統的使用者(例如,開發人員、操作人員、工程師等)可以鑒於針對基板的相應層偵測的變化來提供對將對製程配方進行的一或多個修改的指示。當前層前饋控制部件214可以將校正因素260、262、及/或264與在所提供的修改中包括的變化及/或校正進行比較,以決定將應用於當前層製程配方的一或多個設置的修改或調諧。在另一實例中,當前層前饋控制部件214(或R2R控制引擎152及/或系統100的另一部件)可以回應於針對基板的相應層偵測的變化來識別或以其他方式獲得與對基板製程配方進行的調諧及/或修改相關聯的歷史及/或實驗資料。在一個實例中,歷史及/或歷史資料可以包括根據未修改的當前層製程配方在完成先前層製程410、上游製程412、及/或當前層製程420之後出現的變化或差異的指示,及鑒於該變化或差異對當前層製程配方進行的一或多個調諧或修改的指示。當前層前饋控制部件214可以將校正因素260、262、及/或264與所識別的歷史及/或實驗資料進行比較以決定將應用於當前層製程配方的一或多個設置的修改或調諧。
在額外或替代實施例中,當前層前饋控制部件214可以將校正因素260、262、及/或264作為輸入提供到機器學習模型,該機器學習模型經訓練為基於一或多個給定的校正因素來預測將對製程配方進行的一或多個修改,以便導致基板的特性對應於目標特性。在一些實施例中,可以使用與在製造系統處根據先前層製程410、上游製程412、及/或當前層製程420處理的先前基板相關聯的歷史及/或實驗資料來訓練機器學習模型。在其他或類似實施例中,可以基於針對在其他製造系統處處理的先前基板收集的歷史資料及/或實驗資料來訓練機器學習模型。當前層前饋控制部件214可以獲得機器學習模型的一或多個輸出。在一些實施例中,一或多個輸出可以包括製程修改資料,包括製程配方修改的一或多個集合,並且針對製程配方修改的每個相應集合,包括對製程配方修改的相應集合對應於基板的目標特性的置信位準的指示。當前層前饋控制部件214可以識別具有滿足置信準則(例如,超過閾值置信位準等)的置信位準的製程配方修改的集合。
於方塊318,處理邏輯(例如,當前層前饋控制部件214)基於所決定的一或多個修改來更新第二製程的製程配方。當前層前饋控制部件214可以識別與當前層製程相關聯的製程配方(例如,在資料儲存器140、記憶體250等處)並且可以鑒於所決定的一或多個修改來更新製程配方的一或多個設置。如在第4圖中示出,經修改的製程配方418可以對應於根據所決定的一或多個修改來修改的當前層製程配方。在一些實施例中,當前層前饋控制部件214可以將經修改的製程配方418發送到系統控制器450(例如,經由網路130、經由匯流排)。在其他或類似實施例中,當前層前饋控制部件214可以將經修改的製程配方418儲存在資料儲存器140及/或記憶體250處。系統控制器450可以經由資料儲存器140及/或記憶體250(例如,經由網路130、經由匯流排等)存取經修改的製程配方418。
在一些實施例中,系統控制器450可以根據經修改的製程配方418使用製造設備124來對基板執行當前層製程420。系統控制器450可以藉由執行與經修改的製程配方418相關聯的一或多個指令來執行當前層製程420。在完成當前層製程420之後,基板可以傳遞到計量設備128並且計量設備128可以收集與基板相關聯的計量資料422。在一些實施例中,根據先前描述的實施例,當前層反饋控制部件216可以決定計量資料422偏離在執行當前層製程420之後同基板相關聯的目標計量資料的漂移量。在一些實施例中,當前層反饋控制部件216可以基於所決定的計量資料422的漂移量來決定另一校正因素264。所決定的校正因素264可以藉由當前層前饋控制部件214使用以決定在針對製造系統處的未來基板執行當前層製程420之前將應用於經修改的製程配方418的一或多個修改或調諧。
第5圖係根據本揭示的態樣的用於訓練機器學習模型(例如,機器學習模型190等)的方法500的流程圖。方法500藉由處理邏輯執行,該處理邏輯可以包括硬體(電路系統、專用邏輯等)、軟體(諸如在通用電腦系統或專用機器上運行)、韌體、或其一些組合。在一個實施方式中,方法500可以藉由系統架構(諸如第1圖的系統架構100)的一或多個部件執行。在其他或類似實施方式中,方法500的一或多個操作可以藉由未在圖式中描繪的一或多個其他機器執行。在一些態樣中,方法500的一或多個操作可以藉由預測系統110的預測伺服器112執行。在其他或類似態樣中,方法500的一或多個操作可以藉由計算系統150的R2R控制引擎152執行。在又一些或類似態樣中,方法500的一或多個操作可以藉由系統控制器450執行。
為了簡單解釋,將方法描繪且描述為一系列動作。然而,根據本揭示的動作可以任何順序及/或同時發生,並且其他動作在本文中不呈現及描述。此外,實施根據所揭示的標的的方法可以不執行所有示出的動作。此外,熟習此項技術者將理解及瞭解,方法可以替代地經由狀態圖或事件表示為一系列相互關聯的狀態。此外,應當瞭解,本說明書中揭示的方法能夠儲存在製品上,以便於將此種方法傳輸及傳遞到計算裝置。如本文使用,術語製品意欲涵蓋可從任何電腦可讀取裝置或儲存媒體存取的電腦程式。
於方塊510,處理邏輯將訓練集合T初始化為空集(例如,{})。於方塊512,處理邏輯識別與在製造系統處針對先前基板的第一層執行的歷史第一製程相關聯的歷史資料。在一些實施例中,根據先前描述的實施例,歷史第一製程可以對應於經執行以將基板準備好用於歷史當前層製程的歷史上游製程。歷史資料可以包括與歷史製程相關聯的歷史製程資料及/或在執行歷史製程之前、期間、或之後收集的歷史感測器資料。在一些實施例中,歷史資料可以進一步包括與歷史製程相關聯的上下文資料。例如,歷史可以包括對其中執行歷史製程的處理腔室的指示、對與先前基板相關聯的批次的指示等等。在一些實施例中,如上文描述,處理邏輯可以識別來自資料儲存器140及/或記憶體250的歷史資料。
於方塊514,處理邏輯識別在製造系統處完成針對第一層的歷史第一製程或歷史第二製程中的至少一者之後針對先前基板獲得的歷史計量量測值的集合。在一些實施例中,歷史第二製程可以對應於歷史當前層製程。在完成歷史第一製程及/或歷史第二製程之後,可以對先前基板產生(例如,使用計量設備128)歷史計量量測值並且儲存在資料儲存器140及/或記憶體250處。根據先前描述的實施例,處理邏輯可以識別來自資料儲存器140及/或記憶體250的歷史計量量測值的集合。
於方塊516,處理邏輯基於與歷史第一製程相關聯的所識別的歷史資料來產生第一訓練資料。如上文描述,在一些實施例中,機器學習模型190可為可變遞歸自動編碼器模型。在此種實施例中,第一訓練資料可以包括與歷史第一製程相關聯的所識別的歷史資料。在其他或類似實施例中,處理邏輯可以將所識別的歷史資料作為輸入提供到一或多個降維函數。降維函數可以對應於關於第4圖的特徵分析模組414描述的降維函數。處理邏輯可以獲得降維函數的一或多個輸出。降維函數的一或多個輸出可以對應於關於先前基板的歷史當前層的特定特徵的歷史資料的一部分。
處理邏輯可以包括在所產生的第一訓練資料中的歷史資料的相關部分。在額外或替代實施例中,處理邏輯亦可以在第一訓練資料中包括對應於針對先前基板執行的歷史製程的特定資料群集的指示。在說明性實例中,處理邏輯可以將上下文資料、歷史資料、及/或歷史資料的相關部分作為輸入提供到一或多個資料群集函數。資料群集函數可以經配置為鑒於上下文資料及/或與歷史資料相關聯的一或多個特徵來決定為哪些資料群集指派歷史資料的相關部分。在一些實施例中,歷史資料可以鑒於用於執行歷史製程的設備類型(例如,由上下文資料指示)、針對先前基板偵測的缺陷及/或變化的類型(例如,如由歷史製程資料及/或歷史感測器資料指示)及/或歷史製程的製程配方的一或多個設置(例如,如由歷史製程資料指示)來群集。處理邏輯可以從資料群集函數的一或多個輸出中提取與相關聯於歷史資料的相關部分的特定群集相關聯的識別符並且可以包括具有在所產生的第一訓練資料中的歷史資料的相關部分的所提取識別符。應當注意,在額外或替代實施例中,處理邏輯可能不將歷史資料的相關部分(及/或歷史上下文資料及/或歷史資料)作為輸入提供到群集函數。替代地,歷史資料的相關部分可以藉由機器學習模型群集(例如,根據一或多種機器學習技術)。
於方塊518,處理邏輯可以基於所識別的歷史計量量測值的集合來產生第二訓練資料。在一些實施例中,所產生的第二訓練資料可以包括歷史計量量測值的一或多個所識別集合的指示。於方塊520,處理邏輯產生在第一訓練資料與第二訓練資料之間的映射。於方塊522,處理邏輯將映射添加到訓練集合T。於方塊524,處理邏輯決定訓練集合T是否包括充足量的訓練資料以訓練機器學習模型。應當注意,在一些實施方式中,可以簡單地基於訓練集合中的映射數目來決定訓練集合T的充足性,而在一些其他實施方式中,除了或替代輸入/輸出映射的數目,可以基於一或多個其他準則(例如,訓練實例的多樣性的度量等)來決定訓練集合T的充足性。回應於決定訓練集合不包括充足量的訓練資料以訓練機器學習模型,方法500返回到方塊512。回應於決定訓練集合T包括充足量的訓練資料以訓練機器學習模型,方法500繼續到方塊528。
於方塊528,處理邏輯提供訓練集合T以訓練機器學習模型。在一個實施方式中,將訓練集合T提供到伺服器機器180的訓練引擎182以執行訓練。在神經網路的情況下,例如,將給定輸入/輸出映射的輸入值輸入到神經網路,並且將輸入/輸出映射的輸出值儲存在神經網路的輸出節點中。隨後根據學習演算法(例如,後向傳播等)調整神經網路中的連接權重,並且針對訓練集合T中的其他輸入/輸出映射重複程序。在方塊528之後,根據上文描述的實施例,機器學習模型190可以用於基於給定的製程資料及/或感測器資料來預測與基板相關聯的計量量測值及計量量測值偏離目標計量量測值的漂移量。
第6圖描繪了根據本揭示的一或多個態樣操作的說明性電腦系統600的方塊圖。在替代實施例中,機器可連接(例如,網路連接)到區域網路(LAN)、內部網路、外部網路、或網際網路中的其他機器。機器可在客戶端-伺服器網路環境中以伺服器或客戶端機器的身份操作,或作為同級間(或分散式)網路環境中的同級機器。機器可係個人電腦(personal computer; PC)、平板電腦、機上盒(set-top box; STB)、個人數位助理(Personal Digital Assistant; PDA)、蜂巢電話、網路設備、伺服器、網路路由器、交換機或橋接器、或能夠執行指令集(連續或以其他方式)的任何機器,該指令集規定由彼機器採取的動作。另外,儘管僅示出單個機器,術語「機器」亦應當被認為包括機器(例如,電腦)的任何集合,該等機器獨立或聯合地執行指令集(或多個指令集)以執行本文論述的任何一或多個方法。在實施例中,計算裝置600可對應於第1圖的預測伺服器112及/或計算系統150、第4圖的系統控制器450、及/或製造系統100的另一處理裝置。
示例計算系統600包括處理裝置602、主記憶體604(例如,唯讀記憶體(read-only memory; ROM)、快閃記憶體、動態隨機存取記憶體(dynamic random access memory; DRAM)諸如同步DRAM(SDRAM)等)、靜態記憶體606(例如,快閃記憶體、靜態隨機存取記憶體(static random access memory; SRAM)等)、及輔助記憶體(例如,資料儲存裝置628),其等經由匯流排608彼此通訊。
處理裝置602可表示一或多個通用處理器,諸如微處理器、中央處理單元、或類似者。更特定地,處理裝置602可係複雜指令集計算(complex instruction set computing; CISC)微處理器、精簡指令集計算(reduced instruction set computing; RISC)微處理器、極長指令字(very long instruction word; VLIW)微處理器、實施其他指令集的處理器、或實施指令集的組合的處理器。處理裝置602亦可係一或多個專用處理裝置,諸如特殊應用積體電路(application specific integrated circuit; ASIC)、現場可程式設計閘陣列(field programmable gate array; FPGA)、數位信號處理器(digital signal processor; DSP)、網路處理器、或類似者。處理裝置602亦可係或包括片上系統(system on a chip; SoC)、可程式設計邏輯控制器(programmable logic controller; PLC)、或其他類型的處理裝置。處理裝置602經配置為執行處理邏輯,用於執行本文論述的操作及步驟。
計算裝置600可進一步包括與網路664通訊的網路介面裝置622。計算裝置600亦可包括視訊顯示單元610(例如,液晶顯示器(liquid crystal display; LCD)或陰極射線管(cathode ray tube; CRT))、字母數字輸入裝置612(例如,鍵盤)、游標控制裝置614(例如,滑鼠)、及信號產生裝置620(例如,揚聲器)。
資料儲存元件628可包括機器可讀取儲存媒體(或更具體地,非暫時性電腦可讀取儲存媒體)624,其上儲存體現本文描述的任何一或多個方法或功能的一或多個指令626的集合。其中非暫時性儲存媒體指不同於載波的儲存媒體。指令626亦可在其藉由亦構成電腦可讀取儲存媒體的電腦裝置600、主記憶體604及處理裝置602執行期間完全或至少部分駐存在主記憶體604內及/或處理裝置602內。
電腦可讀取儲存媒體624亦可用於儲存模型190及用於訓練模型190的資料。電腦可讀取儲存媒體624亦可儲存含有方法的軟體程式庫,該等方法調用模型190。儘管電腦可讀取儲存媒體624在示例實施例中圖示為單個媒體,術語「電腦可讀取儲存媒體」應當被認為包括儲存一或多個指令集的單個媒體或多個媒體(例如,集中式或分散式資料庫,及/或相關聯的快取記憶體及伺服器)。術語「電腦可讀取儲存媒體」亦應當被認為包括能夠儲存或編碼指令集用於由機器執行並且導致機器執行本揭示的任何一或多個方法論的的任何媒體。術語「電腦可讀取儲存媒體」由此應當被認為包括但不限於固態記憶體、以及光學及磁性媒體。
前述描述闡述了數個具體細節,諸如具體系統、部件、方法等等的實例,以便提供對本揭示的若干實施例的良好理解。然而,熟習此項技術者將顯而易見,本揭示的至少一些實施例可在沒有此等具體細節的情況下實踐。在其他情況中,熟知的部件或方法未詳細描述並且以簡單的方塊圖格式提供,以便避免不必要地混淆本揭示。因此,闡述的具體細節僅係示例性的。特定實施方式可從此等示例性細節改變並且仍預期在本揭示的範疇內。
在整個此說明書中提及「一個實施例」或「一實施例」意指結合實施例描述的特定特徵、結構、或特性包括在至少一個實施例中。因此,在整個此說明書的各個位置中出現片語「在一個實施例中」或「在一實施例中」不一定皆指相同實施例。此外,術語「或」意欲指包括性「或」而非排除性「或」。當在本文中使用術語「約」或「近似」時,這意欲意味著所提供的標稱值在±10%內為精確的。
儘管以特定次序圖示及描述本文的方法的操作,可改變每個方法的操作次序,使得某些操作可以逆向次序執行,使得某些操作可至少部分與其他操作同時執行。在另一實施例中,不同操作的指令或子操作可以間歇及/或交替方式。
將理解,以上描述意欲為說明性而非限制性的。在讀取及理解以上描述之後,眾多其他實施例將對熟習此項技術者顯而易見。由此,本揭示的範疇應當參考隨附申請專利範圍連同此種申請專利範圍所賦予的等效物的全部範疇來決定。
100:系統架構 110:預測系統 112:預測伺服器 114:預測部件 120:客戶端裝置 124:製造設備 128:計量設備 130:網路 140:資料儲存器 150:計算系統 152:運行間(R2R)控制引擎 170:伺服器機器 172:訓練集合產生器 180:伺服器機器 182:訓練引擎 184:驗證引擎 186:選擇引擎 188:測試引擎 190:機器學習模型 210:先前層控制部件 212:上游製程部件 214:當前層前饋控制部件 216:當前層反饋控制部件 250:記憶體 252:先前層計量資料 254:上游製程資料 256:上游製程感測器資料 258:當前層計量資料 260:先前層校正因素 262:上游製程校正因素 264:當前層校正因素 266:製程配方修改資料 300:方法 310:方塊 312:方塊 314:方塊 316:方塊 318:方塊 410:先前層製程 412:上游製程 414:特徵分析模組 416:VRAE引擎 418:經修改的製程配方 420:當前層製程 422:計量資料 450:系統控制器 500:方法 510:方塊 512:方塊 514:方塊 516:方塊 518:方塊 520:方塊 522:方塊 524:方塊 526: 600:電腦系統 602:處理裝置 604:主記憶體 606:靜態記憶體 608:匯流排 610:視訊顯示單元 612:字母數字輸入裝置 614:游標控制裝置 620:信號產生裝置 622:網路介面裝置 624:電腦可讀取儲存媒體 626:指令 628:資料儲存元件 664:網路
本揭示在附圖的圖式中藉由實例而非藉由限制示出,其中相似參考指示類似元件。應當注意,在本揭示中對「一(an)」或「一個(one)」實施例的不同參考不一定係相同實施例,並且此種參考意味著至少一個。
第1圖描繪了根據本揭示的態樣的說明性系統架構。
第2圖係根據本揭示的態樣的示例運行間(R2R)控制引擎的方塊圖。
第3圖係根據本揭示的態樣的用於使用機器學習在製造系統處進行R2R控制的方法的流程圖。
第4圖描繪了根據本揭示的態樣的使用機器學習在製造系統處進行R2R控制的實例。
第5圖係根據本揭示的態樣的用於訓練機器學習模型的方法的流程圖。
第6圖描繪了根據本揭示的一或多個態樣操作的說明性電腦系統的方塊圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
300:方法
310:方塊
312:方塊
314:方塊
316:方塊
318:方塊

Claims (20)

  1. 一種方法,包含以下步驟: 識別與在一製造系統處針對一基板的一第一層執行的一第一製程相關聯的第一資料,其中將進一步在該製造系統處根據一第二製程處理該基板的該第一層; 將該第一資料作為輸入提供到一機器學習模型,其中訓練該機器學習模型以預測針對在該製造系統處處理的基板的一或多個層的計量量測值; 基於該機器學習模型的一或多個輸出,決定在完成該第一製程或該第二製程中的至少一者之後針對該基板的該第一層的計量量測值的一第一集合偏離目標計量量測值的一集合的一漂移量; 鑒於所決定的該漂移量及與該基板的一第二層相關聯的第二資料來決定對該第二製程的一製程配方的一或多個修改,其中先前在該製造系統處根據一第三製程處理了該基板的該第二層;以及 基於所決定的該一或多個修改來更新該第二製程的該製程配方。
  2. 如請求項1所述的方法,其中進一步鑒於包含與在該製造系統處根據該第二製程處理的另一基板相關聯的一或多個計量量測值的第三資料來決定對該第二製程的該製程配方的該一或多個修改。
  3. 如請求項1所述的方法,其中識別與針對該基板的該第一層執行的該第一製程相關聯的該第一資料之步驟包含以下步驟: 將與針對該基板的該第一層執行的該第一製程相關聯的一資料集合作為輸入提供到一或多個降維函數;以及 從該一或多個降維函數的一輸出中提取該第一資料。
  4. 如請求項3所述的方法,其中該一或多個降維函數包含一主分量分析函數、一部分最小平方分析函數、或一自動編碼器函數中的至少一者。
  5. 如請求項1所述的方法,其中該機器學習模型係一可變自動編碼器模型。
  6. 如請求項1所述的方法,進一步包含以下步驟: 識別針對該基板的該第一層的計量量測值的一第二集合,其中在根據該經更新的製程配方完成針對該基板的該第一層的一基板製程之後針對該基板的該第一層產生計量量測值的該第二集合;以及 鑒於所識別的計量量測值的該第二集合來更新該經更新的製程配方或該第三製程的另一製程配方中的至少一者。
  7. 如請求項1所述的方法,其中該製程配方對應於與一微影製程相關聯的一或多個操作。
  8. 如請求項7所述的方法,其中對該基板的該第一層執行的該第一製程包含一化學機械拋光製程、一蝕刻製程、或一沉積製程中的至少一者。
  9. 如請求項1所述的方法,其中該第一製程包含一化學機械拋光製程,該第二製程包含一微影製程的一或多個第一操作,並且該第三製程包含在該一或多個第一操作之前執行的該微影製程的一或多個第二操作。
  10. 一種系統,包含: 一記憶體;以及 一處理裝置,耦合到該記憶體,該處理裝置用於: 識別與在一製造系統處針對一基板的一第一層執行的一第一製程相關聯的第一資料,其中將進一步在該製造系統處根據一第二製程處理該基板的該第一層; 將該第一資料作為輸入提供到一機器學習模型,其中訓練該機器學習模型以預測針對在該製造系統處處理的基板的一或多個層的計量量測值; 基於該機器學習模型的一或多個輸出,決定在完成該第一製程或該第二製程中的至少一者之後針對該基板的該第一層的計量量測值的一目標集合偏離計量量測值的一目標集合的一漂移量; 鑒於所決定的該漂移量及與該基板的一第二層相關聯的第二資料來決定對該第二製程的一製程配方的一或多個修改,其中先前在該製造系統處根據一第三製程處理該基板的該第二層;以及 基於所決定的該一或多個修改來更新該第二製程的該製程配方。
  11. 如請求項10所述的系統,其中進一步鑒於包含與在該製造系統處根據該第二製程處理的另一基板相關聯的一或多個計量量測值的第三資料來決定對該第二製程的該製程配方的該一或多個修改。
  12. 如請求項10所述的系統,其中為了識別與針對該基板的該第一層執行的該第一製程相關聯的該第一資料,該處理裝置用於: 將與針對該基板的該第一層執行的該第一製程相關聯的一資料集合作為輸入提供到一或多個降維函數;以及 從該一或多個降維函數的一輸出中提取該第一資料。
  13. 如請求項12所述的系統,其中該一或多個降維函數包含一主分量分析函數、一部分最小平方分析函數、或一自動編碼器函數中的至少一者。
  14. 如請求項10所述的系統,其中該機器學習模型係一可變自動編碼器模型。
  15. 如請求項10所述的系統,其中該處理裝置進一步用於: 識別針對該基板的該第一層的計量量測值的一第二集合,其中在根據該經更新的製程配方完成針對該基板的該第一層的一基板製程之後針對該基板的該第一層產生計量量測值的該第二集合;以及 鑒於所識別的計量量測值的該第二集合來更新該經更新的製程配方或該第三製程的另一製程配方中的至少一者。
  16. 如請求項10所述的系統,其中該第一製程包含一化學機械拋光製程,該第二製程包含一微影製程的一或多個第一操作,並且該第三製程包含在該一或多個第一操作之前執行的該微影製程的一或多個第二操作。
  17. 一種包含指令的非暫時性電腦可讀取媒體,當藉由一處理裝置執行時,該等指令導致該處理裝置用於: 識別與在一製造系統處針對一基板的一第一層執行的一第一製程相關聯的第一資料,其中將進一步在該製造系統處根據一第二製程處理該基板的該第一層; 將該第一資料作為輸入提供到一機器學習模型,其中訓練該機器學習模型以預測針對在該製造系統處處理的基板的一或多個層的計量量測值; 基於該機器學習模型的一或多個輸出,決定在完成該第一製程或該第二製程中的至少一者之後針對該基板的該第一層的計量量測值的一第一集合偏離計量量測值的一目標集合的一漂移量; 鑒於所決定的該漂移量及與該基板的一第二層相關聯的第二資料來決定對該第二製程的一製程配方的一或多個修改,其中先前在該製造系統處根據一第三製程處理該基板的該第二層;以及 基於所決定的該一或多個修改來更新該第二製程的該製程配方。
  18. 如請求項17所述的非暫時性電腦可讀取媒體,其中進一步鑒於包含與在該製造系統處根據該第二製程處理的另一基板相關聯的一或多個計量量測值的第三資料來決定對該第二製程的該製程配方的該一或多個修改。
  19. 如請求項17所述的非暫時性電腦可讀取媒體,其中為了識別與針對該基板的該第一層執行的該第一製程相關聯的該第一資料,該處理裝置用於: 將與針對該基板的該第一層執行的該第一製程相關聯的一資料集合作為輸入提供到一或多個降維函數;以及 從該一或多個降維函數的一輸出中提取該第一資料。
  20. 如請求項17所述的非暫時性電腦可讀取媒體,其中該第一製程包含一化學機械拋光製程,該第二製程包含一微影製程的一或多個第一操作,並且該第三製程包含在該一或多個第一操作之前執行的該微影製程的一或多個第二操作。
TW112115784A 2022-04-27 2023-04-27 使用機器學習在製造系統處進行運行間控制 TW202407484A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/730,861 2022-04-27
US17/730,861 US20230350394A1 (en) 2022-04-27 2022-04-27 Run-to-run control at a manufacturing system using machine learning

Publications (1)

Publication Number Publication Date
TW202407484A true TW202407484A (zh) 2024-02-16

Family

ID=88513050

Family Applications (1)

Application Number Title Priority Date Filing Date
TW112115784A TW202407484A (zh) 2022-04-27 2023-04-27 使用機器學習在製造系統處進行運行間控制

Country Status (3)

Country Link
US (1) US20230350394A1 (zh)
TW (1) TW202407484A (zh)
WO (1) WO2023212120A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019182913A1 (en) * 2018-03-20 2019-09-26 Tokyo Electron Limited Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same
CN114026500A (zh) * 2019-07-03 2022-02-08 Asml荷兰有限公司 在半导体制造过程中应用沉积模式的方法
JP7341241B2 (ja) * 2019-08-30 2023-09-08 株式会社日立ハイテク 計測システム、所定の構造を含む半導体の画像計測を行う際に用いる学習モデルを生成する方法、およびコンピュータに、所定の構造を含む半導体の画像計測を行う際に用いる学習モデルを生成する処理を実行させるためのプログラムを格納する記憶媒体
US11556117B2 (en) * 2019-10-21 2023-01-17 Applied Materials, Inc. Real-time anomaly detection and classification during semiconductor processing
WO2021104718A1 (en) * 2019-11-29 2021-06-03 Asml Netherlands B.V. Method and system for predicting process information with a parameterized model

Also Published As

Publication number Publication date
US20230350394A1 (en) 2023-11-02
WO2023212120A1 (en) 2023-11-02

Similar Documents

Publication Publication Date Title
US11989495B2 (en) Systems and methods for predicting film thickness using virtual metrology
US20220066411A1 (en) Detecting and correcting substrate process drift using machine learning
US20220026817A1 (en) Determining substrate profile properties using machine learning
EP4302322A1 (en) Systems and methods for process chamber health monitoring and diagnostics using virtual model
US11862520B2 (en) Systems and methods for predicting film thickness of individual layers using virtual metrology
TW202407484A (zh) 使用機器學習在製造系統處進行運行間控制
US20240128100A1 (en) Methods and systems for a spectral library at a manufacturing system
US20230051330A1 (en) Using defect models to estimate defect risk and optimize process recipes
US20240062097A1 (en) Equipment parameter management at a manufacturing system using machine learning
US20230359179A1 (en) Methods and mechanisms for adjusting film deposition parameters during substrate manufacturing
US20230062206A1 (en) Determining substrate profile properties using machine learning
US11874234B2 (en) Multi-level RF pulse monitoring and RF pulsing parameter optimization at a manufacturing system
US20230306300A1 (en) Methods and mechanisms for measuring patterned substrate properties during substrate manufacturing
US20230384777A1 (en) Methods and mechanisms for preventing fluctuation in machine-learning model performance
TW202314564A (zh) 使用特徵模型來進行製程配方創建和匹配
WO2024081764A1 (en) Determining substrate profile properties using machine learning
TW202340885A (zh) 用於在評估系統與製造系統之間進行介接的通訊節點
WO2023158621A1 (en) Process control knob estimation