TW202314564A - 使用特徵模型來進行製程配方創建和匹配 - Google Patents

使用特徵模型來進行製程配方創建和匹配 Download PDF

Info

Publication number
TW202314564A
TW202314564A TW111134492A TW111134492A TW202314564A TW 202314564 A TW202314564 A TW 202314564A TW 111134492 A TW111134492 A TW 111134492A TW 111134492 A TW111134492 A TW 111134492A TW 202314564 A TW202314564 A TW 202314564A
Authority
TW
Taiwan
Prior art keywords
feature
processing
recipe
target
candidate
Prior art date
Application number
TW111134492A
Other languages
English (en)
Inventor
德莫特P 康特威爾
金泰勳
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202314564A publication Critical patent/TW202314564A/zh

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/04Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators
    • G05B13/042Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators in which a parameter or coefficient is automatically adjusted to optimise the performance
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/0265Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric the criterion being a learning criterion
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/04Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators
    • G05B13/048Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators using a predictor
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32096Batch, recipe configuration for flexible batch control
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Artificial Intelligence (AREA)
  • Physics & Mathematics (AREA)
  • Automation & Control Theory (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Software Systems (AREA)
  • Medical Informatics (AREA)
  • Evolutionary Computation (AREA)
  • Health & Medical Sciences (AREA)
  • General Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Quality & Reliability (AREA)
  • General Factory Administration (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一種方法包括以下步驟:接收特徵模型集合,該特徵模型集合中的每個特徵模型與跟部件的處理相關聯的相應的特徵對應;接收用於處理該部件的目標性質集合,其中該目標性質集合為每個特徵包括相應的目標;基於該特徵模型集合,根據該目標性質集合決定一個或多個預測處理參數集合;產生一個或多個候選製程配方,每個候選製程配方與該一個或多個預測處理參數集合中一個相應的預測處理參數集合對應,其中該一個或多個候選製程配方各自與一個預測性質集合對應,該預測性質集合為每個特徵包括由部件處理所導致的相應的預測性質值;以及從該一個或多個候選製程配方選擇製程配方,以處理該部件。

Description

使用特徵模型來進行製程配方創建和匹配
本揭示內容的實施例總體上涉及製造系統,特別是使用特徵模型來進行製程配方創建和匹配。
隨著設備尺寸的縮小,半導體晶圓處理的複雜性一直在增加。典型的晶圓處理方法有多個不同的製程,一些先進的方法(如電漿蝕刻)有20個甚至更多的製程。每個製程都有大量的製程控制變數,也稱為「旋鈕」,可以用於調整和最佳化效能。因此,從理論上講,可以用於調整和最佳化特定製程的空間是非常大的。
製程工程師使用他們的經驗和專業知識來選擇初步的基線製程,並基於專門用於實驗設計(DoE)的有限數量的晶圓(或晶圓的一部分,稱為試件(coupon))來微調製程。DoE的目標是定制製程,以在晶圓上實現期望的規格。然而,將整個晶圓或晶圓的一部分用於DoE資料收集,會消耗寶貴的資源。因此,往往所採用的製程可能是一個可行的製程,但不一定是最佳的解決方案。
另一個瓶頸是由聯機(in-line)精密計量資料不足引入的。對於精密計量,通常使用破壞性技術,如透射電子顯微鏡(TEM)。然而,由於TEM非常耗時,它通常不能產生足夠的統計資料和充分覆蓋整個晶圓。另外,由於TEM是一種破壞性的技術,可能無法將其整合到生產線中。
在一些實施例中,提供了一種方法。該方法包括以下步驟:由處理設備,接收特徵模型集合,該特徵模型集合中的每個特徵模型與跟部件的處理相關聯的相應的特徵對應;由該處理設備,接收用於處理該部件的目標性質集合,其中該目標性質集合為每個特徵包括相應的目標;由該處理設備基於該特徵模型集合,根據該目標性質集合決定一個或多個預測處理參數集合;由該處理設備,產生一個或多個候選製程配方,每個候選製程配方與該一個或多個預測處理參數集合中一個相應的預測處理參數集合對應,其中該一個或多個候選製程配方各自與一個預測性質集合對應,該預測性質集合為每個特徵包括由部件處理所導致的相應的預測性質值;以及由該處理設備,從該一個或多個候選製程配方選擇一製程配方,以處理該部件。
在一些實施例中,提供了一種系統,該系統包括記憶體和與該記憶體耦合的處理設備。該處理設備用於執行包括以下步驟的操作:接收特徵模型集合,該特徵模型集合中的每個特徵模型與跟部件的處理相關聯的相應的特徵對應;接收用於處理該部件的目標性質集合,其中該目標性質集合為每個特徵包括相應的目標;基於該特徵模型集合,根據該目標性質集合決定一個或多個預測處理參數集合;產生一個或多個候選製程配方,每個候選製程配方與該一個或多個預測處理參數集合中一個相應的預測處理參數集合對應,其中該一個或多個候選製程配方各自與一個預測性質集合對應,該預測性質集合為每個特徵包括由部件處理所導致的相應的預測性質值;以及從該一個或多個候選製程配方選擇製程配方,以處理該部件。
在一些實施例中,提供了一種非暫時性電腦可讀取儲存媒體。該非暫時性電腦可讀取儲存媒體包括指令,該等指令當由處理設備執行時,使該處理設備執行包括以下步驟的操作:接收特徵模型集合,該特徵模型集合中的每個特徵模型與跟部件的處理相關聯的相應的特徵對應;接收用於處理該部件的目標性質集合,其中該目標性質集合為每個特徵包括相應的目標;基於該特徵模型集合,根據該目標性質集合決定一個或多個預測處理參數集合;產生一個或多個候選製程配方,每個候選製程配方與該一個或多個預測處理參數集合中一個相應的預測處理參數集合對應,其中該一個或多個候選製程配方各自與一個預測性質集合對應,該預測性質集合為每個特徵包括由部件處理所導致的相應的預測性質值;以及從該一個或多個候選製程配方選擇製程配方,以處理該部件。
本文描述的實施方式提供了使用機器學習特徵模型的製程配方(「配方」)創建和匹配。製造製程可能因各種因素而中斷,如設備磨損、製程漂移、操作不一致、維護事件和產品變化。製程中斷可能導致批次不符合規格或偏離目標。例如,為了解決化學機械拋光製程中導致晶圓厚度變異的墊子磨損問題,製程工程師可以進行配方調整,以確保製程目標適當。其他由工具年齡造成的變異,如果不加以改正,也可能導致晶圓的報廢。
先進的製程控制(APC)工具,如行程間(Run-to-Run;R2R)控制器,可以用於監測和減少製程變異。R2R控制器,如應用材料公司(Applied Materials®)提供的Applied SmartFactory®行程間解決方案,可以提高製程能力(Cpk),並基於對材料背景的瞭解、製程模型的反饋、傳入的變化、計量資料等,最佳化批量間(batch-to-batch;B2B)、批次間(lot-to-lot;L2L)和/或晶圓間(wafer-to-wafer;W2W)的配方參數。R2R控制器可以用於改善前端半導體晶圓製造、半導體組裝和測試、顯示器製造等期間執行的製程。有了R2R,製造商可以對製程進行自動調整,以維持特定性質的所需目標值,如晶圓厚度和臨界尺寸。製造商還可以使用來自每個製程操作的計量資料,在R2R的基礎上調整製程配方,並定義要以自動化方式執行的定制策略,如重工。該解決方案被設計為支持在工業中一直構成挑戰的高混合和低體積的製造操作。
傳統上,處理方法的配方可以被體現為配方設定表,它包括使用者(例如製程工程師)手動輸入的輸入或配方參數(「參數」)和製程的集合,該集合用於實現目標性質(例如晶圓上(on-wafer)特性)的集合,也稱為目標集合。例如,輸入可以對應於表中的列,製程可以對應於表中的行。然而,這樣手動填充參數和/或製程可能導致配方在考慮到多種期望特性的情況下得不到最佳化。例如,期望的特性之間可能存在複雜的相互關係,其中修改配方的參數和/或製程以實現期望的特性,可能對一個或多個其他期望的特性產生潛在的意外後果。因此,如果不考慮所有這些複雜的相互關係,就可能會產生次優的配方。
本揭示內容的各態樣藉由提供使用特徵模型(例如,機器學習特徵模型)的配方創建和匹配來解決上面指出的和其他的缺陷。本文所述的配方創建和匹配可以使用特徵模型集合來進行。特徵模型可以是一種監督式的迴歸模型。特徵模型的一個例子是多輸入單輸出(MISO)特徵模型,其形式為
Figure 02_image001
,其中輸入
Figure 02_image003
包括組織成向量的多個參數,輸出 Y是單一的純量輸出。特徵模型的另一個例子是多輸入多輸出(MIMO)特徵模型,其形式為
Figure 02_image005
,其中輸入
Figure 02_image003
包括組織成向量的多個參數,輸出
Figure 02_image007
包括組織成向量的多個輸出。一種MIMO特徵模型是空間-輸出MIMO,它進一步定義了每個輸出的空間座標。特徵模型可以使用任何合適的迴歸演算法來實施。迴歸演算法的例子包括線性迴歸、高斯過程迴歸、部分最小平方、梯度提升樹、隨機森林、全連接神經網路等。
在本文描述的配方創建的背景下,特徵模型集合可以包括一些單獨的特徵模型,每個特徵模型對應於一個期望的特徵(例如,晶圓上特徵)。例如,每個特徵模型可以是空間MIMO模型,其中輸入向量包括輸入配方參數,輸出向量包括晶圓上不同位置處的特徵的輸出測量,並且可以包括每個輸出測量的空間位置(例如,X-Y座標)。舉例來說,使用者可以為每個特徵輸入期望的要求。使用基於特徵模型的數值最佳化常式,可以搜尋連續的製程空間,以根據預期要求獲得最佳配方集合。此外,配方可以被匹配技術用於產生偏移集合,以改正由配方表明的預期或期望的製程行為與當前或預測的行為之間的不匹配。
本揭示內容的優點包括但不限於:提高實現價值的速度(speed-to-value),加快部署時間,在開發期間最小化風險,對傳入雜訊有強健性以提高配方的穩定性,提高製程能力,最小化報廢晶圓和提前發送(send-ahead)晶圓,以及減少或消除人工調整。因此,本揭示內容的各個態樣可以提高設備產率並降低成本。
圖1描繪了依據本揭示內容的態樣的說明性電腦系統架構100。電腦系統架構100可以包括客戶端設備120、預測伺服器112(其例如用來產生預測資料、提供模型調適、使用知識庫等)和資料儲存器140。預測伺服器112可以是預測系統110的一部分。預測系統110可以進一步包括伺服器機器170和180。在一些實施例中,電腦系統架構100可以被包括為用於處理基板或晶圓的製造系統的一部分。在這種實施例中,電腦系統架構100可以包括製造設備124、計量設備128和/或測試設備(未示出)。
製造設備124可以按照配方或在一段時間內執行行程來生產產品,例如電子設備。製造設備124可以包括製程腔室,例如就圖2所描述的工具/腔室220。製造設備124可以在製程腔室處對晶圓(例如,基板、半導體等)執行製程。晶圓製程的例子包括用來在晶圓的表面上沉積薄膜的沉積製程,用來在晶圓的表面上形成圖案的蝕刻製程,用來在沉積製程或蝕刻製程之前將晶圓加熱到目標溫度的晶圓加熱製程,用來在沉積製程和/或蝕刻製程之後將晶圓冷卻到目標溫度的晶圓冷卻製程等。製造設備124可以依據製程配方來執行每個製程。製程配方定義了要在製程期間對晶圓執行的特定操作集合,並且可以包括與每個操作相關聯的一個或多個設定。例如,晶圓加熱製程可以包括對設置在製程腔室內的晶圓的位置設定、製程腔室的溫度設定、製程腔室的壓力設定、製程腔室的壓力設定等。
在一些實施例中,製造設備124可以包括一個或多個感測器126,這些感測器被配置為,為製程腔室內或外的環境和/或設置在製程腔室內的晶圓產生製程感測器資料。感測器資料可以包括以下項目中的一者或多者的值:溫度(例如加熱器溫度)、間隔(SP)、壓力、高頻射頻(HFRF)、靜電卡盤(ESC)的電壓、電流、流量、功率、電壓等。感測器資料可以與製造參數相關聯或表明製造參數,例如硬體參數,例如製造設備124的設定或部件(例如尺寸、類型等),或製造設備124的製程參數。感測器資料可以在製造設備124正在執行製造製程時提供(例如處理產品時的設備讀數)。對於在製造設備124處處理的每個晶圓而言,感測器資料可以不同。
計量設備128可以提供與由製造設備124所處理的晶圓(例如晶圓等)相關聯的計量資料。在一些實施例中,計量資料可以包括在對晶圓執行沉積和/或蝕刻製程之前、期間或之後為該晶圓的表面上的薄膜產生的資料。例如,計量資料可以包括在完成晶圓製程之後為晶圓產生的薄膜性質資料(例如晶圓空間薄膜性質)、尺寸(例如厚度、高度等)、介電常數、摻雜物濃度、密度、缺陷等的值。在一些實施例中,計量資料可以進一步包括與晶圓未經受沉積和/或蝕刻製程的一部分相關聯的資料。例如,在用來蝕刻掉薄膜的一部分並產生目標晶圓表面圖案的蝕刻製程之前,可以在晶圓的頂表面上沉積薄膜。在啟動蝕刻製程之前,可以為晶圓啟動晶圓加熱製程,以將晶圓加熱到目標溫度。
客戶端設備120可以包括計算設備,例如個人電腦(PC)、膝上型電腦、行動電話、智慧型手機、平板電腦、隨身型易網機電腦、網路連接的電視(「智慧型TV」)、網路連接的媒體播放器(例如藍光播放器)、機上盒、過頂(OTT)串流設備、營運商盒子(operator box)等。在一些實施例中,電腦系統架構100可以從客戶端設備120接收與要在製造設備124處對晶圓執行的製程的製程配方相關聯的資料。例如,客戶端設備120可以顯示圖形使用者介面(GUI),其中GUI使使用者(例如工程師、操作員、開發人員等)能夠提供與要在製造設備124的製程腔室處對晶圓執行的晶圓加熱製程和/或晶圓冷卻製程的一個或多個製程配方設定相關聯的資料作為輸入。
資料儲存器140可以是記憶體(例如隨機存取記憶體)、驅動器(例如硬碟機、快閃碟)、資料庫系統,或能夠儲存資料的另一種類型的部件或設備。資料儲存器140可以包括可以跨越多個計算設備(例如多個伺服器電腦)的多個儲存部件(例如多個驅動器或多個資料庫)。在一些實施例中,資料儲存器140可以儲存感測器資料、計量資料、預測資料和/或上下文資料。感測器資料可以包括歷史感測器資料(例如由感測器126為在製造設備124處處理的先前晶圓所產生的感測器資料)和/或當前感測器資料(例如由感測器126為正在製造設備124處處理的當前晶圓所產生的感測器資料)。在一些實施例中,當前感測器資料可以是一種資料,預測資料是為該資料產生的。感測器資料可以包括但不限於表明製造設備124的一個或多個部件的溫度(例如製程腔室的蓋體和/或窗口的溫度,嵌入在製程腔室的晶圓支撐組件內的加熱元件的溫度等)的資料,表明在晶圓製程期間的晶圓的溫度的資料,表明製造設備124內的環境的一個或多個部分處的壓力(例如製程腔室的蓋體和/或窗口與晶圓的表面之間的環境的壓力,晶圓的表面與晶圓支撐組件的表面之間的環境的壓力等)的資料,表明在晶圓製程之前、期間和/或之後流動到製造設備124中的一種或多種氣體的濃度或流速的資料等。在一些實施例中,資料儲存器可以儲存計量資料。計量資料可以包括歷史計量資料(例如由計量設備128為在製造設備124處處理的先前晶圓所產生的計量資料)。
上下文資料指的是與晶圓和/或在製造設備124處執行的晶圓製程相關聯的資料。在一些實施例中,上下文資料可以包括與晶圓相關聯的資料(例如晶圓的識別碼、晶圓的類型等)。上下文資料可以附加性地或替代性地包括與用來處理晶圓的製造設備124的一個或多個部件相關聯的資料。例如,上下文資料可以包括製造設備124的該一個或多個部件的識別碼,與該一個或多個部件相關聯的一個或多個物理性質(例如該一個或多個部件的發射率、該一個或多個部件的分子量等),與製造設備124的操作員相關聯的識別碼,在製造設備124處執行的製程的類型等。
在附加性或替代性的實施例中,上下文資料可以包括與在製造設備124處對晶圓執行的製程配方相關聯的資料。例如,上下文資料可以包括製程配方的名稱的識別碼、製程配方的操作的操作編號,或製程配方的一個或多個操作的設定(在本文稱為製程配方設定)。製程配方設定可以包括晶圓或製造設備124的一個或多個部件的位置設定,例如設定在製程腔室內的晶圓相對於製程腔室的蓋體和/或窗口的位置的設定,晶圓相對於製程腔室的晶圓支撐組件的位置的設定,晶圓支撐組件相對於製程腔室的蓋體和/或窗口的位置的設定,晶圓支撐組件(其具有或不具有晶圓)朝向或遠離製程腔室的蓋體和/或窗口的移動速度的設定,晶圓朝向或遠離晶圓支撐組件的表面的移動速度的設定等。製程配方設定也可以包括製造設備124的一個或多個部件和/或設定在製造設備124內的晶圓的溫度和/或壓力設定。製程配方設定也可以包括晶圓製程的氣體流量設定,包括表明流動到製造設備124的製程腔室中的氣體的目標組成物和/或濃度的設定,表明流動到製程腔室中的氣體的流速的設定,表明流動到製程腔室中的氣體的溫度的設定等。
上下文資料可以包括歷史上下文資料(例如在製造設備124處對先前晶圓執行的先前晶圓製程的上下文資料)和/或當前上下文資料(例如在製造設備124處當前對當前晶圓執行或要對當前晶圓執行的晶圓製程的上下文資料)。依據本文所述的實施例,當前上下文資料可以是一種資料,預測資料是為該資料產生的。依據先前所述的實施例,歷史上下文資料和/或當前上下文資料可以經由客戶端設備120的GUI提供給系統100。
在一些實施例中,資料儲存器140可以被配置為儲存製造系統的使用者不可存取的資料。例如,晶圓支撐組件的測試資料、上下文資料等是製造系統和/或測試系統的使用者不可存取的。在一些實施例中,儲存在資料儲存器140處的所有資料都可以是系統的使用者不可存取的。在其他或類似的實施例中,儲存在資料儲存器140處的資料的一部分可以是使用者不可存取的,而儲存在資料儲存器140處的資料的另一個部分可以是使用者可存取的。在一些實施例中,儲存在資料儲存器140處的資料的一個或多個部分可以使用使用者未知的加密機制來加密(例如資料是使用私用加密金鑰來加密的)。在其他或類似的實施例中,資料儲存器140可以包括多個資料儲存器,其中使用者不可存取的資料儲存在一個或多個第一資料儲存器中,而使用者可存取的資料儲存在一個或多個第二資料儲存器中。
在一些實施例中,預測系統110可以包括伺服器機器170和/或伺服器機器180。伺服器機器170包括訓練集產生器172,它能夠產生訓練資料集(例如資料輸入集合和目標輸出集合)以訓練、驗證和/或測試機器學習模型190(例如特徵模型)。例如,依據本文所提供的實施例,訓練集產生器172可以產生訓練集,以訓練、驗證和/或測試機器學習模型190為要在製造設備124處對晶圓執行的製程預測製程配方設定。
在一些實施例中,訓練集產生器172可以基於與在製造設備124處處理的一個或多個先前晶圓相關聯的歷史感測器資料、計量資料和/或上下文資料來為機器學習模型190產生訓練集。在附加性或替代性的實施例中,訓練集產生器172可以基於預測或模擬的感測器資料、計量資料和/或上下文資料來為機器學習模型190產生訓練集,這些資料是由製造設備124的數位複製品模擬(例如數位雙胞胎(twin))所產生的。在一些實施例中,數位複製品模型(在本文也稱為數位複製品)可以是模擬製造設備124的演算模型。
在一些實施例中,數位表示伺服器160可以是製造設備124的數位複製品。數位表示伺服器160可以使用監督式機器學習、半監督式學習、非監督式機器學習,或上述項目的任何組合,來產生實體元件的虛擬表示和/或製造設備124如何操作的動態。數位表示伺服器160可以經由加強學習(reinforcement learning)使用來自感測器126的定期更新和/或與產生和維持製造設備124的數位複製品資料相關聯的資料(例如感測器資料、效能資料(例如與製造設備124的一個或多個部件的效率、延遲、產量等相關聯的資料)、庫資料(library data)等)來更新。在一些實施例中,數位表示伺服器160可以包括處理腔室模型162,它與製造設備124的製程腔室的實體元件或動態相關聯。
數位表示伺服器160可以產生模擬資料,用來決定製造設備124將如何基於當前或模擬的參數來執行。在一些實施例中,模擬資料可以儲存在資料儲存器140處。在一些實施例中,模擬資料可以包括與製程腔室處的晶圓的晶圓製程(例如晶圓溫度控制製程)相關聯的一個或多個製程配方設定。模擬資料也可以包括製造設備124的數位複製品的(例如要生產的產品或已經使用資料儲存器140處的當前感測器資料來生產的產品的)預測性質資料和/或預測計量資料(例如虛擬計量資料)。模擬資料也可以包括對異常(例如異常的產品、異常的部件、異常的製造設備124、異常的能源使用量等)和異常的一個或多個原因的指示。模擬資料可以進一步包括對製造設備124的部件的壽命結束的指示。模擬資料可以包羅萬象,涵蓋製造設備124的每一個機械和/或電氣態樣。
如上所述,訓練集產生器172可以基於從數位表示伺服器160獲得的預測或模擬資料來為模型190產生訓練資料。例如,訓練集產生器172可以產生一個或多個製程配方設定集合,並且向數位表示伺服器160提供製程配方設定集合,以使用製程腔室模型162來模擬製造設備124的製程腔室處的製程。在一些實施例中,由製程腔室模型162所輸出的資料可以包括製程腔室環境的第一空間與製程腔室環境的第二空間之間的壓力差。製程腔室環境的第一空間可以包括晶圓的頂表面與製程腔室的頂壁(例如蓋體、窗口等)之間的空間。製程腔室環境的第二空間可以包括晶圓的底表面與晶圓支撐組件的頂表面之間的空間,該晶圓支撐組件在模擬的晶圓製程期間支撐晶圓。在附加性或替代性的實施例中,由製程腔室模型162所輸出的資料可以包括與晶圓製程的初始時期與晶圓製程的最終時期之間的晶圓溫度變化速度(稱為升溫速度(ramping rate))相關聯的資料。在一些實施例中,訓練集產生器172可以將訓練資料(例如實體製程的資料和/或模擬資料)分割成訓練集、驗證集和測試集。在一些實施例中,預測系統110產生多個訓練資料集合。
伺服器機器180可以包括訓練引擎182、驗證引擎184、選擇引擎186及/或測試引擎188。引擎可以指硬體(例如電路系統、專用邏輯、可程式化邏輯、微代碼、處理設備等)、軟體(例如運行於處理設備、通用電腦系統或專用機器上的指令)、韌體、微代碼,或上述項目的組合。訓練引擎182可以能夠訓練機器學習模型190。機器學習模型190可以指由訓練引擎182使用訓練資料所產生的模型工件,該訓練資料包括資料輸入和對應的目標輸出(相應的訓練輸入的正確答案)。訓練引擎182可以尋找訓練資料中的將訓練輸入映射到目標輸出(要預測的答案)的模式,並且提供捕捉這些模式的機器學習模型190。機器學習模型190可以使用支援向量機(SVM)、放射狀基底函數(RBF)、聚類、監督式機器學習、半監督式機器學習、非監督式機器學習、k最近鄰域演算法(k-NN)、線性迴歸、隨機森林、神經網路(例如人工神經網路)等中的一者或多者。
驗證引擎184可以能夠使用來自訓練集產生器172的驗證集的對應的特徵集合來驗證經訓練的機器學習模型190。驗證引擎184可以基於驗證集的對應的特徵集合來決定經訓練的機器學習模型190中的每一者的準確度。驗證引擎184可以丟棄準確度不滿足閾值準確度的經訓練的機器學習模型190。在一些實施例中,選擇引擎186可以能夠選擇準確度滿足閾值準確度的經訓練的機器學習模型190。在一些實施例中,選擇引擎186可以能夠選擇具有經訓練的機器學習模型190中最高的準確度的經訓練的機器學習模型190。
測試引擎188能夠使用來自訓練集產生器172的測試集的對應的特徵集合來測試經訓練的機器學習模型190。例如,使用訓練集的第一特徵集合來訓練的第一經訓練的機器學習模型190可以使用訓練集的第一特徵集合來測試。測試引擎188可以基於測試集來決定具有所有經訓練的機器學習模型中最高的準確度的經訓練的機器學習模型190。
預測伺服器112包括預測部件114,它能夠提供與要在製造設備124處處理的當前晶圓的空間輪廓對應的一個或多個製程配方設定。如下面詳細描述的,在一些實施例中,預測部件114能夠提供與要對晶圓執行的製程的製程配方相關聯的資料作為對模型190的輸入,並獲得模型190的一個或多個輸出。在一些實施例中,與製程配方相關聯的資料可以包括對要對製程配方執行的一個或多個操作的指示,以及晶圓製程的最終時期的晶圓的目標溫度。在一些實施例中,製程配方資料可以包括要在晶圓製程期間應用的一個或多個目標晶圓製程設定。預測伺服器112可以基於模型190的該一個或多個輸出來提供與該一個或多個操作和/或晶圓的目標溫度對應的製程配方設定集合。響應於決定製程配方設定集合滿足置信度位準準則,預測伺服器112可以導致依據所決定的製程配方設定,在製程腔室處對晶圓執行晶圓製程。
在一些實施例中,預測伺服器112可以向客戶端設備120傳輸對該一個或多個製程配方設定的指示,作為對該一個或多個目標晶圓製程配方設定的建議修改。客戶端設備120可以經由客戶端設備120的GUI顯示對目標晶圓製程配方設定的建議修改。系統100的使用者(例如操作員、工程師、開發人員等)可以與客戶端設備120的GUI的一個或多個元件互動,以導致依據根據模型190的輸出所獲得的該一個或多個製程配方設定對晶圓啟動或不啟動晶圓製程。
客戶端設備120、製造設備124、資料儲存器140、數位表示伺服器160、預測伺服器112、伺服器機器170和伺服器機器180可以經由網路130彼此耦合。在一些實施例中,網路130是公用網路,它向客戶端設備120提供對預測伺服器112、資料儲存器140和其他可公用的計算設備的存取。在一些實施例中,網路130是私用網路,它向客戶端設備120提供對製造設備124、資料儲存器140、數位表示伺服器160、預測伺服器112和其他可私用的計算設備的存取。網路130可以包括一個或多個廣域網路(WAN)、區域網路(LAN)、有線網路(例如乙太網路)、無線網路(例如802.11網路或Wi-Fi網路)、蜂巢式網路(例如長期演進(LTE)網路)、路由器、集線器、交換器、伺服器電腦、雲端計算網路和/或上述項目的組合。
應注意,在一些其他的實施方式中,數位表示伺服器160、伺服器機器170和180以及預測伺服器112的功能可以由更少數量的機器來提供。例如,在一些實施例中,數位表示伺服器160、伺服器機器170和/或伺服器機器180可以整合到單個機器中,而在一些其他或類似的實施例中,數位表示伺服器160、伺服器機器170和/或伺服器機器180以及預測伺服器112可以整合到單個機器中。
一般而言,在一個實施方式中被描述為由數位表示伺服器160、伺服器機器170、伺服器機器180和/或預測伺服器112所執行的功能也可以在客戶端設備120上執行。此外,歸因於特定部件的功能性還可以由一起操作的不同部件或多個部件所執行。
在實施例中,「使用者」可以被表示為單個個人。然而,本揭示內容的其他實施例包含是由複數個使用者和/或自動來源所控制的實體的「使用者」。例如,聯合作為管理員群組的個人使用者集合可以被視為「使用者」。
圖2是依據本揭示內容的態樣,用於使用特徵模型(例如機器學習特徵模型)實施製程配方創建和匹配的系統200的圖。如圖所示,系統200包括未處理的基板或晶圓210,該基板或晶圓被工具/腔室220接收,以生產經處理的晶圓230。更具體地說,工具/腔室220可以利用製程配方(「配方」)集合,以從未處理的晶圓210生產經處理的晶圓230。雖然顯示的是晶圓,但任何合適的部件都可以依據本文所述的實施例進行處理。
系統200包括配方創建部件240。配方創建部件240根據期望的晶圓上特性集合(也稱為目標或目標性質的集合)對配方參數集合的預期製程行為進行建模,並基於目標集合產生具有配方設定的配方242。配方創建部件240可以藉由創建特徵模型(例如圖1的機器學習模型190),對預期的製程行為進行建模。配方設定可以包括配方參數集合和製程集合。例如,配方設定可以包括用於實現目標集合的一個或多個相關的配方參數。配方242可以由工具/腔室220所實施,以根據配方242執行對晶圓210的處理。因此,目標可以轉換成配方242,以使用工具/腔室220處理未處理的晶圓210來獲得經處理的晶圓230。下面將參考圖3描述關於配方創建部件240的進一步細節。
圖3是依據本揭示內容的態樣,用於實施製程配方創建的系統300的圖。如圖所示,目標性質集合310和特徵模型集合320由數值最佳化器部件330所接收。目標性質集合310可以從使用者接收作為輸入。目標性質集合310可以包括多個特徵和每個特徵的相應目標,其中每個目標與其對應特徵的預期和期望的值或值範圍對應。目標的例子包括「平均」、「小於」、「大於」、「儘可能低」、「分佈目標(profile goal)」等。分佈目標允許使用者指定整個晶圓上的分佈。在這個說明性例子中,目標性質集合310指定了對應目標為「平均」並且預期或期望的值為1000埃(Å)的厚度特徵(即厚度的目標為1000 Å的平均厚度),對應目標為「大於」並且值為2.03歐姆-米的電阻率特徵(即電阻率的目標為大於2.03歐姆-米的電阻率),以及對應目標為「儘可能低」的應力特徵(即儘可能接近零)。
所示的特徵模型集合320包括一些特徵模型。在一些實施例中,特徵模型集合320包括迴歸模型集合。例如,特徵模型可以包括MIMO模型(例如,空間MIMO模型)。每個單獨的特徵模型都針對一個特定的特徵。例如,系統300中顯示的特徵模型包括厚度特徵模型322、電阻率特徵模型324和應力特徵模型326。在一些例子中,較小的輸入子集或「相關輸入集合」可以被識別為影響晶圓上特性的主要因素,其他操作/參數是為特定動作做準備的預處理或後處理操作。因此,可以基於相關輸入集合為每個特徵創建特徵模型。特徵模型320的輸入可以包括製造參數(例如,製程參數、硬體參數)。特徵模型的輸出可以是計量資料或效能資料。例如,特徵模型的輸入可能是溫度、壓力和流速,而特徵模型的輸出可能是厚度。每個特徵模型320可以輸出對應的特徵(例如,計量資料的類型、效能資料的類型,等等)。正如本文將進一步詳細描述的那樣,為相應的特徵使用個別化的特徵模型可以使人們能夠對實現期望的特徵有更大的控制。
在一些實施例中,使用實驗設計(DoE)技術來產生特徵模型集合320。DoE技術可以用於偵測根據配方參數的改變的晶圓敏感度。DoE是對任何存在變化的資訊收集活動的設計。DoE分析是對從DoE的執行所產生的資料(即DoE資料)的分析。在一些實施方式中,DOE資料包括配方參數、配方參數值和測量(例如晶圓測量)。例如,對於可以變化五個配方參數的DoE分析,DoE可以藉由運行多個實驗來執行,其中五個配方參數中的每一者依據每個實驗的預定值來變化。然後,來自每個實驗的晶圓可以在各種位置處進行測量,並與它們對應的配方參數相關聯。敏感度值可以藉由將配方參數的變化與來自每個實驗的來自每個測量位置的測量變化進行比較來計算。然後,敏感度值通常被平均化,以決定晶圓對特定配方參數的平均敏感度。敏感度可以對應於整個晶圓上的平均徑向敏感度值來計算。
正如下文將進一步詳細描述的那樣,特徵模型集合320中的每個特徵模型可以用於基於目標性質集合310藉由捕捉其對應特徵之間的空間關係來產生配方。為了做到這一點,可以將特徵模型集合320提供給數值最佳化器部件330。數值最佳化器部件330執行數值搜尋和最佳化常式,以根據特徵模型集合320和目標性質集合310產生輸出。在一些實施方式中,數值最佳化器部件330的輸出可以包括至少一個預先填充的配方340或可以用於產生它。預先填充的配方340具有配方設定,包括作為列的輸入集合和作為行的製程集合。配方340中的每個條目(例如x 1到x 6)表示每個製程處需要的輸入條目。
在一些實施例中,該輸出包括至少一個配方參數集合。每個配方參數集合可以與每個特徵的期望的值(由目標性質集合310所表明)和每個特徵的預測的值配對。在一些實施例中,預測值是平均值。每個配方參數集合可以根據每個配方參數集合實現目標性質集合310的程度來進行排序或排名。在這個說明性的例子中,如上所述,一個目標是期望的厚度平均值是1000埃(Å),使得根據由特徵模型指定的每個約束條件,找到一個配方參數組合,使預測的厚度平均值儘可能接近1000埃會是最佳的。另一個目標是期望的電阻率大於2.03,使得根據特徵模型所指定的每個約束條件,找到一個配方參數組合,使預測的電阻率大於2.03會是最佳的。由數值最佳化器部件330所輸出的配方參數集合的數量可以是預設數量和/或可以由使用者定制。例如,輸出可以包括前10的配方參數集合、前25的配方參數集合、單個配方參數集合等。
理想情況下,配方參數集合將同時滿足目標性質集合310中的每個目標性質。然而,可能的情況是,數值最佳化器部件330無法產生同時滿足目標性質集合310中的每個目標性質的配方解。例如,數值最佳化器部件330可能找到被預測滿足至少一個特徵(至少一個滿足的特徵)的目標的配方參數集合,但以至少一個其他特徵(至少一個未滿足的特徵)的目標為代價。在這種情況下,由數值最佳化器部件330所產生的輸出可以是柏拉圖邊界(frontier)或前邊(front),包括至少一個柏拉圖高效配方參數集合。一般來說,柏拉圖前邊是柏拉圖高效解集合,其中沒有任何目標可以在不犧牲至少一個其他目標的情況下得到改善。也就是說,柏拉圖前邊包括非主導的解。附加性地或替代性地,輸出可以包括實現例如未滿足的特徵的目標的解,並且可以觀察到與滿足的特徵有關的估計取捨。這在確保被決定為數值最佳化器部件330未滿足的特徵可以被配方滿足可能很重要的情況下,可能特別有用。
數值最佳化器部件330藉由反轉特徵模型並在高維輸入和輸出空間中執行搜尋來操作。例如,假設每個特徵模型是空間MIMO模型,其形式為
Figure 02_image009
。為了反轉特徵模型,
Figure 02_image003
可以按以下方式求解:
Figure 02_image011
。在許多情況下,函數
Figure 02_image013
可能很複雜,不容易反轉。為了解決這個問題,數值最佳化器部件330可以實施搜尋常式來偽反轉
Figure 02_image013
。例如,數值最佳化器部件330可以搜尋最小化
Figure 02_image013
與期望的
Figure 02_image007
之間的誤差的
Figure 02_image003
。此外,
Figure 02_image015
的梯度可能是未知的或者難以估計。這意味著基於梯度的搜尋常式可能不是最佳的,並且在一些實施方式中,數值最佳化器部件330可以實施無梯度搜尋。此外,尋找多個解或局部最小值可能是有利的,因為一些解可能比其他解更可取。可以由數值最佳化器部件330使用的搜尋常式的例子包括基於群集(swarm)的搜尋常式和/或基於遺傳的搜尋常式。因此,數值最佳化器可以藉由滿足多個準則的連續空間進行搜尋,而不是藉由離散空間搜尋並試圖手動平衡多個期望的特性。關於數值最佳化器部件330的操作的進一步細節將在下面參考圖4和圖5來描述。
圖4是依據本揭示內容的態樣,用於對單個特徵模型執行數值最佳化的系統400的圖。如圖所示,系統400包括特徵模型集合410和目標性質集合420,該特徵模型集合包括一個或多個特徵模型,每個特徵模型與一個特徵對應,該目標性質集合包括與該等特徵中相應的特徵對應的一個或多個目標(例如期望的特性)。每個目標都是或將是與成本函數相關聯的。特徵模型集合410和目標性質集合420由數值最佳化器430所接收,以產生輸出440,例如上面參考圖3的描述。
如圖所示,數值最佳化器430包括成本函數部件432。成本函數部件432為每個特徵模型和目標性質創建成本函數,並選擇最佳化演算法來最小化與特徵模型和目標性質有關的成本。成本函數部件432的成本函數的例子包括平均值、最小西格馬(sigma)、最小範圍等。
例如,成本 C可以由方程式 C=
Figure 02_image017
所定義,其中 D是特徵的可取性(僅適用於有多個特徵的情況),
Figure 02_image019
是捕捉期望的值與特徵模型對輸入的預測值之間的差異的特徵模型的函數, err是預測中的估計誤差或置信度,
Figure 02_image021
是誤差懲罰。誤差懲罰有助於在存在超過一個解的情況下,迫使最佳化偏向於高置信度的解,而不是低置信度的解。
可以創建定制的成本函數來改善最佳化過程。例如,用於計算平均成本 C 平均 的平均成本函數可以由
Figure 02_image023
所定義,其中rMSE是均方根誤差,
Figure 02_image025
Figure 02_image013
的目標輸出,用於計算最小西格馬(sigma)成本 C 西格馬 的最小西格馬成本函數可以被定義為
Figure 02_image027
,其中西格馬指的是標準差,用於計算小於成本 C 的小於成本函數可以由以下方程式所定義:
Figure 02_image029
Figure 02_image031
Figure 02_image033
也就是說,如果
Figure 02_image035
,那麼 m= 0並且 n=
Figure 02_image037
,否則 m=
Figure 02_image039
並且 n= 0。或者,
Figure 02_image041
可以被替換為例如
Figure 02_image043
。藉由這樣做,所有的輸出目標都被強迫小於
Figure 02_image045
的最大輸出。 m項控制整個晶圓上的平均值, n項控制整個晶圓上的西格馬(即變異性)。
如果我們知道所有期望目標的解有可能存在於解空間中,那麼可以藉由在求和部件434處對每個單獨的成本函數的輸出進行求和來合併成本函數的輸出。然後,這個新的成本函數可以使用最佳化部件436來最小化以實施一個或多個最佳化常式。可以用於最小化新成本函數的方法例子包括粒子群集、Nelder-Mead、遺傳搜尋等。
然而,如果我們知道我們不能同時實現目標性質集合420中的每個目標性質,那麼成本函數可以保持為單獨的成本函數,並且可以由最佳化部件438使用遺傳搜尋演算法家族。例如,遺傳搜尋演算法家族可以是產生輸出442的多目標進化演算法(MoEA)。輸出可以包括目標性質集合420的柏拉圖前邊。
舉例來說,特徵模型集合410可以包括迴歸模型。線性迴歸可以用來從實驗資料尋找使預測輸出與實際輸出之間的誤差最小化的值(
Figure 02_image047
值)。每個迴歸模型可以在測量位置處創建(例如,對於49點計量,將有49個迴歸模型)。這些
Figure 02_image047
值可以被看作是指定每個測量位置處的敏感度的敏感度參數。為了找到晶圓上目標的配方條件,可以使用最佳化常式來找到使預期效能的輸出與觀察效能的輸出之間的誤差最小化的配方輸入(例如,溫度、功率)。然後,可以基於迴歸模型執行最佳化,以藉由以下方式找到晶圓上目標的配方條件:(1)採用成本函數來定義任何輸入的預測值與期望的值之間的差異,以及(2)使用最佳化常式來找到使成本函數最小化的輸入條件,從而找到配方設定以找到期望的晶圓上目標。
回到圖2,系統200可以進一步包括配方匹配部件250。匹配部件250接收來自配方創建部件240的配方模型,以及來自工具/腔室220的製程反饋,並藉由執行匹配來產生配方偏移(「偏移」)集合252。例如,製程反饋可以包括由工具/腔室220執行的處理的當前或預測的效能行為。在這個說明性的例子中,當前或預測的效能行為是當前或預測的晶圓效能行為。可以藉由以下方式執行匹配來產生偏移252:從配方242中所定義的輸入搜尋偏移,這些偏移將使當前或預測的效能行為與對應於配方242的期望或預期的效能行為相匹配。也就是說,偏移254改正了由於當前或預測的效能行為與預期或期望的效能行為不匹配而導致的效能行為(例如,晶圓上效能)的任何變化或偏移。在替代實施方式中,偏移252可以是用於產生配方(例如使用配方創建部件240來產生)的新的配方設定集合。
在一些實施例中,可以藉由任何合適的計量技術來測量當前或預測的效能。計量技術的例子包括機載計量、聯機計量和虛擬計量。
機載計量可以指在裸晶(die)內的設備本身上執行的測量或在具有與這些設備類似特徵的測試結構上執行的測量。取決於所使用的測量技術,測試結構可以包括但不限於與位於晶圓上的邏輯設備或記憶體設備類似的結構。機載計量可以基於光學測量(例如,從設備或測試結構原地收集光學發射光譜,或使用光學目標進行宏觀二維繪圖)或其他類型的測量。這些光學或其他測量可以是在腔室內部(原位),或在腔室外部(異位),但仍在真空下,或者,在可能有多個腔室的製程平台上的工廠介面處(不一定在真空下)。
聯機計量可以指可以在處理腔室外進行的測量,但不必將晶圓從生產線上取下。聯機計量的例子是掃描電子顯微鏡(SEM),其高級版本可以提供高精度和廣泛的模態。先進的SEM除了二次發射偵測器外,還可以包括背散射電子(BSE)感測器,並且能夠在各種傾斜角度和各種著陸能量下測量電子發射,範圍從幾百電子伏特到幾萬電子伏特。SEM有能力以非破壞性的方式創建廣泛的計量資料資料庫。定制有電子束(「e-beam」)模擬、資料收集、影像表徵和特徵抽取以及統計分析的基於SEM的聯機計量可以稱為「定制計量」。先進的SEM工具可以用作高精度、非破壞性的三維特徵位凖剖析的基礎,該剖析是定制計量的核心。
虛擬計量可以指在不直接測量晶圓的情況下,基於腔室中或腔室外的各種感測器所截取的感測器資料來決定的晶圓的預測測量(例如,尺寸)。VM可以包括各種製程變數(如壓力、溫度、射頻功率、電流、電壓、流量控制位置等)的時間跡線。
在一些實施方式中,當前或預測的效能可以根據基於MIMO感測器的模型估計出來。下面將參考圖5描述有關基於MIMO感測器的模型的進一步細節。
圖5是依據本揭示內容的態樣,用於使用特徵模型(例如機器學習的特徵模型)來實施製程配方創建和匹配的包括感測器模型的系統500的圖。如圖所示,系統500包括資料儲存器510。資料儲存器510儲存實時的感測器資料(例如,感測器反饋資料)。系統500進一步包括實施感測器模型的感測器模型部件520。感測器模型是MIMO模型(例如,迴歸模型),它使用來自資料儲存器510的感測器資料來產生預測的效能行為(例如,晶圓上效能行為),供匹配部件530使用,如上文參考圖2的描述。
感測器模型在數學上與特徵模型相似,但是使用了不同的輸入。例如,配方設定集合可以用作特徵模型的輸入,而從工具/腔室獲得的感測器反饋資料集合可以用作感測器模型的輸入。可以包括在感測器反饋資料集合中的資料例子包括壓力讀數、閥門位置、加熱器功率等。也就是說,感測器模型可以被看作是虛擬計量的一種實施方式。感測器模型可以用於表明當前行為,而配方模型可以用於表明預期行為。匹配部件530計算當前行為(其例如由感測器模型表明)與預期行為之間的偏移,以計算出偏移。
圖6是依據本揭示內容的態樣,用於使用特徵模型(例如機器學習特徵模型)實施製程配方創建的方法600的流程圖。方法600是由處理邏輯所執行的,該處理邏輯可以包括硬體(電路系統、專用邏輯等)、軟體(其例如運行於通用電腦系統或專用機器上)、韌體或上述項目的某種組合。在一個實施方式中,方法600可以由電腦系統(例如圖1的電腦系統架構100)所執行。在其他或類似的實施方式中,方法600的一個或多個操作可以由圖式中未描繪的一個或多個其他機器所執行。
為便於解釋,這些方法被描繪和描述成一系列的動作。然而,依據本揭示內容的動作可以以各種順序和/或並行地發生,並且與本文未提出和描述的其他動作一起發生。此外,不執行所有示出的動作也能實施依據所揭露的標的的方法。此外,本領域中的技術人員將瞭解並理解,這些方法可以替代性地經由狀態圖或事件被表示為一系列的相互關聯的狀態。此外,應理解,本說明書中所揭露的方法能夠被儲存在製造品上,以促進將這種方法運輸和轉移到計算設備。本文所使用的術語製造品旨在包含從任何電腦可讀取設備或儲存媒體可存取的電腦程式。
在方塊610處,處理邏輯接收特徵模型集合。例如,特徵模型集合可以包括機器學習特徵模型(例如圖1的機器學習模型190)。特徵模型集合包括一些特徵模型,每個特徵模型與一個跟部件的處理相關聯的特徵對應。特徵的例子包括厚度、電阻率、應力等。例如,部件可以是晶圓或基板。在一些實施方式中,每個特徵模型是迴歸模型。例如,每個特徵模型可以是MIMO模型(例如,空間MIMO模型)。在一些實施例中,特徵模型可以有製造參數(例如,製程參數、硬體參數)的輸入,如溫度、壓力、流速等。特徵模型可以有輸出(例如,計量資料、效能資料),如厚度、電阻率、應力等。每個特徵模型可以有與特定的特徵(例如,計量資料的類型、效能資料的類型)對應的不同輸出。在一些實施例中,目標計量資料或目標效能資料可以提供給特徵模型(例如,反轉的特徵模型),並且從特徵模型接收預測的製造參數(其例如要用於獲得目標計量資料或目標效能資料)。在一些實施例中,製造參數被提供給特徵模型,並從特徵模型接收預測的計量資料或預測的效能資料。
在方塊620處,處理邏輯接收目標性質集合。例如,目標性質集合可以包括目標計量資料或目標效能資料。目標性質集合可以包括一些特徵和與這些特徵中的相應特徵對應的一些目標。例如,厚度特徵可以有「等於」的目標和「1000埃」的值,使得厚度特徵的目標是等於1000埃的平均值的厚度。作為另一個例子,電阻率特徵可以有「大於」的目標和「2.03」的值,使得電阻率特徵的目標是大於2.03的電阻率。
在方塊630處,處理邏輯基於特徵模型集合,根據目標性質集合決定一個或多個預測處理參數集合,並且在方塊640處,處理邏輯產生用於處理部件的一個或多個候選製程配方,每個候選製程配方與該一個或多個預測處理參數集合中相應的一者對應。在一些實施方式中,部件是晶圓。每個預測處理參數集合包括與在部件處理的期間執行的操作相關的一些參數(例如溫度、壓力)。方塊630和640可以作為單獨的操作或同時的操作來執行。
產生該一個或多個候選製程配方可以包括以下步驟:使用數值最佳化來最小化目標性質與對應的預測性質之間的差異。例如,產生該一個或多個候選製程配方可以包括以下步驟:基於特徵模型集合和目標性質集合來獲得至少一個成本函數,並且藉由最小化該至少一個成本函數來獲得候選製程配方集合。例如,候選製程配方集合可以包括多個候選製程配方,這些候選製程配方基於它們滿足目標性質集合的程度來排名,並且製程配方可以由使用者選擇為具有最高排名的製程配方。在一些情況下,不存在滿足目標性質集合中的每個條件的候選製程配方。在這種情況下,可以產生候選製程配方的柏拉圖前邊並顯示在GUI中,並且使用者可以經由GUI根據特性偏好來選擇製程配方。上文參考圖4描述了關於數值最佳化的進一步細節。
在方塊650處,處理邏輯從該一個或多個候選製程配方選擇製程配方。選擇製程配方可以包括以下步驟:經由列出候選製程配方集合的圖形使用者介面(GUI)從使用者接收對製程配方的選擇。
在方塊660處,處理邏輯使製程工具使用製程配方來處理部件。上文參照圖2-4描述了關於方塊610-640的進一步細節。
圖7是用於使用特徵模型(例如機器學習特徵模型)來實施製程配方匹配以產生偏移的方法700的流程圖。方法700是由處理邏輯所執行的,該處理邏輯可以包括硬體(電路系統、專用邏輯等)、軟體(其例如運行於通用電腦系統或專用機器上)、韌體或上述項目的某種組合。在一個實施方式中,方法700可以由電腦系統(例如圖1的電腦系統架構100)所執行。在其他或類似的實施方式中,方法700的一個或多個操作可以由圖式中未描繪的一個或多個其他機器所執行。
為便於解釋,這些方法被描繪和描述成一系列的動作。然而,依據本揭示內容的動作可以以各種順序和/或並行地發生,並且與本文未提出和描述的其他動作一起發生。此外,不執行所有示出的動作也能實施依據所揭露的標的的方法。此外,本領域中的技術人員將瞭解並理解,這些方法可以替代性地經由狀態圖或事件被表示為一系列的相互關聯的狀態。此外,應理解,本說明書中所揭露的方法能夠被儲存在製造品上,以促進將這種方法運輸和轉移到計算設備。本文所使用的術語製造品旨在包含從任何電腦可讀取設備或儲存媒體可存取的電腦程式。
在方塊710處,處理邏輯接收處理部件的預期效能,和使用製程配方來處理部件的觀察效能。預期效能與當前的配方設定集合的特徵模型輸出(例如機器學習特徵模型輸出)對應。在一些實施方式中,部件是晶圓或基板。觀察效能可以是使用製程配方的實際處理的當前效能(例如製程反饋)。
在方塊720處,處理邏輯決定預期效能與觀察效能之間的差異是否滿足閾值條件。在一些實施例中,可以決定預期效能與觀察效能之間的差異是否大於閾值差異。例如,閾值差異可以被選為一個差異,該差異「足夠接近」預期效能,以便不需要對與觀察效能對應的製程配方進行任何修改。任何合適的技術都可以用來決定預期效能與觀察效能之間的差異。在一些實施例中,可以使用迴歸技術。
如果滿足了閾值條件(例如,差值大於閾值差異),那麼輸入集合就不需要修改,過程結束。否則,在方塊730處,處理邏輯基於差異產生用於處理部件的新製程配方。產生新製程配方可以包括以下步驟:基於差異產生與新製程配方相關聯的輸出,並基於該輸出來產生配方。在一些實施例中,輸出包括新的製程配方。在一些實施例中,輸出包括用於改正效能差異的偏移集合。例如,偏移集合可以修改用於產生先前用於處理部件的製程配方的輸入集合,以試圖與預期效能匹配,從而產生用於產生新製程配方的經修改的輸入集合。
在方塊740處,處理邏輯使用新的製程配方獲得新的觀察效能。該過程可以重新回到方塊720,以決定預期效能與新的觀察效能之間的差異是否滿足閾值條件。
圖8描繪了依據本揭示內容的一個或多個態樣操作的說明性計算設備800的方塊圖。在替代性的實施例中,該機器可以在區域網路(LAN)、內部網路、外部網路或網際網路中與其他機器連接(例如聯網)。該機器可以以客戶端和伺服器網路環境中的伺服器或客戶端機器的身分操作,或用作同級間(或分佈式)網路環境中的同級機器。該機器可以是個人電腦(PC)、平板電腦、機上盒(STB)、個人數位助理(PDA)、蜂巢式電話、網頁用具(web appliance)、伺服器、網路路由器、交換器或橋接器,或能夠執行指令集(依序執行或以其他方式執行)的任何機器,該等指令指定要由該機器所採取的動作。進一步地,雖然僅示出單個機器,但也應將術語「機器」視為包括單獨地或共同地執行指令集(或多個指令集)以執行本文討論的方法學中的任一者或多者的任何機器(例如電腦)的集合。在實施例中,計算設備800可以與圖1的預測伺服器112或系統100的另一個處理設備對應。
示例計算設備800包括經由匯流排808彼此通訊的處理設備802、主記憶體804(例如唯讀記憶體(ROM)、快閃記憶體、諸如同步動態隨機存取記憶體(SDRAM)之類的動態隨機存取記憶體(DRAM)等)、靜態記憶體806(例如快閃記憶體、靜態隨機存取記憶體(SRAM)等)和輔助記憶體(例如資料儲存設備828)。
處理設備802可以代表諸如微處理器、中央處理單元等之類的一個或多個通用處理器。更詳細而言,處理設備802可以是複雜指令集計算(CISC)微處理器、精簡指令集計算(RISC)微處理器、超長指令字(VLIW)微處理器、實施其他指令集的處理器或實施指令集的組合的處理器。處理設備802也可以是諸如特定應用積體電路(ASIC)、現場可程式化邏輯閘陣列(FPGA)、數位訊號處理器(DSP)、網路處理器等之類的一個或多個特殊用途處理設備。處理設備802也可以是或包括系統單晶片(SoC)、可程式化邏輯控制器(PLC)或其他類型的處理設備。處理設備802被配置為執行處理邏輯以執行本文討論的操作。
計算設備800可以進一步包括用於與網路864通訊的網路介面設備822。計算設備800也可以包括視訊顯示單元810(例如液晶顯示器(LCD)或陰極射線管(CRT))、文數字輸入設備812(例如鍵盤)、游標控制設備814(例如滑鼠)和訊號產生設備820(例如揚聲器)。
資料儲存設備828可以包括機器可讀取儲存媒體(或更具體而言為非暫時性電腦可讀取儲存媒體)824,該機器可讀取儲存媒體上儲存有體現本文所述的方法學或功能中的任一者或多者的一個或多個指令826集。其中非暫時性儲存媒體指的是載波以外的儲存媒體。指令826也可以在由電腦設備800執行該等指令的期間完全地或至少部分地駐留在主記憶體804內及/或處理設備802內,主記憶體804和處理設備802也構成電腦可讀取儲存媒體。
電腦可讀取儲存媒體824也可以用來儲存模型190和用來訓練模型190的資料。電腦可讀取儲存媒體824也可以儲存包含呼叫模型190的方法的軟體庫。雖然在示例實施例中將電腦可讀取儲存媒體824示為單個媒體,但也應將術語「電腦可讀取儲存媒體」視為包括儲存該一個或多個指令集的單個媒體或多個媒體(例如集中式或分佈式資料庫及/或相關聯的快取記憶體和伺服器)。也應將用語「電腦可讀取儲存媒體」視為包括能夠對用於由機器執行並且使得機器執行本揭示內容的方法學中的任一者或多者的指令集進行儲存或編碼的任何媒體。因此,應將術語「電腦可讀取儲存媒體」視為包括(但不限於)固態記憶體以及光學和磁性媒體。
前述說明闡述了諸如特定的系統、部件、方法等的示例之類的許多具體細節,以便讓人們很好地瞭解本揭示內容的幾個實施例。然而,本領域的技術人員將理解,可以在沒有這些具體細節的情況下實行本揭示內容的至少一些實施例。在其他的情況下,不詳細描述眾所周知的部件或方法,或將該等部件或方法以簡單的方塊圖格式呈現,以避免不必要地使本揭示內容模糊。因此,所闡述的具體細節僅是示例性的。特定的實施方式可以不同於這些示例性的細節,並且仍然被認為是在本揭示內容的範圍之內。
整個本說明書內提到的「一個實施例」或「一實施例」意味著,與該實施例結合描述的特定特徵、結構或特性被包括在至少一個實施例中。因此,整個本說明書內的各種地方出現的語句「在一個實施例中」或「在實施例中」不一定都指相同的實施例。此外,術語「或」旨在意指包括性的「或」而不是排他性的「或」。在本文中使用術語「約」或「近似」時,此術語旨在意味著,所呈現的標稱值精確到± 10%內。
雖然本文中的方法的操作是以特定的順序示出和描述的,但也可以變更每個方法的操作順序,使得可以以相反的順序執行某些操作使得某些操作可以至少部分地與其他操作並行地執行。在另一個實施例中,相異操作的指令或子操作可以以間歇及/或交替的方式進行。
應理解,以上描述旨在是說明性的,而非限制性的。在閱讀和理解了以上描述之後,本領域的技術人員將發現許多其他的實施方式。因此,將參照隨附的請求項以及這樣的請求項所賦予的等效物的整個範圍來決定本揭示內容的範圍。
100:電腦系統架構 110:預測系統 112:預測伺服器 114:預測部件 120:客戶端設備 124:製造設備 126:感測器 128:計量設備 130:網路 140:資料儲存器 160:數位表示伺服器 162:處理腔室模型 170:伺服器機器 172:訓練集產生器 180:伺服器機器 182:訓練引擎 184:驗證引擎 186:選擇引擎 188:測試引擎 190:機器學習模型 200:系統 210:未處理的晶圓 220:工具/腔室 230:經處理的晶圓 240:配方創建部件 242:配方 250:配方匹配部件 252:偏移 300:系統 310:目標性質集合 320:特徵模型集合 322:厚度特徵模型 324:電阻率特徵模型 326:應力特徵模型 330:數值最佳化器部件 340:配方 400:系統 410:特徵模型集合 420:目標性質集合 430:數值最佳化器 432:成本函數部件 434:求和部件 436:最佳化部件 438:最佳化部件 440:輸出 442:輸出 500:系統 510:資料儲存器 520:感測器模型部件 530:匹配部件 600:方法 610:方塊 620:方塊 630:方塊 640:方塊 650:方塊 660:方塊 700:方法 710:方塊 720:方塊 730:方塊 740:方塊 800:計算設備 802:處理設備 804:主記憶體 806:靜態記憶體 808:匯流排 810:視訊顯示單元 812:文數字輸入設備 814:游標控制設備 820:訊號產生設備 822:網路介面設備 824:電腦可讀取儲存媒體 826:指令 828:資料儲存設備 864:網路
藉由示例的方式而非限制的方式在附圖的圖式中示出本揭示內容,在該等附圖中,類似的附圖標記表示類似的元素。應注意,在本揭示內容中對於「一」或「一個」實施例的不同指稱不一定指相同的實施例,並且這種指稱是指至少一個。
圖1描繪了依據本揭示內容的態樣的說明性電腦系統架構。
圖2是依據本揭示內容的態樣,用於使用特徵模型來實施製程配方創建和匹配的系統的方塊圖。
圖3是依據本揭示內容的態樣,用於實施製程配方創建的系統的方塊圖。
圖4是依據本揭示內容的態樣,用於執行數字最佳化技術以創建製程配方的系統的方塊圖。
圖5是依據本揭示內容的態樣,包括用於使用特徵模型來實施製程配方匹配的感測器模型的系統的方塊圖。
圖6是依據本揭示內容的態樣,用於使用特徵模型來實施製程配方創建的方法的流程圖。
圖7是依據本揭示內容的態樣,用於使用特徵模型來實施製程配方匹配以產生偏移的方法的流程圖。
圖8描繪了依據本揭示內容的一個或多個態樣操作的說明性計算設備的方塊圖。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
200:系統
210:未處理的晶圓
220:工具/腔室
230:經處理的晶圓
240:配方創建部件
242:配方
250:配方匹配部件
252:偏移

Claims (20)

  1. 一種方法,包括以下步驟: 由一處理設備,接收一特徵模型集合,該特徵模型集合中的每個特徵模型與跟一部件的處理相關聯的一相應的特徵對應; 由該處理設備,接收用於處理該部件的一目標性質集合,其中該目標性質集合為每個特徵包括一相應的目標; 由該處理設備基於該特徵模型集合,根據該目標性質集合決定一個或多個預測處理參數集合; 由該處理設備,產生一個或多個候選製程配方,每個候選製程配方與該一個或多個預測處理參數集合中一個相應的預測處理參數集合對應,其中該一個或多個候選製程配方各自與一預測性質集合對應,該預測性質集合為每個特徵包括由部件處理所導致的一相應的預測性質值;以及 由該處理設備,從該一個或多個候選製程配方選擇一製程配方,以處理該部件。
  2. 如請求項1所述的方法,其中該特徵模型集合是一空間多輸入多輸出(MIMO)模型集合。
  3. 如請求項1所述的方法,其中產生該一個或多個候選製程配方之步驟包括以下步驟:使用數值最佳化來最小化一目標性質與一對應的預測性質之間的一差異。
  4. 如請求項3所述的方法,其中產生該一個或多個候選製程配方之步驟包括以下步驟: 基於該特徵模型集合和該目標性質集合,獲得一成本函數;以及 最小化該成本函數,以獲得每個預測性質集合。
  5. 如請求項1所述的方法,進一步包括以下步驟: 由該處理設備,未能識別出滿足該目標性質集合中的每個目標性質的一候選製程配方; 其中該製程配方包括一柏拉圖高效配方參數集合。
  6. 如請求項1所述的方法,進一步包括以下步驟:由該處理設備,使一製程工具使用該製程配方來處理該部件。
  7. 如請求項1所述的方法,進一步包括以下步驟: 由該處理設備,接收使用該製程配方來處理該部件的一預期效能,以及使用該製程配方來處理該部件的一觀察效能; 由該處理設備,決定該預期效能與該觀察效能之間的一差異不滿足一閾值條件;以及 由該處理設備,基於該差異來產生一新的製程配方,以處理該部件。
  8. 一種系統,包括: 一記憶體;以及 一處理設備,與該記憶體耦合,該處理設備用來執行包括以下步驟的操作: 接收一特徵模型集合,該特徵模型集合中的每個特徵模型與跟一部件的處理相關聯的一相應的特徵對應; 由該處理設備,接收用於處理該部件的一目標性質集合,其中該目標性質集合為每個特徵包括一相應的目標; 根據該目標性質集合,決定一個或多個預測處理參數集合; 產生一個或多個候選製程配方,每個候選製程配方與該一個或多個預測處理參數集合中一個相應的預測處理參數集合對應,其中該一個或多個候選製程配方各自與一預測性質集合對應,該預測性質集合為每個特徵包括由部件處理所導致的一相應的預測性質值;以及 從該一個或多個候選製程配方選擇一製程配方,以處理該部件。
  9. 如請求項8所述的系統,其中該特徵模型集合是一空間多輸入多輸出(MIMO)模型集合。
  10. 如請求項8所述的系統,其中產生該組一個或多個候選製程配方包括以下步驟:使用數值最佳化來最小化一目標性質與一對應的預測性質之間的一差異。
  11. 如請求項10所述的系統,其中產生該組一個或多個候選製程配方包括以下步驟: 基於該特徵模型集合和該目標性質集合,獲得一成本函數;以及 最小化該成本函數,以獲得每個預測性質集合。
  12. 如請求項8所述的系統,其中該等操作進一步包括以下步驟: 未能識別出滿足該目標性質集合中的每個目標性質的一候選製程配方; 其中該製程配方包括一柏拉圖高效配方參數集合。
  13. 如請求項8所述的系統,其中該等操作進一步包括以下步驟:使一製程工具使用該製程配方來處理該部件。
  14. 如請求項13所述的系統,其中該等操作進一步包括以下步驟: 接收處理該部件的一預期效能,以及使用該製程配方來處理該部件的一觀察效能; 決定該預期效能與該觀察效能之間的一差異不滿足一閾值條件;以及 基於該差異來產生一新的製程配方,以處理該部件。
  15. 一種儲存指令的非暫時性機器可讀取儲存媒體,該等指令當由一處理設備執行時使該處理設備執行包括以下步驟的操作: 接收一特徵模型集合,該特徵模型集合中的每個特徵模型與跟一部件的處理相關聯的一相應的特徵對應; 由該處理設備,接收用於處理該部件的一目標性質集合,其中該目標性質集合為每個特徵包括一相應的目標; 根據該目標性質集合,決定一個或多個預測處理參數集合; 產生一個或多個候選製程配方,每個候選製程配方與該一個或多個預測處理參數集合中一個相應的預測處理參數集合對應,其中該一個或多個候選製程配方各自與一預測性質集合對應,該預測性質集合為每個特徵包括由部件處理所導致的一相應的預測性質值;以及 從該一個或多個候選製程配方選擇一製程配方,以處理該部件。
  16. 如請求項15所述的非暫時性機器可讀取儲存媒體,其中該特徵模型集合是一空間多輸入多輸出(MIMO)模型集合。
  17. 如請求項15所述的非暫時性機器可讀取儲存媒體,其中產生該一個或多個候選製程配方包括以下步驟:藉由以下步驟,使用數值最佳化來最小化一目標性質與一對應的預測性質之間的一差異: 基於該特徵模型集合和該目標性質集合,獲得一成本函數;以及 最小化該成本函數,以獲得每個預測性質集合。
  18. 如請求項15所述的非暫時性機器可讀取儲存媒體,其中該等操作進一步包括以下步驟: 未能識別出滿足該目標性質集合中的每個目標性質的一候選製程配方; 其中該製程配方包括一柏拉圖高效配方參數集合。
  19. 如請求項15所述的非暫時性機器可讀取儲存媒體,其中該等操作進一步包括以下步驟:使一製程工具使用該製程配方來處理該部件。
  20. 如請求項15所述的非暫時性機器可讀取儲存媒體,其中該等操作進一步包括以下步驟: 接收處理該部件的一預期效能,以及使用該製程配方來處理該部件的一觀察效能; 決定該預期效能與該觀察效能之間的一差異不滿足一閾值條件;以及 基於該差異來產生一新的製程配方,以處理該部件。
TW111134492A 2021-09-13 2022-09-13 使用特徵模型來進行製程配方創建和匹配 TW202314564A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/473,013 US11860591B2 (en) 2021-09-13 2021-09-13 Process recipe creation and matching using feature models
US17/473,013 2021-09-13

Publications (1)

Publication Number Publication Date
TW202314564A true TW202314564A (zh) 2023-04-01

Family

ID=85506812

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111134492A TW202314564A (zh) 2021-09-13 2022-09-13 使用特徵模型來進行製程配方創建和匹配

Country Status (5)

Country Link
US (2) US11860591B2 (zh)
KR (1) KR20240067834A (zh)
CN (1) CN117321522A (zh)
TW (1) TW202314564A (zh)
WO (1) WO2023039203A1 (zh)

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6615098B1 (en) 2001-02-21 2003-09-02 Advanced Micro Devices, Inc. Method and apparatus for controlling a tool using a baseline control script
US6970857B2 (en) * 2002-09-05 2005-11-29 Ibex Process Technology, Inc. Intelligent control for process optimization and parts maintenance
US7292906B2 (en) * 2004-07-14 2007-11-06 Tokyo Electron Limited Formula-based run-to-run control
US7289859B2 (en) * 2005-09-30 2007-10-30 Hitachi, Ltd. Method for determining parameter of product design and its supporting system
JP2010067812A (ja) * 2008-09-11 2010-03-25 Dainippon Screen Mfg Co Ltd レシピ最適化方法及び基板処理システム
US9002498B2 (en) * 2012-02-02 2015-04-07 Taiwan Semiconductor Manufacturing Co., Ltd. Tool function to improve fab process in semiconductor manufacturing
WO2014065269A1 (ja) * 2012-10-24 2014-05-01 東京エレクトロン株式会社 補正値算出装置、補正値算出方法及びコンピュータプログラム
EP3525053B1 (en) 2018-02-12 2020-04-22 The Automation Partnership (Cambridge) Limited Scaling tool
US10705514B2 (en) * 2018-10-09 2020-07-07 Applied Materials, Inc. Adaptive chamber matching in advanced semiconductor process control
WO2020182468A1 (en) * 2019-03-14 2020-09-17 Asml Netherlands B.V. Metrology method and apparatus, computer program and lithographic system
JP7442305B2 (ja) 2019-11-26 2024-03-04 東京エレクトロン株式会社 制御システム、制御方法、制御プログラム、および処理システム
US20220210525A1 (en) * 2020-12-24 2022-06-30 Applied Materials Israel Ltd. Prediction of electrical properties of a semiconductor specimen

Also Published As

Publication number Publication date
US20230418245A1 (en) 2023-12-28
CN117321522A (zh) 2023-12-29
US20230091058A1 (en) 2023-03-23
US11860591B2 (en) 2024-01-02
KR20240067834A (ko) 2024-05-17
WO2023039203A1 (en) 2023-03-16

Similar Documents

Publication Publication Date Title
US11989495B2 (en) Systems and methods for predicting film thickness using virtual metrology
KR20230005323A (ko) 기계 학습을 사용한 기판 프로세스 드리프트의 검출 및 정정
EP4302322A1 (en) Systems and methods for process chamber health monitoring and diagnostics using virtual model
US11862520B2 (en) Systems and methods for predicting film thickness of individual layers using virtual metrology
TW202314564A (zh) 使用特徵模型來進行製程配方創建和匹配
US20230051330A1 (en) Using defect models to estimate defect risk and optimize process recipes
US11874234B2 (en) Multi-level RF pulse monitoring and RF pulsing parameter optimization at a manufacturing system
US20230350394A1 (en) Run-to-run control at a manufacturing system using machine learning
US20230359179A1 (en) Methods and mechanisms for adjusting film deposition parameters during substrate manufacturing
US20230135102A1 (en) Methods and mechanisms for process recipe optimization
US20230260767A1 (en) Process control knob estimation
US20230306300A1 (en) Methods and mechanisms for measuring patterned substrate properties during substrate manufacturing
KR20240104086A (ko) 제조 시스템의 다중 레벨 rf 펄스 모니터링 및 rf 펄싱 파라미터 최적화
KR20240100395A (ko) 프로세스 레시피 최적화를 위한 방법들 및 메커니즘들
KR20230164607A (ko) 머신 러닝 모델 성능의 변동을 방지하기 위한 방법들 및 메커니즘들