TW202407139A - 具有熱蝕刻的間隙填充增強 - Google Patents

具有熱蝕刻的間隙填充增強 Download PDF

Info

Publication number
TW202407139A
TW202407139A TW112109276A TW112109276A TW202407139A TW 202407139 A TW202407139 A TW 202407139A TW 112109276 A TW112109276 A TW 112109276A TW 112109276 A TW112109276 A TW 112109276A TW 202407139 A TW202407139 A TW 202407139A
Authority
TW
Taiwan
Prior art keywords
tungsten
substrate
gas
layer
molybdenum
Prior art date
Application number
TW112109276A
Other languages
English (en)
Inventor
吳凱
岑羲
王邸雄
李陽
王珮琪
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202407139A publication Critical patent/TW202407139A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

一種在基板上形成互連結構的方法,包括在基板的表面上形成成核層。該基板的表面包括複數個開口,並且形成成核層的製程包括(a)將該基板暴露於含鎢前驅物氣體以在該複數個開口中的每一個的表面上形成含鎢層,(b)將所形成的含鎢層暴露於蝕刻劑氣體,其中將該含鎢層暴露於該蝕刻劑氣體蝕刻安置在該複數個開口中的每一個的頂部區域處的含鎢層的至少一部分,以及重複(a)和(b)一次或多次。該方法還包括在所形成的成核層上形成塊體層。

Description

具有熱蝕刻的間隙填充增強
本揭示案之實施例針對用於電子元件製造的方法,且更特定而言,針對用於在半導體元件中形成含鎢的導電結構之方法。
鎢(W)廣泛用於積體電路(integrated circuit; IC)元件製造,以形成需要相對低電阻和相對高電遷移抗性的導電特徵。例如,鎢可以用作金屬填充材料以形成源極觸點、汲極觸點、金屬閘極填充、閘極觸點、互連(例如,形成在介電材料層表面中的水平特徵)和通孔(例如,形成穿過介電材料層以連接安置在其上方和下方的其他互連特徵之垂直特徵)。由於其相對較低電阻率和高熔點,鎢也通常用於形成位元線和字線,用於定址動態隨機存取記憶體(dynamic random-access memory DRAM)元件的記憶體單元陣列中的單個記憶體單元。
隨著電路密度的增加和元件特徵的不斷縮小,以滿足下一代半導體元件的需求,可靠地生產出鎢特徵已經變得越來越有挑戰性。積體電路技術的進步必需改良耐火金屬,特別是鎢的沉積方法,以提高間隙填充性質並降低其應力。傳統上,間隙填充性質和應力是耐火金屬層的兩個特徵,由於具有高沉積製程產量但也有低位準的應力和良好的間隙填充特性係競爭需求,這兩個特徵一直是衝突的。
因此,需要形成具有良好間隙填充特性之結構的製程。
本揭示案之實施例包括在鎢CVD沉積(或會形成揮發性產物的任何其他金屬)期間流動基於鉬的蝕刻劑(鹵化鉬、氧鹵化鉬),間隙結構之場區和頂部區域的生長可以得到抑制或蝕刻掉,而對基板的損傷最小。
本揭示案之實施例提供了一種在基板上形成互連結構的方法。該方法包括在基板的表面上形成成核層。基板的表面包括複數個開口,形成成核層的製程包括(a)將基板暴露於含鎢前驅物氣體,以在複數個開口中的每一個的表面上形成含鎢層,(b)將形成的含鎢層暴露於蝕刻劑氣體,其中將含鎢層暴露於蝕刻劑氣體蝕刻安置在複數個開口中的每一個的頂部區域處的含鎢層的至少一部分,以及重複(a)和(b)一次或多次。該方法還包括在所形成的成核層上形成塊體層。
本揭示案之實施例提供了一種沉積含鎢層的方法。該方法包括在處理腔室中執行成核製程。該成核製程包括藉由將基板暴露於第一含鎢前驅物氣體以便在基板上形成含鎢層,以及藉由將基於鉬的蝕刻劑氣體輸送到基板來蝕刻所形成的含鎢層。該方法還包括在處理腔室中執行沉積製程。沉積製程包括藉由流動第二含鎢前驅氣體來形成塊體層。
本揭示案之實施例提供了一種處理系統。該處理系統包括處理腔室和系統控制器,該系統控制器經配置使處理系統在處理腔室中執行成核製程。該成核製程包括藉由將基板暴露於第一含鎢前驅物氣體而在基板上形成含鎢層,以及藉由將基於鉬的蝕刻劑氣體輸送到基板來蝕刻所形成的含鎢層。系統控制器還使處理系統在處理腔室中執行沉積製程。沉積製程包括藉由流動第二含鎢前驅氣體來形成塊體層。
本文中的實施例大體上針對電子元件製造,且更特定言之,針對半導體元件製造方案中形成具有包括鎢(W)的材料層之結構的系統和方法。
第1A圖為示出含鎢互連結構的基板10的示意橫截面圖。這裏,基板10包括圖案化表面11,該圖案化表面11包括形成在其中的具有高縱橫比開口的介電層12(示出填充有含鎢層15的一部分15A)、沉積在介電層12上以加襯開口的阻障材料層14、以及沉積在阻障材料層14上的含鎢層15的一部分15B。
含鎢層15可以使用化學氣相沉積(chemical vapor deposition; CVD)、電漿增強CVD或原子層沉積(atomic layer deposition; ALD)製程形成,其中含鎢層15保形沉積(生長)在圖案化表面11上,以用部分15A填充開口,以用部分15B覆蓋平面表面,或其組合。當開口從基板10的表面延伸到介電層12中時,該結構包括基本均勻的輪廓。
阻障材料層14可以包括適合用作阻障層的材料,例如但不限於鈦和鉭、合金、組合、混合物及其氮化物。在一個示例中,阻障材料層14可以是氮化鈦(TiN)層,沉積在介電層12上以保形地給開口加襯並促進成核層13的後續沉積。在一些實施例中,阻障材料層14可以沉積至約50埃至約150埃的厚度。
在一些實施例中,含鎢層15包括成核層13和塊體層16,它們可以使用下文描述的一種或多種方法沉積。成核層13包括使用CVD、ALD或甚至PVD製程沉積的鎢。塊體層16包括含鎢層。在一個實施例中,塊體層16基本上包括鎢。在一些實施例中,含鎢層15的厚度為約20埃至約1800埃。
因此,本實施例提供了一種處理系統,該系統經配置為執行方法之各個態樣的組合,而無需在處理腔室之間轉移基板,從而提高本文所述鎢間隙填充處理方案的整體基板處理產量和容量。在一些實施例中,本揭示案之某些方法是基於基板表面的拓撲結構來選擇的。具體地,某些方法可用於具有高縱橫比特徵的基板,例如約10:1或更高,而其他方法適用於具有基本平面表面的基板,或具有縱橫比低的特徵的基板。
當填充高縱橫比(AR>20)溝槽和具有小臨界尺寸(CD 小於 10 nm)的通孔時,習知的CVD沉積製程對成核層階梯覆蓋和厚度的控制不佳。用於形成成核層的習知沉積製程會導致在閒隙填充結構中形成大的接縫(例如,第1A圖中所示的接縫24),特別是當開口的頂部區域由成核層沉積所夾斷時。第1B圖示出了沉積在介電層104上的成核層106「夾斷」形成在基板102上的高縱橫比特徵105的頂部區域的配置。
第2圖示意性地說明可用於執行本文所述處理方法的處理系統200。這裏,處理系統經配置為在單個處理腔室202內提供成核製程、選擇性間隙填充製程和表面沉積製程中的每一個的處理條件,即,不在複數個處理腔室之間轉移基板。然而,在一些實施例中,基板從處理腔室202轉移到可用於在基板上沉積附加層的其他處理腔室。
如第2圖所示,處理系統200包括處理腔室202、流體耦合到處理腔室202的氣體分配系統204和系統控制器208。處理腔室202包括腔室蓋組件210、一個或多個側壁212和腔室底座214,它們共同限定處理容積215。處理容積215流體耦合到排氣裝置217,例如一個或多個真空泵,用於將處理容積215保持在低於大氣壓的條件下,並從中排出處理氣體和處理副產物。
腔室蓋組件210包括蓋板216和耦合到蓋板216以與其限定氣體分配容積219的噴頭218。這裏,使用熱偶合到蓋板216的一個或多個加熱器229將蓋板216保持在期望的溫度。噴頭218面對安置在處理容積215中的基板支撐組件220。如下所述,基板支撐組件220經配置在升高的基板處理位置(如圖所示)和降低的基板轉移位置(未示出)之間移動基板支撐222,並因此移動安置在基板支撐222上的基板230。當基板支撐組件220處於升高的基板處理位置時,噴頭218和基板支撐222限定處理區域221。
氣體分配系統204經由穿過蓋板216安置的氣體入口與處理腔室202流體耦合。藉由使用氣體分配系統204輸送的處理或清潔氣體流經氣體入口223進入氣體分配容積219,並經由噴頭218分配到處理區域221中。在一些實施例中,腔室蓋組件210還包括安置在氣體入口223和噴頭218之間的穿孔阻隔板225。在彼等實施例中,流入氣體分配容積219的氣體首先由阻隔板225擴散,以與噴頭218一起為流入處理區域221的氣流提供更均勻或更理想的分佈。
處理氣體和處理副產物經由環繞處理區域221的環形通道226從處理區域221徑向向外排空。環形通道226可以形成在從一個或多個側壁212徑向向內安置的第一環形內襯227中(如圖所示),或者可以形成在用於保護內部表面的一個或多個側壁212中。在一些實施例中,處理腔室202包括一個或多個側壁212或腔室底座214的一個或多個第二內襯228,以防止腐蝕性氣體和/或不希望的材料沉積。
在一些實施例中,淨化氣源237包括與處理容積215流體相通的第一連接,以便它可用於將化學惰性淨化氣體,例如氬氣(Ar),流入安置在基板周邊和/或安置在基板支撐件222上的基板下方的區域中,例如,經由圍繞可動支撐軸262的腔室底座214的開口。在基板處理期間,凈化氣體可用於在安置在基板支撐222上的基板下方產生正壓區域(當與處理區域221中的壓力相比時)。在一些配置中,經由腔室底座214引入淨化氣體,使得淨化氣體從腔室底座214向上流動並圍繞基板支撐件222的邊緣,以經由環形通道226從處理容積215中排出。在此構造中,淨化氣體藉由減少和/或防止材料前驅物氣體流入基板支撐222下面的表面來減少此等表面上的不希望的材料沉積。
基板支撐組件220包括可移動支撐軸262和基板支撐222,可移動支撐軸262密封地延伸穿過腔室底座214,例如在腔室底座214下方的區域中由波紋管265所包圍,而基板支撐222安置在可移動支撐軸262上。為了便於基板轉移到基板支撐222和從基板支撐件222轉移,基板支撐組件220包括升舉銷組件266,升舉銷組件266包括複數個升舉銷267,該複數個升舉銷267耦合到升舉銷環268或安置成與升舉銷環268接合。複數個升舉銷267可移動地安置在穿過基板支撐222形成的開口中。
基板230經由門271,例如,安置在一個或多個側壁212中的一個中的狹縫閥,轉移到基板支撐222並從其轉移出來。這裏,圍繞門271的區域中的一個或多個開口,例如門外殼中的開口,流體耦合到凈化氣源237,例如氬(Ar)氣源。淨化氣體用於防止處理和清潔氣體接觸和/或降級圍繞門的密封件,從而延長其使用壽命。
基板支撐件222經配置為真空夾頭,其中基板230藉由例如用真空源272向基板230和基板接收表面之間的介面施加真空而固定到基板支撐222。
在一些實施例中,處理腔室202可經配置用於直接電漿處理。在彼等實施例中,噴頭218可以電耦到第一電源231,例如RF電源,其使用經由噴頭218流入處理區域221中的處理氣體來提供電力以形成和維持電容耦合電漿。在一些實施例中,處理腔室202替代地包括電感耦合電漿產生器(未示出),並且經由將RF功率經由安置在處理腔室202上的天線電感耦合到安置在處理容積215中的處理氣體來形成電漿。
處理系統200有利地經配置為在不從處理腔室202中移除基板230的情況下執行每個鎢成核和塊體鎢沉積製程。使用流體耦合到處理腔室202的氣體分配系統204,將用於執行單個製程和從處理腔室的內部表面清除殘留物的氣體輸送到處理腔室202。
大體上,氣體分配系統204包括一個或多個遠端電漿源,此處是自由基產生器206、沉積氣源240,以及沉積氣源240到腔室蓋組件210。氣體分配系統204還包括安置在自由基產生器206和蓋板216之間的隔離閥290,如果適用(未示出),隔離閥290可用於將自由基產生器206與處理腔室202和其他自由基產生器流體隔離。使用導管系統294將沉積氣體,例如含鎢前驅物、含鉬前驅物和還原劑,從沉積氣源240輸送到處理腔室202。氣體分配系統204還包括凈化氣源237以凈化導管系統294。
自由基產生器206與電源293耦合,例如射頻(radio frequency; RF)電源。電源293用於點燃和維持電漿,該電漿使用從流體耦合到電漿腔室容積的相應氣源287提供的氣體分配到電漿腔室容積。
系統控制器208促進處理系統200的操作。系統控制器208包括可程式中央處理單元,此處是CPU 295,其可與記憶體296(例如,非揮發性記憶體)和支援電路297一起操作。CPU 295是工業環境中使用的任何形式的通用電腦處理器之一,例如可程式設計邏輯控制器(programmable logic controller; PLC),用於控制各種腔室組件和子處理器。耦合到CPU 295的記憶體296促進處理腔室的操作。支援電路297以習知方式耦合到CPU 295,並且包括快取記憶體、時鐘電路、輸入/輸出子系統、電源等,以及耦合到處理系統200的各種組件及其組合,以促進利用它們控制基板處理操作。
記憶體296中的指令是以程式產品的形式存在的,例如實現所揭示之方法的程式。在一個實例中,本揭示案可以實現為儲存在電腦可讀儲存媒體上以與電腦系統一起使用的程式產品。程式產品的程式定義了實施例的功能(包括這裏描述的方法)。因此,當攜帶指導本文描述的方法功能之電腦可讀指令時,電腦可讀儲存媒體是所揭示之實施例。 沉積製程實例
第3圖描述了根據一些實施例用於在基板上沉積含鎢層的方法300的製程流程圖,該方法可以至少部分地使用處理系統200執行。在一些實施方式中,處理系統200能夠以一種或多種處理模式操作以形成互連結構的至少一部分,例如脈衝CVD模式、電漿增強CVD模式和ALD模式。第1C圖示出了在方法300期間執行的各種製程期間結構101的示意橫截面側視圖。第1C圖描繪了基板102,其可以包括含矽基板(例如,n型矽基板、p型矽基板)和形成在其上的一個或多個元件層。在基板102上形成介電層104。介電層104包括形成在其中的特徵105。在一些實施例中,特徵105是高縱橫比特徵,例如溝槽或通孔,其具有>20的縱橫比和<10 nm的臨界尺寸。
方法300包括在特徵105的表面105A上形成成核層106的活動301。在一些實施例中,表面105A可以包括形成在介電層104的表面上的阻障層和/或內襯層。在一個示例中,表面105A包括上述阻障材料層14。在一個實施例中,活動301包括鎢(W)層沉積製程302,其之後是將活動302期間形成的沉積鎢層暴露於蝕刻劑氣體的製程(即,活動304)。
在活動302期間,在一些實施例中,使用ALD製程形成鎢層,其中將基板暴露於包括含鎢前驅物氣體(例如,WF 6),以及含氫氣體(例如,H 2)的氣體混合物。或者,成核層可以使用化學氣相沉積(chemical vapor deposition; CVD)或物理氣相沉積(physical vapor deposition; PVD)製程來形成。
在活動304中,將蝕刻劑氣體提供給安置在處理腔室的處理區域中的基板,以蝕刻在活動302期間形成的鎢層的一部分。在一個實施例中,在活動304期間執行的製程包括基於熱的蝕刻製程,該製程包括遞送基於鉬的蝕刻劑,同時將基板保持在20℃和550℃之間的溫度。與電漿蝕刻製程相比,咸信利用基於鉬的蝕刻劑的基於熱的蝕刻製程提供了對蝕刻和鎢生長抑制製程的更好控制。在這種情況下,暴露於含鉬蝕刻劑氣體用於蝕刻和/或抑制隨後沉積的鎢層在特徵105的上部區域的生長,並因此減少或消除活動302期間在特徵105中形成成核層106所產生的特徵105的上部的夾斷的量。在一些實施例中,蝕刻劑氣體包括鹵化鉬和/或含氧鹵化鉬的氣體。在一個實例中,蝕刻劑氣體包括六氟化鉬(MoF 6)。在另一實例中,蝕刻劑氣體包括六氟化鉬(MoF 6)和載氣(例如Ar)。在另一實例中,蝕刻劑氣體包括六氟化鉬(MoF 6)、載氣(例如Ar)和含氫氣體(例如H 2)。在又一實例中,含鎢氣體(例如,WF 6)、基於鉬的蝕刻劑氣體(例如,六氟化鉬(MoF 6))、載氣(例如Ar)和含氫氣體(例如,H 2)共流以獲得具有更好階梯覆蓋更薄的成核層。
在一些實施例中,活動304用作調整形成在基板上的鎢層的沉積輪廓的方法,以改進後續活動306中的間隙填充。在一個實例中,輪廓調諧可以包括優先移除沉積在基板中形成的特徵的場區域和頂部區域上的鎢層的多個部分,並因此從下往上促進特徵內的生長,並減少或防止在特徵中形成接縫。
在活動301期間,活動302和304循環完成,直到形成具有所需厚度的成核層。在一個實例中,成核層的厚度在約10埃和30埃之間。
在活動306中,使用ALD或CVD沉積製程在特徵105內沉積塊體層108。在一個實施例中,在活動306期間,含鎢前驅物氣體以約100sccm至約1500sccm的流動速率流動。在一些實施例中,含氫氣體,如H 2,與含鎢前驅物物共同流動。含氫氣體以約3000sccm至約15000sccm的流動流速流動。
在一個實施例中,在活動306期間,使用ALD製程在特徵105內沉積塊體層108。在活動306中,提供脈衝量的含鎢前驅物氣體,然後在處理區域221內保持約1秒至約10秒之間的持續時間。然後,脈衝量的第一淨化氣體在鎢前驅物物的暴露之間流動。第一淨化氣體包括含氬氣體。在一些實施例中,然後以約1秒至約5秒的淨化時間供應脈衝量的氬氣。第一凈化氣體可以從沉積氣源240或從旁路氣源輸送。如H 2的含氫氣體的脈衝量然後可以在每次暴露凈化氣體之後流動。含氫氣體以約1秒至5秒的淨化時間流動。然後可以在含氫氣體(例如氬氣)之後流動脈衝量的第二淨化氣體。第二淨化氣體條件可以與第一淨化氣體條件基本相同。在一些實施例中,第二淨化氣體時間為約1秒至約5秒。然後循環執行ALD製程步驟,直到塊體層沉積到預定厚度。
或者,在活動306中,使用電漿增強CVD沉積製程在特徵105內沉積塊體層108。含鎢前驅物氣體以約100sccm至約1500sccm的流動速率流動。該製程可包括將沉積的含鎢塊體層108的部分暴露於經由流動一種或多種電漿處理氣體形成的電漿,例如共流含氫氣體,例如H 2和含氬氣體。含氫氣體以約500sccm至約3000sccm的流動速率流動。含氬氣體以約500sccm至約3000sccm的流動速率流動。在該製程期間,一定量的RF功率由電源施加到含氬氣體和含氫氣體,例如安置在遠端電漿源的處理區域中的氣體,或者施加到安置在處理系統上或內部的天線或電極。在一些實施例中,以RF頻率(例如,13.56 MHz)向遠端電漿源的處理區域或處理系統的處理區域施加約50 W至約600 W的功率。在一些實施例中,電漿是在關於化學氣相沉積製程所描述之沉積氣體的暴露之間注入到處理體積中。電漿曝露時間可以在大約0.5秒和大約5秒之間。在處理系統的處理區域內,電漿壓力條件為約3托至約30托。可以循環地進行暴露於含鎢前驅物,然後暴露於電漿,直到塊體層沉積到預定厚度。將基板加熱至約400℃至約550℃。
儘管前述內容針對所揭示之實施例,但在不脫離所揭示之基本範圍的情況下,可以設計所揭示之其他實施例和進一步的實施例,並且所揭示之範圍由隨後的申請專利範圍確定。
10:基板 11:圖案化表面 12:介電層 13:成核層 14:阻障材料層 15:含鎢層 15A:部分 15B:部分 16:塊體層 24:接縫 101:結構 102:基板 104:介電層 105:特徵 105A:表面 106:成核層 108:塊體層 200:處理系統 202:處理腔室 204:氣體分配系統 206:自由基產生器 208:系統控制器 212:腔室蓋組件 214:腔室底座 215:處理容積 216:蓋板 217:排氣裝置 218:噴頭 219:氣體分配容積 220:基板支撐組件 221:處理區域 222:基板支撐 225:阻隔板 226:環形通道 227:第一環形內襯 228:第二內襯 229:加熱器 230:基板 231:第一電源 237:淨化氣源 240:沉積氣源 262:活動支撐軸 265:波紋管 266:升舉銷組件 267:升舉銷 268:升舉銷環 271:門 272:真空源 287:對應氣源 290:隔離閥 293:電源 294:導管系統 295:中央處理單元(CPU) 296:記憶體 297:支援電路 300:方法 301:活動 302:活動 304:活動 306:活動
因此,可詳細地理解本揭示案之上述特徵的方式,可藉由參考實施例來獲得以上簡要概述的本揭示案之更特定描述,實施例中之一些在附加圖式中加以繪示。然而,應注意,附加圖式僅繪示本揭示案之典型實施例,且因此不應被視為對本揭示案之範疇的限制,因為本揭示案可准許其他同等有效的實施例。
第1A圖是根據一個實施例,具有含鎢層的基板的一部分示意橫截面圖。
第1B圖是基板的一部分的示意橫截面圖,該基板包括夾斷形成在基板中的開口之頂部區域的含鎢層。
第1C圖是根據一個實施例,在用於形成第3圖所述的含鎢層的不同製程步驟期間,基板的一部分的示意橫截面圖。
第2圖是根據一個實施例的可用於實現本文闡述的方法之處理系統的示意側視圖。
第3圖說明根據一些實施例,用於在基板上形成互連結構的製程流程。
為了便於理解,在可能的情況下,使用了相同的元件符號來表示諸圖中共有的相同元件。可以預期,一個實施例的元件和特徵可以有益地併入到其他實施例中,而無需進一步贅述。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
10:基板
11:圖案化表面
12:介電層
13:成核層
14:阻障材料層
15:含鎢層
16:塊體層
24:縫

Claims (20)

  1. 一種在一基板上形成一互連結構的方法,包括以下步驟: 在該基板的一表面上形成一成核層,其中該基板的該表面包括複數個開口,及形成該成核層的該製程包括以下步驟: (a)將該基板暴露於一含鎢前驅物氣體,以在該複數個開口中的每一個的一表面上形成一含鎢層; (b)將該形成的含鎢層暴露於一蝕刻劑氣體,其中將該含鎢層暴露於該蝕刻劑氣體蝕刻安置在該複數個開口中的每一個的一頂部區域處的該含鎢層的至少一部分;以及 (c)重複(a)和(b)一次或多次;以及 在該形成的成核層上形成一塊體層。
  2. 如請求項1所述的方法,其中該含鎢前驅物氣體選自由六氟化鎢(WF 6)、六氯化鎢(WCl 6),以及其組合組成的群組。
  3. 如請求項1所述的方法,其中將該形成的含鎢層暴露於該蝕刻劑氣體以蝕刻該形成的含鎢層之步驟是在20℃和550℃之間的一溫度下進行的一基於熱的蝕刻製程。
  4. 如請求項3所述的方法,其中該蝕刻劑氣體包括一鹵化鉬或一氧鹵化鉬。
  5. 如請求項4所述的方法,其中該蝕刻劑氣體包括氟化鉬(MoF 6)。
  6. 如請求項5所述的方法,其中該蝕刻劑氣體包括氬(Ar)和一含氫氣體。
  7. 如請求項1所述的方法,其中該蝕刻劑氣體包括一鹵化鉬或一氧鹵化鉬。
  8. 如請求項7所述的方法,其中該蝕刻劑氣體包括氟化鉬(MoF 6)。
  9. 如請求項8所述的方法,其中該蝕刻劑氣體包括一含氫氣體。
  10. 如請求項9所述的方法,其中該蝕刻劑氣體包括一惰性氣體。
  11. 一種沉積一含鎢層的方法,包括以下步驟: 在一處理腔室中執行一成核製程,該成核製程包括以下步驟: 藉由將一基板暴露於一第一含鎢前驅物氣體,在一基板上形成一含鎢層;以及 藉由向該基板輸送一鉬基蝕刻劑氣體來蝕刻該形成的含鎢層;以及 在該處理腔室中執行一沉積製程,該沉積製程包括以下步驟:藉由流動一第二含鎢前驅物氣體來形成一塊體層。
  12. 如請求項11所述的方法,進一步包括以下步驟:重複該成核製程。
  13. 如請求項11所述的方法,其中該第一和第二含鎢前驅物氣體各自選自由六氟化鎢 (WF 6)、六氯化鎢(WCl 6),以及其組合組成的群組。
  14. 如請求項11所述的方法,其中該形成的含鎢層的該蝕刻在20℃和550℃之間的一溫度下進行。
  15. 如請求項11所述的方法,其中該鉬基蝕刻劑氣體選自由鹵化鉬、氧鹵化鉬及其組合組成的群組。
  16. 如請求項14的方法,其中該鉬基蝕刻劑氣體包括氟化鉬(MoF 6)。
  17. 一種處理系統,包括: 一處理腔室;以及 一系統控制器,該系統控制器經配置使該處理系統: 在該處理腔室中執行一成核製程,該成核製程包括以下步驟: 藉由將一基板暴露於一第一含鎢前驅物氣體,在該基板上形成一含鎢層;以及 藉由向該基板輸送一基於鉬的蝕刻劑氣體來蝕刻該形成的含鎢層;以及 在該處理腔室中執行一沉積製程,該沉積製程包括以下步驟:藉由流動一第二含鎢前驅物氣體來形成一塊體層。
  18. 如請求項17所述的處理系統,其中,該系統控制器還經配置成使該處理系統重複該成核製程。
  19. 如請求項17所述的處理系統,其中 該第一和第二含鎢前驅物氣體各自選自由六氟化鎢 (WF 6)、六氯化鎢 (WCl 6)及其組合組成的群組,以及 該鉬基蝕刻劑氣體選自由鹵化鉬、氧鹵化鉬及其組合組成的群組。
  20. 如請求項17所述的處理系統,其中該形成的含鎢層的該蝕刻在20℃和550℃之間的溫度下進行。
TW112109276A 2022-04-05 2023-03-14 具有熱蝕刻的間隙填充增強 TW202407139A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202263327719P 2022-04-05 2022-04-05
US63/327,719 2022-04-05
US17/887,292 2022-08-12
US17/887,292 US20230317458A1 (en) 2022-04-05 2022-08-12 Gap fill enhancement with thermal etch

Publications (1)

Publication Number Publication Date
TW202407139A true TW202407139A (zh) 2024-02-16

Family

ID=88193493

Family Applications (1)

Application Number Title Priority Date Filing Date
TW112109276A TW202407139A (zh) 2022-04-05 2023-03-14 具有熱蝕刻的間隙填充增強

Country Status (3)

Country Link
US (1) US20230317458A1 (zh)
TW (1) TW202407139A (zh)
WO (1) WO2023196085A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4303409B2 (ja) * 2000-09-20 2009-07-29 Necエレクトロニクス株式会社 導電性プラグの堆積方法及び半導体装置の製造方法
KR100613337B1 (ko) * 2003-12-31 2006-08-21 동부일렉트로닉스 주식회사 텅스텐 연결 콘택 형성 방법
US9548228B2 (en) * 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
WO2012039932A2 (en) * 2010-09-21 2012-03-29 Applied Materials, Inc. Methods for forming layers on a substrate
JP7496725B2 (ja) * 2020-07-20 2024-06-07 東京エレクトロン株式会社 エッチング方法およびエッチング装置

Also Published As

Publication number Publication date
US20230317458A1 (en) 2023-10-05
WO2023196085A1 (en) 2023-10-12

Similar Documents

Publication Publication Date Title
JP6962955B2 (ja) シームレスのコバルト間隙充填を可能にする方法
KR102291990B1 (ko) 텅스텐 육플루오르화물(wf6) 에치백을 이용하여 텅스텐 막을 증착하기 위한 방법
US6841203B2 (en) Method of forming titanium film by CVD
KR101263856B1 (ko) 비저항이 감소되고 표면 형태가 개선된 텅스텐 필름을 증착하는 방법
US20080317954A1 (en) Pulsed deposition process for tungsten nucleation
TW200419642A (en) Integration of ALD/CVD barriers with porous low k materials
US20090071404A1 (en) Method of forming titanium film by CVD
US7122477B2 (en) Method of plasma treatment
WO2016153987A1 (en) Methods for etching via atomic layer deposition (ald) cycles
KR100306407B1 (ko) Cvd장치의챔버클리닝방법
US20200251340A1 (en) Methods and apparatus for filling a feature disposed in a substrate
JP2000058650A (ja) 半導体装置、半導体装置の製造方法、および半導体装置の製造装置
TW202407139A (zh) 具有熱蝕刻的間隙填充增強
TWI737601B (zh) 回蝕輪廓調整的方法
US20230290679A1 (en) Tungsten molybdenum structures
US20230369113A1 (en) Methods for forming multi-tier tungsten features
US20240087955A1 (en) Integrated pvd tungsten liner and seamless cvd tungsten fill
US20240047268A1 (en) Methods for forming multi-tier tungsten features
WO2022232995A1 (en) Processing system and methods for forming void-free and seam-free tungsten features
JP3767429B2 (ja) チタン膜及びチタンナイトライド膜の連続成膜方法及びクラスタツール装置
TW202333302A (zh) 用於形成低電阻率鎢特徵的方法
JPH05291182A (ja) Ecrプラズマ処理方法