TW202405947A - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TW202405947A
TW202405947A TW112101183A TW112101183A TW202405947A TW 202405947 A TW202405947 A TW 202405947A TW 112101183 A TW112101183 A TW 112101183A TW 112101183 A TW112101183 A TW 112101183A TW 202405947 A TW202405947 A TW 202405947A
Authority
TW
Taiwan
Prior art keywords
work function
type work
metal layer
function metal
layer
Prior art date
Application number
TW112101183A
Other languages
English (en)
Inventor
洪若珺
李致葳
黃文宏
陳蕙祺
陳建豪
游國豐
蔡昕翰
莊媖涓
鄭郁陵
王于瑄
葉德夫
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202405947A publication Critical patent/TW202405947A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41766Source or drain electrodes for field effect devices with at least part of the source or drain electrode having contact below the semiconductor surface, e.g. the source or drain electrode formed at least partially in a groove or with inclusions of conductor inside the semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • H10B10/125Static random access memory [SRAM] devices comprising a MOSFET load element the MOSFET being a thin film transistor [TFT]

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Composite Materials (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

一犧牲層形成在N型電晶體(n-channel Field-effect transistor, NFET)的第一通道結構之上及P型電晶體(p-channel Field-effect transistor, NFET)的第二通道結構之上。通過至少部分地蝕刻掉PFET中的犧牲層且同時保護NFET不被蝕刻以執行PFET圖案化製程。在執行PFET圖案化製程之後,在NFET及PFET中皆沉積P型功函數(WF)金屬層。通過至少部分地蝕刻掉NFET中的P型功函數金屬層及犧牲層且同時保護PFET不被蝕刻以執行NFET圖案化製程。在執行NFET圖案化製程之後,在NFET及PFET中皆沉積N型功函數金屬層。

Description

半導體裝置及其製造方法
本發明實施例是關於半導體技術,特別是關於半導體裝置及其製造方法。
半導體積體電路(integrated circuit, IC)產業經歷了快速的成長。IC材料及設計的技術進步產生了幾代IC,其每一代的電路都比上一代更小、更複雜。積體電路演進期間,功能密度(亦即,單位晶片面積的互連裝置數目)通常會增加而幾何尺寸(亦即,即可使用製程生產的最小元件(或線))卻減少。此微縮化的過程通常會以增加生產效率與降低相關成本而提供助益。
然而,現有的IC製造製程仍可能存在某些缺陷。例如,現有的 IC 製造方法可能導致功函數 (work function, WF)金屬的非經意及不希望的氧化,特別是對於N型場效電晶體(n-channel Field-effect transistor, NFET)裝置。如此一來,裝置性能(例如,在速度或閾值電壓一致性方面)可能會降低。
因此,儘管現有的半導體裝置通常足以滿足其預期目的,但它們並非在各個方面都完全令人滿意的。
一種半導體裝置,包括:基板;通道結構,從基板垂直地突出;閘極介電層,設置在通道結構上方;P型功函數(work function, WF)金屬層,設置於閘極介電層上方,其中P型功函數金屬層是P型場效電晶體(p-channel Field-effect transistor, PFET)裝置的閘極電極的一部分;及N型功函數金屬層,設置在P型功函數金屬層之上,其中N型功函數金屬層是PFET裝置的閘極電極的另一部分,並且其中N型功函數金屬層在截面圖中包括多個向下突出的區段(segments)。
一種半導體裝置,包括:N型場效電晶體(NFET),包括:一第一奈米結構堆疊,其中第一奈米結構堆疊的複數個奈米結構交互疊置;第一閘極介電結構,其在截面圖中周向地環繞第一奈米結構堆疊中的每個奈米結構;及N型功函數(WF)金屬層,其在截面圖中周向地環繞第一閘極介電結構;及P型場效電晶體(PFET),包括:第二奈米結構堆疊,其中第二奈米結構堆疊的複數個奈米結構交互疊置;第二閘極介電結構,其在截面圖中周向地環繞第二奈米結構堆疊中的每個奈米結構;P型功函數金屬層,其在截面圖中周向地環繞第二閘極介電結構;及在截面圖中,多個部分的N型功函數金屬層被設置在P型功函數金屬層的上表面及多個側表面之上。
一種半導體裝置的製造方法,包括:形成犧牲層(sacrificial layer)於N型場效電晶體(NFET)的第一通道結構上方及P型場效電晶體(PFET)的第二通道結構上方;通過至少部份地蝕刻掉該PFET中的犧牲層且同時保護NFET不被蝕刻以執行PFET圖案化製程;在完成PFET圖案化製程之後,在NFET中及PFET中皆沉積一P型功函數(WF)金屬層;通過至少部份地蝕刻掉該NFET中的P型功函數金屬層及犧牲層且同時保護PFET不被蝕刻以執行NFET圖案化製程;在完成NFET圖案化製程之後,在NFET中及PFET中皆沉積N型功函數金屬層。
以下揭露提供了許多的實施方式或範例,用於實施所提供的標的物之不同元件。各元件及其配置的具體範例描述如下,以簡化本發明實施方式之說明。當然,這些僅僅是範例,並非用以限定本發明實施方式。舉例而言,敘述中若提及第一元件形成在第二元件之上,可以包含第一及第二元件直接接觸的實施方式,也可以包含額外的元件形成在第一及第二元件之間,使得它們不直接接觸的實施方式。此外,本發明實施方式可以在各種範例中重複參考數值以及∕或字母。如此重複是為了簡明及清楚之目的,而非用以表示所討論的不同實施方式及∕或配置之間的關係。
再者,其中可以用到與空間相對用詞,例如「在……之下」、「下方」、「較低的」、「上方」、「較高的」等類似用詞,是為了便於描述圖式中一個(些)部件或特徵與另一個(些)部件或特徵之間的關係。空間相對用詞用以包括使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其它方位),其中所使用的空間相對形容詞也將依轉向後的方位來解釋。
此外,當使用 「大約」、「近似」等描述一個數字或數字範圍時,此用語意圖涵蓋包含所述數字在內的合理範圍數字,例如所述數字的+/-10%以內或其它本領域具有通常知識者所理解的值。 例如,具有 「約5奈米」可以涵蓋4.5奈米至5.5奈米的尺寸範圍。
本揭露總體上是關於半導體裝置,其可以使用場效電晶體(field-effect transistor, FET)例如三維鰭片FET(FinFET)或多通道全繞式閘極(gate-all-around, GAA)裝置的方式製造。FinFET裝置具有從基板垂直突出的半導體鰭片結構。鰭片結構是主動區(active regions),即形成源極∕汲極區及∕或通道區的區域。閘極結構部分地環繞鰭片結構。GAA裝置具有多個細長的奈米結構通道,這些奈米結構通道可以以奈米管、奈米片或奈米線的方式實施。近年來, FinFET裝置及GAA裝置由於其相較於傳統平面式場效電晶體更強的性能而受到關注。
然而,隨著半導體裝置尺寸不斷縮小,製造 FinFET 或GAA裝置的傳統方法可能面臨各種挑戰。例如,傳統製造 FinFET或GAA裝置的方法在P型場效電晶體(p-channel Field-effect transistor, PFET)之前,先形成N型場效電晶體(n-channel Field-effect transistor, NFET)的金屬閘極電極。這類型的製造製程流程可能導致NFET的N型功函數(WF)金屬(例如,TiAlC)的非經意及不希望的氧化,這可能在去除光阻材料時發生。NFET的N型功函數金屬的氧化可能導致性能下降,例如裝置速度慢或閾值電壓 (Vt) 變化過大。對於某些NFET性能可能比PFET性能更重要的IC應用,例如靜態隨機存取記憶體 (SRAM) 裝置,這個問題會更加嚴重。
為了解決上述問題,本發明實施了獨特的製造製程流程,其中PFET的P型功函數金屬在NFET的N型功函數金屬之前形成。這樣的製程流程避免了在去除光阻材料時暴露 N 型功函數金屬所發生的不希望的氧化。換言之,光阻材料的去除發生在 N 型功函數金屬形成之前(否則會氧化N型功函數金屬),這意味著本文中的N型功函數金屬不太可能經歷與傳統裝置相關的不希望的氧化。因此,本揭露可同時達到更好的裝置性能,例如,更快的裝置速度或更好的閾值電壓一致性,特別是對於諸如SRAM的IC應用。
以下將參考第1A-1C圖、第2A-9A圖及第10-12圖 討論本揭露的各個方面。更詳細地,第1A-1B圖示出了示例FinFET裝置,且第1C圖示出了示例GAA裝置。第2A-9A圖及第2B-9B圖示出了根據本揭露的實施例在製造的各個階段的IC裝置的橫截面側視圖。第10圖示出了利用本揭露的各個方面所製造的IC裝置的範例IC應用的記憶體電路設計圖。第11圖示出了一個半導體製造系統。第12圖示出了根據本揭露的各個方面製造IC裝置的方法的流程圖。
現在參考第1A圖及第1B圖,分別示出了積體電路(IC)裝置90的一部分的三維透視圖及俯視圖。IC裝置90使用FinFET實施。如第1A圖所示,IC裝置90包括基板110。基板110可以包括元素(單一元素)半導體,例如矽、鍺及∕或其它合適的材料;化合物半導體,例如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、銻化銦及∕或其它合適的材料;合金半導體,例如SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、GaInAsP及∕或其它合適的材料。基板110可以是具有均勻組成的單層材料。替代地,基板110可以包括適用於IC裝置製造的具有相似或不同成分的多個材料層,在一個示例中,基板110可以是絕緣體覆矽(silicon-on-insulator, SOI) 基板,其具有形成在氧化矽層上的半導體矽層。在另一示例中,基板110可以包括導電層、半導體層、介電層、其它層或它們的組合。可以在基板110中或基板110上形成各種摻雜區,例如源極∕汲極區。取決於設計要求,摻雜區可以摻雜諸如磷或砷的N型摻雜物及∕或諸如硼的P型摻雜物。摻雜區可以直接形成在基板110上、P型阱結構中、N 型阱結構中、雙阱結構中或使用凸起(raised)結構。摻雜區可以通過植入摻雜物原子、原位摻雜磊晶生長(in-situ doped epitaxial growth) 及∕或其它合適的技術來形成。
三維主動區120被形成在基板110上。主動區120可以包括從基板110向上突出的細長鰭式結構。因此,主動區120在本文中可互換地稱為鰭片結構120或鰭片120。鰭片結構120可以使用合適的製程製造,包括微影及蝕刻製程。微影製程可以包括形成覆蓋基板110的光阻層、將光阻曝光到圖案、執行曝光後烘烤製程、以及顯影光阻以形成包括光阻的遮罩元件(未示出)。隨後使用遮罩元件在基板110中蝕刻凹槽,在基板110上留下鰭片結構120。蝕刻製程可以包括乾式蝕刻、濕式蝕刻、反應離子蝕刻(Reactive-Ion Etching, RIE) 及∕或其它合適的製程。在一些實施例中,鰭片結構120可以通過雙圖案或多圖案製程形成。通常,雙圖案或多圖案製程結合了微影及自對準製程,使其能夠創建,例如比起使用單個、直接地微影製程所能獲得的間距,具有更小間距的圖案。作為範例,可以在基板上方形成膜層並使用微影製程對其進行圖案化。使用自對準製程在圖案化層旁邊形成間隔物。隨後去除該膜層,然後可以使用剩餘的間隔物或心軸來圖案化鰭片結構120。
IC裝置90還包括形成在鰭片結構120上方的源極∕汲極元件122。源極∕汲極元件122可以包括在鰭片結構120上磊晶生長的磊晶層。IC裝置90更包括形成在基板110上方的隔離結構130。隔離結構130電性分離IC裝置90的各種元件。隔離結構130可以包括氧化矽、氮化矽、氮氧化矽、摻氟矽酸鹽玻璃(fluoride-doped silicate glass, FSG)、low-k介電材料及∕或其它合適的材料。在一些實施例中,隔離結構130可以包括淺溝槽隔離(shallow trench isolation, STI)特徵。在一實施例中,隔離結構130通過在形成鰭片結構120期間在基板110中蝕刻溝槽而形成。隨後可以用上述隔離材料填充溝槽,然後進行化學機械平坦化(Chemical-Mechanical Planarization, CMP)製程。其它隔離結構,例如場氧化(field oxide) 結構、局部矽氧化(local oxidation of silicon, LOCOS) 結構,及∕或其它合適的結構也可以實施為隔離結構130。替代地,隔離結構130可以包括多層結構,例如,具有一個或多個熱氧化物的襯(liner)層。
IC裝置90更包括閘極結構140,閘極結構140形成在每個鰭片120的通道區中的三個面上並沿著鰭片結構120的三個面嚙合(engage)鰭片結構120。換言之,閘極結構140各自環繞多個鰭片結構120。閘極結構140可以是虛置閘極(dummy gate)結構(例如,包含氧化物閘極介電質及多晶矽閘極電極),或者它們可以是包含high-k閘極介電質及金屬閘極電極的High-k金屬閘極(High-k metal gate, HKMG)結構,其中HKMG結構是通過替換虛置閘極結構形成的。儘管本文並未描繪,但閘極結構140可包括額外的材料層,例如鰭片結構120上方的界面層、覆蓋層、其它合適的膜層或它們的組合。
參考第1A-1B圖,多個鰭片結構120每一個均沿X方向縱向定向(oriented lengthwise),並且多個閘極結構140每一個均沿Y方向縱向設置,即大致上垂直於鰭片結構120。在許多實施例中,IC裝置90包括附加特徵,例如沿閘極結構140的側壁設置的閘極間隔物、設置在閘極結構140上方的硬遮罩層以及許多其它特徵。
第1C圖示出了示例GAA裝置150的三維透視圖。出於一致性及簡潔性的緣故,第1C圖與第1A-1B圖中的類似元件將被標記為相同元件。例如,諸如鰭片結構120之類的主動區在Z方向上從基板110垂直上升。隔離結構130提供鰭片結構120之間的電性隔離。閘極結構140位於鰭片結構120上方及隔離結構130上方。遮罩155位於閘極結構140上方,而閘極間隔物160位於閘極結構140的側壁上。蓋層165形成在鰭片結構120上方以在形成隔離結構130期間保護鰭片結構120不受氧化。
多個奈米結構170設置在每個鰭片結構120之上。奈米結構170可以包括奈米片、奈米管或奈米線,或在X方向上水平延伸的一些其它類型的奈米結構。奈米結構170在閘極結構140下方的部分可以用作GAA裝置150的通道。介電內間隔物(dielectric inner spacers)175可以設置在奈米結構170之間。此外,雖然為了簡單起見未示出,但是奈米結構170的每個堆疊可以被閘極介電質以及閘極電極周向地(circumferentially)環繞。在所示實施例中,奈米結構170在閘極結構140之外的多個部分可以用作GAA裝置150的源極∕汲極特徵。然而,在一些實施例中,連續的源極∕汲極特徵可以磊晶地生長在鰭片結構120在閘極結構140之外的多個部分上。無論如何,導電的源極∕汲極接觸件180可以形成在源極∕汲極特徵上方以提供與其電性連接。層間介電質(interlayer dielectric, ILD)185形成在隔離結構130上方以及閘極結構140及源極∕汲極接觸件180周圍。ILD 185可以被稱為ILD0層。在一些實施例中,ILD 185可以包括氧化矽、氮化矽或low-k介電材料。
更多與 GAA 器件的製造有關的其它細節被揭露在美國專利號第10,164,012,標題為” Semiconductor Device and Manufacturing Method Thereof”,發佈於2018年12月25日,及美國專利號第10,361,278,標題為” Method of Manufacturing a Semiconductor Device and a Semiconductor Device”,發佈於2019年7月23日,及美國專利號第9,887,269,標題為”Multi-Gate Device and Method of Fabrication Thereof” ,發佈於2018年2月6日,以上各自的全部內容以引用的方式併入本文中。在本揭露中涉及鰭片結構或FinFET裝置範圍內的討論可以同樣適用於GAA裝置。
第2A-9A圖及第2B-9B圖示出了根據本揭露的各個實施例在製造的各個階段的IC裝置200的一部分示意性局部截面圖。更詳細地,第2A-9A圖示出了沿X-Z平面的截面圖,因此,第2A-9A圖可以被稱為X切面。例如,第2A-9A圖中的IC裝置200的截面側視圖可以通過沿第1B-1C圖中所示的切割線A-A'截取截面來獲得。同時,第2B-9B圖示出了沿Y-Z平面的截面圖,因此,第2B-9B圖可以被稱為Y切面。例如,第2B-9B圖中的IC裝置200的截面側視圖可以通過沿第1B-1C圖中所示的切割線B-B'截取截面來獲得。出於簡單性及一致性的原故,第1A-1C圖中的出現的類似元件將在第2A-9A圖及第2B-9B圖中被標記為相同元件。還應被理解的是,儘管以下討論主要使用 GAA 裝置(例如,第1C圖的 GAA裝置)來說明本揭露的發明概念,但除非另有說明,否則相同的概念也可以應用於 FinFET裝置(例如,第1B-1C圖的FinFET裝置)。
如第2A-2B圖所示,IC裝置200包括N型電晶體(NFET)及P型電晶體(PFET)。NFET及PFET形成在同一晶圓上,儘管它們可以形成在晶圓的不同區域並且可以彼此物理連續或不連續。NFET及PFET都包括基板110。如上所述,基板110可以包括元素(單一元素)半導體、化合物半導體、合金半導體及∕或其它合適的材料。
多個奈米結構170形成在基板110上方,作為NFET及PFET的一部分。如上所述,奈米結構170可以包括奈米片、奈米管或奈米線,或一些其它類型的水平延伸奈米結構。奈米結構170的多個部分可以用作NFET或PFET的通道。奈米結構170可以配置成垂直堆疊,例如,在Z方向上垂直地設置在彼此之上。奈米結構170包含半導體材料,例如Si或SiGe。在一些實施例中,NFET 的奈米結構170可以包含與PFET的奈米結構170不同類型的半導體材料。
每個奈米結構170也可以被閘極結構周向地環繞。更詳細地,閘極結構可以包括直接毗鄰於每個奈米結構170(例如,周向地與奈米結構170直接物理接觸)形成的界面層210。在一些實施例中,界面層210包含氧化矽。閘極結構還包括閘極介電層220,閘極介電層220直接毗鄰於(例如,周向地直接物理接觸)每一個界面層210形成。換言之,界面層210位於奈米結構170與閘極介電層220之間。在一些實施例中,閘極介電層220包括high-k介電材料。high-k介電材料是指介電常數大於氧化矽的介電常數(約為3.9)的介電材料。high-k閘極介電質的示例材料包括氧化鉿、氧化鋯、氧化鋁、二氧化鉿-氧化鋁合金、氧化鉿矽、鉿矽氧氮化物、鉿鉭氧化物、鉿鈦氧化物、鉿鋯氧化物或其組合。
在這個製造階段,閘極結構還包括犧牲層230,犧牲層230與每一個閘極介電層220直接相鄰(例如,周向地直接物理接觸)地形成。換言之,閘極介電層220位於犧牲層230與界面層210之間。犧牲層230在所示實施例中包括氧化鋁,但在替代實施例中可以包括其它材料。可以理解,根據本揭露的實施例,犧牲層230將在後續製程中被去除並且將由含金屬的閘極電極層代替。犧牲層230的材料成分被配置為與閘極電極的功函數金屬相比或與閘極結構的閘極介電層相比具有更快的蝕刻速率(或具有更快的去除速率)。這使其能夠去除犧牲層230而不會無意中損壞奈米結構170,如下面更詳細討論的。
可以在基板110上磊晶地生長而成的源極∕汲極元件122位於奈米結構170的垂直堆疊之間。介電內間隔物175形成在奈米結構170的垂直堆疊及源極∕汲極元件122之間。在一些實施例中,介電內間隔物175均包含氮化矽。
層間介電(ILD)層185形成在源極∕汲極元件122上方。在一些實施例中,ILD層185可以包括氧化矽,其可以通過可流動化學氣相沉積(flowable chemical vapor deposition, FCVD)製程形成。在其它實施例中,ILD層185可以包括氮化矽或low-k介電材料。ILD層185可以通過圖案化硬遮罩層250(例如,氮化矽硬遮罩層)圖案化以定義與奈米結構170的堆疊對齊(或位於其上方)的開口260。如第2B圖所示,ILD層185也位於奈米結構170的堆疊之間,奈米結構170被閘極結構(例如,包括界面層210、閘極介電層220及犧牲層230的閘極結構)周向地環繞。
在所示實施例中,蝕刻停止層270也位於ILD層185及源極∕汲極元件122之間以及ILD層185的側面上。換言之,ILD層185可以形成在蝕刻停止層270之上。在一些實施例中,蝕刻停止層270包括氮化矽。並且如第2B圖所示,蝕刻停止層270本身可以形成在隔離結構130(例如,包含氧化矽的STI結構)之上。
如第2A圖所示,部分的閘極介電層220也形成於ILD層185、蝕刻停止層270以及圖案化硬遮罩層250之上,且部分地設置於開口260中。部分的犧牲層230也形成在閘極介電層220上方以部分地填充開口260。犧牲層230形成為具有厚度290。在一些實施例中,厚度290在約30Å至約40Å之間。
現在參考第3A-3B圖,對IC裝置200執行回蝕刻製程300。回蝕刻製程300將犧牲層230的厚度290減小至厚度290A。在一些實施例中,厚度290在約200Å至約380Å之間。較薄的犧牲層230使得它們最終的去除(在隨後的製造過程中)更容易。
現在參考第4A-4B圖,圖案化的光阻層320形成在IC裝置200的NFET區之上,但不在IC裝置200的PFET區之上。圖案化光阻層320可以通過在IC裝置200(包括NFET及PFET區)上旋塗光阻材料、暴露NFET或PFET區(取決於使用的是負光阻還是正光阻材料)、顯影光阻,並執行一種或多種預曝光或曝後烘烤製程。在所示實施例中,光阻層320的剩餘部分覆蓋NFET區並填充設置在NFET區上方的開口260。
以圖案化的光阻層320作為保護遮罩,對IC裝置200執行PFET圖案化製程340。PFET圖案化製程340可以包括一個或多個蝕刻製程以去除位於PFET區中的犧牲層230的部分。例如,犧牲層230被PFET區中的開口260暴露的部分被蝕刻掉。犧牲層230及閘極介電層220在蝕刻製程期間可以具有蝕刻選擇性,使得可以蝕刻掉犧牲層230而基本上不影響PFET的閘極介電層220。例如,犧牲層230可以在蝕刻製程期間以比閘極介電層220快至少10倍的速率被蝕刻掉。如此一來,PFET圖案化製程340暴露PFET的閘極介電層220。 PFET被暴露的閘極介電層220現在已準備好讓P型功函數金屬形成在其上。由於犧牲層230的材料成分被配置為易於去除(例如,與閘極介電層220相比),因此可以執行PFET圖案化製程340而不會對PFET的結構(例如PFET的閘極介電層220或由閘極介電層220環繞的奈米結構170)造成損壞。
現在參考第5A-5B圖,圖案化的光阻層320被去除,例如,使用光阻剝離或光阻灰化製程。光阻層320的去除進一步暴露了IC裝置200的NFET區。此後,對IC裝置200執行犧牲層回蝕刻製程360以回蝕刻犧牲層230。犧牲層回蝕刻製程360後,填充NFET區中的開口260的部分犧牲層230被去除,但是部分的犧牲層230仍然保留在NFET區中的奈米結構170之間,因為它們在犧牲層回蝕製程360期間受到奈米結構170(以及環繞奈米結構170的膜210及220)的保護。
現在參考第6A-6B圖,執行成P型功函數金屬層形成製程380以形成P型功函數金屬層400。在一些實施例中,P型功函數金屬形成製程380包括沉積製程,例如ALD製程,以在閘極介電層220上方沉積P型功函數金屬層400。在一些實施例中,P型功函數金屬層400可以包括TiN或具有TiN材料組成。在一些實施例中,P型功函數金屬層400可以具有在約30Å至約40Å之間的厚度410。這樣的厚度範圍使P型功函數金屬層400能夠有效地調整PFET裝置的閾值電壓。
由於犧牲層230既不覆蓋NFET區,也不覆蓋PFET區(NFET的奈米結構170之間的空間除外),因此P型功函數金屬層400在這個製造階段形成在NFET區及PFET區兩者中。更詳細地說,P型功函數金屬層400形成在奈米結構170之間,例如通過周向地環繞在PFET區中的閘極介電層220。或者說,在這個製造階段,P型功函數金屬層400有效地替代了PFET區中的犧牲層230。
然而,P型功函數金屬層400沒有形成在NFET區中的奈米結構170之間,因為犧牲層230仍然保留在那裡。犧牲層230在NFET區中的存在是有益的,因為它比P型功函數金屬層400更容易(在後續製程中)去除。換言之,如果沒有形成犧牲層230,P型功函數金屬層400也會形成在NFET區中,包括在奈米結構170之間。但由於NFET需要N型功函數金屬作為它的閘極電極,因此在NFET區中形成的任何P型功函數金屬層都必須在後續製程中去除,然後才能在NFET中形成N型功函數金屬。不幸的是,完全去除NFET區中奈米結構170之間的P型功函數金屬可能需要強化學品(strong chemicals),例如,可能會無意中損壞IC裝置200的其它元件的磨耗性蝕刻劑(abrasive etchant)。在本文中,犧牲層230堵塞了NFET區中奈米結構170之間的空間,因此防止了NFET區中奈米結構170之間的P型功函數金屬層的形成。如上所述,犧牲層230可以比P型功函數金屬層400更容易地去除(例如,通過較不磨耗性的蝕刻劑或化學品)。因此,本文的製程流程可以避免對IC裝置200造成不經意的損壞,因為在NFET區中奈米結構170之間沒有任何部份的P型功函數金屬層需要被去除。
現在參考第7A-7B圖,對IC裝置200執行P型功函數金屬回拉製程430以部分地去除P型功函數金屬層400。在一些實施例中,P型功函數金屬回拉製程430包括一個或多個蝕刻製程,例如回蝕刻製程。部分地蝕刻掉P型功函數金屬層400位於開口260中的部分,使得P型功函數金屬層400在開口260中剩餘的部分在執行P型功函數金屬回拉製程之後具有高度440。在一些實施例中,高度440在約9nm至約19nm。這樣的高度範圍使得最終從NFET區去除P型功函數金屬層400更容易,因為大部分的P型功函數金屬層400已經被P型功函數金屬回拉製程430去除。
同時,P型功函數金屬層400周向地環繞奈米結構170的部分基本上不受影響。值得注意的是,作為P型功函數金屬回拉製程430的一部分,可以首先在IC裝置200的NFET區及PFET區中的P型功函數金屬層400上方形成膜層450。在一些實施例中,膜層450包含光阻材料。隨著P型功函數金屬回拉製程的執行,層450沿著P型功函數金屬層400被蝕刻掉。本文中膜層450的形成(及隨後的回拉)提高了P型功函數金屬回拉製程430的製程均勻性。
現在參考第8A-8B圖,對IC裝置200執行NFET圖案化製程470。更詳細地,NFET圖案化製程470可以首先去除NFET及PFET區中膜層450的剩餘部分。NFET圖案化製程470隨後在IC裝置200的PFET區上方形成圖案化光阻層480,但不在NFET區上方。圖案化光阻層480填充PFET區中的開口260並覆蓋P型功函數金屬層400,同時使NFET區中的開口260暴露。接著,NFET圖案化製程470執行一個或多個蝕刻製程以實質上地去除P型功函數金屬層400的剩餘部分。圖案化光阻層480用作PFET區域中P型功函數金屬層400的保護遮罩。如此一來,NFET圖案化製程470暴露了NFET區域中的閘極介電層220,而PFET區域中的P型功函數金屬層400受到保護並且基本上不受NFET圖案化製程470的影響。
現在參考第9A-9B圖,對IC裝置200執行N型功函數金屬形成製程500。作為N型功函數金屬形成製程500的第一步,去除圖案化光阻層480。圖案化光阻層480的去除可能涉及含氧化學品的應用。因此,P型功函數金屬層400可能變得部分氧化。儘管P型功函數金屬層400的氧化不是有意或希望的,但在本文中並不是個主要問題。原因之一是本文中的 PFET 裝置用於實施靜態隨機存取記憶體 (SRAM) 單元的上拉 (pull-up, PU) 電晶體(下面參考第10圖更詳細地討論)。與使用NFET裝置實施的SRAM單元的下拉(pull-down, PD)電晶體或通道(pass-gate, PG)電晶體相比,PU電晶體對於其功函數金屬的非經意氧化所導致的任何性能下降較不敏感。換言之,與SRAM單元的PU電晶體的P型功函數金屬相比,SRAM單元的PD及PG電晶體的N型功函數金屬對於材料劣化或雜質具有更高的敏感度。因此,更傾向暴露PFET的P型功函數金屬層400,使其因圖案化光阻層480的去除所導致的非經意氧化,勝於暴露(NFET的)N型功函數金屬層。相比之下,傳統的半導體裝置製造流程可能使NFET的N型功函數金屬暴露於非經意的氧化(例如,由從NFET區去除光阻材料引起)。因此,由傳統製造製程流程形成的裝置(例如,SRAM單元)與本揭露的裝置相比可能具有更差的裝置性能,例如與閾值電壓的高波動相關的性能退化。與傳統裝置相比,本發明形成的裝置可以達到更好的閾值電壓一致性及∕或裝置速度。
作為N型功函數金屬形成製程的第二步,執行沉積製程以在P型功函數金屬層400上沉積N型功函數金屬層510。在一些實施例中,N型功函數金屬層510包括含鋁材料,例如碳化鈦鋁(TiAlC)。在一些實施例中,沉積製程可以包括ALD製程以精細地控制N型功函數金屬層510的厚度520。在一些實施例中,厚度520在約30Å至約40Å之間。這樣的厚度範圍允許N型功函數金屬層510有效地調整NFET裝置的閾值電壓。
值得注意的是,儘管 PFET 區現在存在N型功函數金屬(例如,N型功函數金屬層510的TiAlC),但它基本上不會影響 PFET 裝置的性能或預期操作。原因之一是N型功函數金屬層510沒有周向地環繞PFET區中的奈米結構170(參見第9B圖)。例如,奈米結構170(用作PFET裝置的通道)之間的空間由界面層210、閘極介電層220及P型功函數金屬層400填充。沒有任何部分的N型功函數金屬層510被設置在PEFT區中的奈米結構170之間的這些空間中。反之,N型功函數金屬層510的一區段510A設置在最上層的一個奈米結構170之上,但即使如此,該區段510A仍然位於P型功函數金屬層400之上。由於P型功函數金屬層400更靠近那個最上層的奈米結構170,因此它相較位於較遠的N型功函數金屬層510,對PFET裝置的閾值電壓調整發揮更大的影響。
此外,就N型功函數金屬層510的區段510A對PFET裝置的閾值電壓調整所發揮任何程度的影響而言,它仍然主要僅對於最上面的那個奈米結構170發揮影響。N型功函數金屬層510的區段510A的存在對最上面的那個奈米結構170下方的其餘奈米結構170幾乎沒有影響,因為N型功函數金屬層510的區段510A位於距離其餘的奈米結構170更遠的位置。此外,如第9B圖所示,N型功函數金屬層510具有向下凸出的垂直區段510B,但這些向下凸出的區段510B也沒有周向地環繞奈米結構170,因為它們位於PFET區中的奈米結構170的“側面”。同樣,這樣的設置限制了N型功函數金屬層510能夠對PFET裝置的閾值電壓調整所能產生的影響程度。
作為N型功函數金屬形成製程的第三步驟,執行沉積製程以在N型功函數金屬層510上沉積膜層530。在一些實施例中,膜層530可以包括TiN。膜層530防止N型功函數金屬層510被氧化,這原本是不希望的。在一些實施例中,沉積製程可以包括ALD製程以精細地控制膜層530的厚度540。在一些實施例中,厚度410或厚度520至少是厚度540的兩倍。例如,在厚度410或厚度520在約30Å至約40Å之間的實施例中,厚度540可以在約10Å至約12Å之間。這樣的厚度範圍使膜層530足夠厚以有效地保護N型功函數金屬層510不被氧化,且又不會因為太厚而對NFET裝置的功函數的調整造成不希望的干擾。換言之,即使層530可以包含諸如TiN的P型功函數金屬,其在NFET區域中的設置仍然基本上不會干擾NFET裝置的閾值電壓調整,因為膜層530與N型功函數金屬層510相比而言要薄得多,更因為與N型功函數金屬層510相比,膜層530位於更遠離奈米結構170(用作NFET的通道)的位置。
能理解的是,可以執行額外的製造製程來完成IC裝置200的製造。例如,填充金屬—用作閘極電極的主要導電部分—可以沉積在NFET區及PFET區中的膜層530之上。在一些實施例中,填充金屬層可以包括鈷、鎢、銅、鋁或合金或它們的組合。也可以形成諸如閘極接觸件及∕或源極∕汲極接觸件的導電接觸件以提供電性連接至NFET及PFET裝置中的元件。此後,也可以形成多層互連結構。互連結構可以包括金屬線及導孔以執行電氣佈線。然而,為了簡單起見,這些額外的製程及元件在本文中沒有具體說明。
由於此處執行的獨特製造製程流程,此處的IC裝置200也具有某些獨特的物理特性。一個獨特的物理特性(physical traits)是此處的PFET裝置包含微量的N型金屬。例如,N型功函數金屬層510的TiAlC材料存在於PFET裝置中。具體而言,N型功函數金屬層510的一區段510A位於P型功函數金屬層400的最上表面上方。此外,N型功函數金屬層510的區段510B在PFET中朝向基板110向下突出,這些區段510B位於P型功函數金屬層400的側面。然而,沒有任何部分的N型金屬層510位於PFET的奈米結構170之間的空間中。此外,在NFET及PFET中的N型功函數金屬層510的上表面上也形成了含TiN層530。部分的含TiN層530也形成在NFET的奈米結構170之間的空間中,但不在PFET的奈米結構170之間的空間中。這些獨特的物理特性可以使用電子顯微鏡掃描來檢測,並且它們在IC裝置中的存在可以作為IC裝置是使用本揭露的方法所製造的證據。
可以理解,上面討論的IC裝置200可以在各種IC應用中實施,包括諸如靜態隨機存取記憶體(SRAM) 裝置之類的記憶體裝置。在這方面, 第10圖出示了單埠(single-port)SRAM單元(例如,1位元SRAM單元)800的示例電路示意圖。單埠SRAM單元800包括上拉電晶體PU1、PU2;下拉電晶體PD1、PD2;以及通道電晶體PG1、PG2。如電路圖所示,電晶體PU1、PU2為P型電晶體,電晶體PG1、PG2、PD1、PD2為N型電晶體。根據本揭露的各個方面,PG1、PG2、PD1及PD2電晶體用比PU1及PU2電晶體更薄的間隔物來實施。由於在所示實施例中SRAM單元800包括六個電晶體,它也可以被稱為6T SRAM單元。
上拉電晶體PU1汲下拉電晶體PD1的汲極被耦合(coupled)在一起,上拉電晶體PU2及下拉電晶體PD2的汲極被耦合在一起。電晶體PU1及PD1與電晶體PU2及PD2被交叉耦合以形成第一數據閂鎖器(first data latch)。電晶體PU2及PD2的閘極被耦合在一起並連接到電晶體PU1及PD1的汲極以形成第一儲存節點SN1,電晶體PU1及PD1的閘極被耦合在一起並連接到電晶體PU2及PD2的汲極以形成互補第一儲存節點SNB1。上拉電晶體PU1及PU2的源極耦合到電源電壓Vcc(也稱為Vdd),並且下拉電晶體PD1及PD2的源極耦合到電壓Vss,該電壓Vss在一些實施例中可以是電性接地。
第一數據閂鎖器的第一儲存節點SN1通過通道電晶體PG1連接到位元線BL(bit line),互補第一儲存節點SNB1通過通道電晶體PG2連接到互補位元線BLB。第一儲存節點SN1和互補的第一儲存節點SNB1是互補節點,通常處於相反的邏輯位準(logic levels)(邏輯高階或邏輯低階)。通道電晶體PG1及PG2的閘極被耦合到字元線WL(word line)。諸如SRAM單元800的SRAM裝置可以使用“平面” 電晶體裝置、具有FinFET的裝置及∕或具有GAA的裝置來實施。
如上所述,NFET裝置用於實施PD及PG電晶體,而PFET裝置用於實施PU電晶體。由於PD及PG電晶體比PU電晶體對於潛在退化更敏感,因此更傾向避免對NFET裝置造成潛在損壞。因此,本揭露利用製造製程流程來防止由氧化所引起對於NFET裝置的潛在損壞。因此,可以提高SRAM裝置的性能。
第11圖出示了根據本揭露的實施例的積體電路製造系統900。製造系統900包括通過溝通網絡918連接的多個實體(entities)902、904、906、908、910、912、914、916…、N。網絡918可以是單個網絡或者可以是各種不同的網絡,例如內聯網及互聯網,並且可以包括有線及無線通信通道。
在一個實施例中,實體902代表用於製造協作的服務系統;實體904代表用戶,例如監控目標產品的產品工程師;實體906代表工程師,例如控製製程及相關配方的製程工程師,或監控或調整製程設備的條件及設定的設備工程師;實體908代表用於IC測試及測量的量測工具;實體910代表半導體製程設備,例如用於執行上述各種沉積製程的製程設備;實體912代表與製程設備910相關聯的虛擬量測模組(module);實體914表示與製程設備910以及另外的其它製程設備相關聯的高級處理控制模組;實體916表示與製程設備910相關聯的取樣模組。
每個實體可以與其它實體互動並且可以向其它實體提供積體電路製造、製程控制及∕或計算能力及∕或從其它實體接收諸如此類的能力。每個實體還可以包括一個或多個用於執行計算及執行自動化的電腦系統。例如,實體914的高級製程控制模組可以包括其中編碼有軟體指令的多個電腦硬體。電腦硬體可以包括硬碟、快閃驅動器(flash drives)、CD-ROM、RAM 記憶體、顯示裝置(例如,監視器)、輸入∕輸出裝置(例如,滑鼠及鍵盤)。軟體指令可以用任何合適的程式語言編寫並且可以設計以執行特定任務。
積體電路製造系統900能夠實現實體之間的互動,以實現積體電路(IC)製造以及IC製造的高級製程控制的目的。在一個實施例中,高級製程控制包括根據量測結果調整出一個製程設備適用於相關晶圓的製程條件、設定及∕或配方。
在另一個實施例中,量測結果是根據基於製程品質及∕或產品品質所確立的最佳取樣率,從一批已經過製程的晶圓的一子集合中測量的量測結果。而在另一個實施例中,量測結果是根據基於製程品質及∕或產品品質的各種特徵所確立的最佳取樣區∕點,從一批已經過製程的晶圓的一子集合中的選定區及點測量的量測結果。
IC製造系統900提供的能力之一可以實現在諸如設計、工程和及製程、量測及高級製程控制等領域中的合作及訊息交流。IC製造系統900提供的另一能力是可以整合設備之間的系統,例如在量測設備及製程設備之間。這種整合使設備能夠協調其工作。例如,整合量測設備及製程設備可以使製造過程中的相關資訊更有效地結合到製造過程或APC(Auto Processing Control)模組中,並且可以使來自線上或現場的量測設備設測量結果能夠整合到相關的製程設備中。
第12圖是說明製造半導體裝置的方法1000的流程圖。方法1000包括步驟1010以在NFET的第一通道結構上方及PFET的第二通道結構上方形成犧牲層。
方法1000包括步驟1020以通過至少部分地蝕刻掉PFET中的犧牲層並同時保護NFET不被蝕刻以執行PFET圖案化製程。
方法1000包括步驟1030以在NFET及PFET兩者中皆沉積P型功函數(WF)金屬層。
方法1000包括步驟1040以通過至少部分地蝕刻掉NFET中的P型功函數金屬層及犧牲層並同時保護PFET不被蝕刻以執行NFET圖案化製程。
方法1000包括步驟1050以在NFET及PFET兩者中皆沉積N型功函數金屬。
在一些實施例中,第一通道結構包括第一奈米結構堆疊,第二通道結構包括第二奈米結構堆疊,並且形成犧牲層的步驟1010包括在奈米結構堆疊中的每個奈米結構周圍周向地沉積犧牲層。在一些實施例中,沉積P型功函數金屬層包括用P型功函數金屬層填充第二奈米結構堆疊中的奈米結構之間的空間,但不填充第一奈米結構堆疊中的奈米結構之間的空間。在一些實施例中,沉積N型功函數金屬層包括用N型功函數金屬層填充第一奈米結構堆疊中的奈米結構之間的空間,而不填充第二奈米結構堆疊中的奈米結構之間的空間。
在一些實施例中,執行PFET圖案化製程的步驟1020包括形成光阻層作為NFET的保護層,但不作為PFET的保護層。在一些實施例中,在執行PFET圖案化製程之後但在沉積P型功函數金屬層之前,去除光阻層,並且回蝕刻犧牲層。
在一些實施例中,沉積P型功函數金屬層的步驟1030包括沉積TiN層作為P型功函數金屬層。在一些實施例中,沉積N型功函數金屬層的步驟1050包括沉積TiAlC層作為N型功函數金屬層。在一些實施例中,額外的TiN層沉積在NFET及PFET兩者中的TiAlC層之上。
可以理解,可以在步驟1010-1050之前、期間或之後執行附加步驟。例如,在一些實施例中,方法1000還可以包括在根據步驟1020,執行PFET圖案化製程之前回蝕刻犧牲層的步驟。在一些實施例中,回蝕刻的步驟包括在NFET及PFET兩者中的P型功函數金屬層上方形成光阻層,以及在NFET及PFET兩者中連同P型功函數金屬層回蝕刻光阻層。在一些實施例中,方法1000還可以包括回蝕刻NFET及PFET中的P型功函數金屬層的步驟,該步驟可以在沉積P型功函數金屬層之後但在NFET圖案化製程之前就已被執行。
本揭露的獨特製造製程流程及所得IC裝置結構提供優於傳統裝置的優點。然而,應當理解,不限於特定的優點,其它實施例可以提供不同的優點,並且並非所有優點都必須在本文中揭露。一個優點是改進了裝置性能,特別是對於SRAM裝置。例如,隨著新一代技術中裝置尺寸的縮小,IC 的微電子元件可以更容易受到意外損壞。這種意外的損壞可以發生於光阻材料(用於圖案化)被去除時,其中可能需要應用到會氧化光阻材料下面的功函數層的化學物質。N型功函數金屬的氧化特別麻煩,因為NFET用於實施SRAM裝置的PG及PD電晶體,其中PG及PD電晶體比用PFET所實施的PU電晶體對性能下降更敏感。本揭露的獨特製程流程避免了可能氧化(並因此損壞)NFET的N型功函數金屬的這種光阻去除製程。因此,所得SRAM在閾值電壓(例如,更均勻的閾值電壓)及∕或速度(例如,更快的速度)方面可以具有更好的裝置性能。其它優點可能包括易於製造及與現有製造製程的兼容性。
上述先進的微影製程、方法及材料可用於許多應用,包括鰭式場效應電晶體(FinFET)。例如,鰭片可以被圖案化以在特徵之間產生相對緊密的間距,上述揭露非常適合於此。此外,用於形成FinFET鰭片的間隔物,也稱為心軸,可以根據上述揭露進行處理。還應當理解,上面討論的本揭露的各個方面可以應用於多通道裝置,例如全繞式閘極(gate-all-around, GAA)裝置。在本揭露涉及鰭片結構或FinFET裝置的範圍內,這樣的討論可以同樣適用於GAA裝置。
本揭露的一個方面涉及一種半導體裝置。半導體裝置包括基板。通道結構從基板垂直突出。閘極介電層設置在通道結構上方。P型功函數(WF)金屬層設置在閘極介電層上方。N型功函數金屬層設置在P型功函數金屬層上方。
本公開的另一方面涉及一種半導體裝置。該半導體裝置包括N型電晶體(NFET)。NFET包括:第一奈米結構堆疊,其中第一奈米結構堆疊中的奈米結構交互疊置;第一閘極介電結構,其在橫截面圖中周向地環繞第一奈米結構堆疊中的每個奈米結構;N型功函數(WF)金屬層在橫截面圖中周向地環繞第一閘極介電結構。該半導體裝置包括P型電晶體(PFET)。PFET包括:第二奈米結構堆疊,其中第二奈米結構堆疊中的奈米結構交互疊置;第二閘極介電結構,其在橫截面圖中周向地環繞第二奈米結構堆疊中的每個奈米結構;P型WF金屬層在剖面圖中環繞第二閘極介電結構;在截面圖中,部分的N型功函數金屬層設置在P型功函數金屬層的上表面及側表面上。
本公開的另一方面涉及一種製造半導體裝置的方法。犧牲層形成在N型電晶體(NFET)的第一通道結構之上及P型電晶體(PFET)的第二通道結構之上。通過至少部分地蝕刻掉PFET中的犧牲層且同時保護NFET不被蝕刻以執行PFET圖案化製程。在執行PFET圖案化製程之後,在NFET及PFET中皆沉積P型功函數(WF)金屬層。通過至少部分地蝕刻掉NFET中的P型功函數金屬層及犧牲層且同時保護PFET不被蝕刻以執行NFET圖案化製程。在執行 NFET 圖案化製程之後,N型功函數金屬層沉積在NFET及PFET中。
以上概述數個實施例之特徵,以使本發明所屬技術領域中具有通常知識者可以更加理解本發明實施例的觀點。本發明所屬技術領域中具有通常知識者應理解,可輕易地以本發明實施例為基礎,設計或修改其它製程和結構,以達到與在此介紹的實施例相同之目的及∕或優勢。在本發明所屬技術領域中具有通常知識者也應理解,此類等效的結構並無悖離本發明的精神與範圍,且可以在不違背本發明之精神和範圍下,做各式各樣的改變、取代、以及替換。
90:工作件 110:基板 120:主動區 122:源極∕汲極元件 130:隔離結構 140:閘極結構 150:透視圖 160:閘極間隔物 165:蓋層 170:奈米結構 175:介電內間隔物(dielectric inner spacers) 180:源極∕汲極接觸件 185:層間介電質(interlayer dielectric, ILD) 200:工作件 210:界面層 220:閘極介電層 230:犧牲層 250:硬遮罩層 260:開口 270:蝕刻停止層 280:閘極結構 290:厚度 290A:厚度 300:製程步驟 320:光阻層 340:製程步驟 360:製程步驟 380:製程步驟 400:P型功函數金屬層(p-type work function metal layer) 410:厚度 430:製程步驟 440:高度 450:膜層 470:製程步驟 480:光阻層 500:製程步驟 510:N型功函數金屬層(n-type work function metal layer) 510A:區段 510B:區段 520:厚度 530:膜層 540:厚度 800:示意圖 900:系統圖 902∕904∕906:方框 908∕910∕912:方框 914∕916:方框 1000:方法 1010∕1020∕1030:方框 1040∕1050:方框 X:方向 Y:方向 Z:方向 A-A':剖線 B-B':剖線
以下將配合所附圖式詳述本發明實施例。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製且僅用以說明例示。事實上,可任意地放大或縮小元件的尺寸,以清楚地表現出本發明實施例的特徵。還需要強調的是,所附附圖僅說明了本發明的典型實施例,因此不應被認為是對範圍的限制,因為本發明可以同樣適用於其它實施例。 第1A圖示出了FinFET裝置的三維透視圖。 第1B圖示出了FinFET裝置的俯視圖。 第1C圖示出了多通道全繞式閘極(gate-all-around, GAA)裝置的三維透視圖。 第2A-9A圖及第2B-9B圖示出了根據本揭露實施例的在不同製造階段的半導體裝置的一系列截面圖。 第10圖示出了根據本揭露的各個方面的靜態隨機存取記憶體(Static Random Access Memory, SRAM)單元。 第11圖示出了根據本揭露的各個方面的積體電路製造系統。 第12圖示出了根據本揭露的各個方面的製造半導體裝置的方法的流程圖。
110:基板
130:隔離結構
170:奈米結構
185:層間介電質(interlayer dielectric,ILD)
200:工作件
210:界面層
220:閘極介電層
270:蝕刻停止層
280:閘極結構
400:P型功函數金屬層(p-type work function metal layer)
500:製程步驟
510:N型功函數金屬層(n-type work function metal layer)
510A:區段
510B:區段
520:厚度
530:膜層
540:厚度
B-B’:剖線

Claims (20)

  1. 一種半導體裝置,包括: 一基板; 一通道結構,從該基板垂直地突出; 一閘極介電層,設置在該通道結構上方; 一P型功函數(work function, WF)金屬層,設置於該閘極介電層上方,其中該P型功函數金屬層是一P型場效電晶體(p-channel Field-effect transistor, PFET)裝置的一閘電極的一部分;及 一N型功函數金屬層,設置在該P型功函數金屬層之上,其中該N型功函數金屬層是該PFET裝置的該閘電極的另一部分,並且其中該N型功函數金屬層在一截面圖中包括多個向下突出的區段(segments)。
  2. 如請求項1之半導體裝置,其中該閘電極沿一第一水平方向延伸,其中該截面圖由該第一水平方向及一垂直方向定義。
  3. 如請求項1之半導體裝置,其中: 該通道結構包括複數個奈米結構的堆疊,其中該些奈米結構被交互疊置。 在一截面圖中,該閘極介電層周向地(circumferentially) 環繞每一個所述奈米結構;及 該P型功函數金屬層在該截面圖中周向地環繞該閘極介電層。
  4. 如請求項3之半導體裝置,在該截面圖中,沒有任何部分的該N型功函數金屬層被設置在該些奈米結構之間。
  5. 如請求項1之半導體裝置,其中: 該P型功函數金屬層含有TiN; 該N型功函數金屬層含有TiAlC;及 該半導體裝置更包括一含TiN的膜層,形成在該N型功函數金屬層上方。
  6. 如請求項5之半導體裝置,其中: 該P型功函數金屬層或該N型功函數金屬層具有一第一厚度; 該含TiN的膜層具有一第二厚度;及 該第一厚度至少是該第二厚度的兩倍。
  7. 如請求項5之半導體裝置,其中該半導體裝置更包括一N型場效電晶體(n-channel Field-effect transistor, NFET)裝置,該NFET裝置的一閘電極包括該N型功函數金屬層及該含TiN的膜層。
  8. 如請求項7之半導體裝置,其中該PFET裝置的該含TiN的膜層的一上表面在垂直方向上比該NFET裝置的該閘電極的一上表面更高。
  9. 一種半導體裝置,包括: 一N型場效電晶體(NFET),包括: 一第一奈米結構堆疊,其中該第一奈米結構堆疊的複數個奈米結構交互疊置; 一第一閘極介電結構,其在一截面圖中周向地環繞該第一奈米結構堆疊中的每個奈米結構;及 一N型功函數(WF)金屬層,其在該截面圖中周向地環繞該第一閘極介電結構;及 一P型場效電晶體(PFET),包括: 一第二奈米結構堆疊,其中該第二奈米結構堆疊的複數個奈米結構交互疊置; 一第二閘極介電結構,其在該截面圖中周向地環繞該第二奈米結構堆疊中的每個奈米結構; 一P型功函數金屬層,其在該截面圖中周向地環繞該第二閘極介電結構;及 在該截面圖中,多個部分的該N型功函數金屬層被設置在該P型功函數金屬層的一上表面及多個側表面之上。
  10. 如請求項9之半導體裝置,其中該第二奈米結構堆疊中的奈米結構之間的空間被該P型功函數金屬層的多個部分填充,但不被該N型功函數金屬層的該些部分填充。
  11. 如請求項9之半導體裝置,更包括一TiN材料,位於該NFET中及該PFET中,其中: 該NFET中的所述TiN材料的部分位於該第一奈米結構堆疊的奈米結構之間;及 該PFET中的所述TiN材料的部分位於該第二奈米結構堆疊的奈米結構上,但不在該第二奈米結構堆疊中的奈米結構之間。
  12. 一種半導體裝置的製造方法,包括: 形成一犧牲層(sacrificial layer)於一N型場效電晶體(NFET)的一第一通道結構上方及一P型場效電晶體(PFET)的一第二通道結構上方; 通過至少部份地蝕刻掉該PFET中的該犧牲層且同時保護該NFET不被蝕刻以執行一PFET圖案化製程; 在完成該PFET圖案化製程之後,在該NFET中及該PFET中皆沉積一P型功函數(WF)金屬層; 通過至少部份地蝕刻掉該NFET中的該P型功函數金屬層及該犧牲層且同時保護該PFET不被蝕刻以執行一NFET圖案化製程; 在完成該NFET圖案化製程之後,在該NFET中及該PFET中皆沉積一N型功函數金屬層。
  13. 如請求項12之半導體裝置的製造方法,其中: 該第一通道結構包括一第一奈米結構堆疊; 該第二通道結構包括一第二奈米結構堆疊;及 形成該犧牲層的步驟包括:在該第一奈米結構堆疊與該第二奈米結構堆疊的每個奈米結構周圍周向地沉積該犧牲層。
  14. 如請求項13之半導體裝置的製造方法,其中: 沉積該P型功函數金屬層的步驟包括:用該P型功函數金屬層填充該第二奈米結構堆疊之間的空間,而不用該P型功函數金屬層填充該第一奈米結構堆疊之間的空間;及 沉積該N型功函數金屬層的步驟包括:用該N型功函數金屬層填充該第一奈米結構堆疊之間的空間,而不用該N型功函數金屬層填充該第二奈米結構堆疊之間的空間。
  15. 如請求項12之半導體裝置的製造方法,更包括在進行該PFET圖案化製程之前回蝕刻該犧牲層。
  16. 如請求項12之半導體裝置的製造方法,其中該PFET圖案化製程包括形成一光阻層作為該NFET的保護層,但不作為該PFET的保護層,且其中該方法更包括,在進行該PFET圖案化製程之後、但在沉積該P型功函數金屬層之前: 去除該光阻層;及 回蝕刻該犧牲層。
  17. 如請求項14之半導體裝置的製造方法,更包括在在沉積該P型功函數金屬層之後、但在執行該NFET圖案化製程之前:在該NFET中及該PFET中回蝕刻該P型功函數金屬層。
  18. 如請求項17之半導體裝置的製造方法,其中該回蝕刻更包括: 在該NFET中及該PFET中的該P型功函數金屬層上形成一光阻層;及 在該NFET中及該PFET中回蝕刻該光阻層及該P型功函數金屬層。
  19. 如請求項12之半導體裝置的製造方法,其中: 沉積該P型功函數金屬層包括沉積一TiN層作為該P型功函數金屬層;及 沉積該N型功函數金屬層包括沉積一TiAlC層作為該N型功函數金屬層。
  20. 如請求項19之半導體裝置的製造方法,更包括:在該NFET中及該PFET中的該TiAlC層上再沉積一TiN層。
TW112101183A 2022-06-04 2023-01-11 半導體裝置及其製造方法 TW202405947A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/832,590 2022-06-04
US17/832,590 US20230395598A1 (en) 2022-06-04 2022-06-04 Metal Gate Electrode Formation Of Memory Devices

Publications (1)

Publication Number Publication Date
TW202405947A true TW202405947A (zh) 2024-02-01

Family

ID=88976043

Family Applications (1)

Application Number Title Priority Date Filing Date
TW112101183A TW202405947A (zh) 2022-06-04 2023-01-11 半導體裝置及其製造方法

Country Status (3)

Country Link
US (1) US20230395598A1 (zh)
CN (1) CN221057430U (zh)
TW (1) TW202405947A (zh)

Also Published As

Publication number Publication date
US20230395598A1 (en) 2023-12-07
CN221057430U (zh) 2024-05-31

Similar Documents

Publication Publication Date Title
TWI777424B (zh) 半導體裝置及其形成方法
TWI785604B (zh) 半導體裝置及其製造方法
US20230361124A1 (en) Isolation structure for preventing unintentional merging of epitaxially grown source/drain
US20230361174A1 (en) Gate air spacer protection during source/drain via hole etching
US20230246083A1 (en) Protective liner for source/drain contact to prevent electrical bridging while minimizing resistance
US11990525B2 (en) Isolation structure for isolating epitaxially grown source/drain regions and method of fabrication thereof
CN221057430U (zh) 半导体结构
TWI812294B (zh) 半導體裝置及其製造方法
US11521971B2 (en) Gate dielectric having a non-uniform thickness profile
TWI792429B (zh) 用於SiGe通道的含氮化物STI襯裡
TWI817627B (zh) 半導體裝置及其製造方法
US20240072137A1 (en) Performance Optimization By Sizing Gates And Source/Drain Contacts Differently For Different Transistors
US20220336367A1 (en) Forming Liners to Facilitate The Formation of Copper-Containing Vias in Advanced Technology Nodes
US20240021687A1 (en) Void-Free Conductive Contact Formation
TW202239007A (zh) 半導體裝置
CN113314536A (zh) 半导体器件和制造半导体器件的方法
CN117012827A (zh) 半导体器件及其制造方法
CN118352357A (zh) 半导体器件及其制造方法