TW202401934A - 光子封裝及製造方法 - Google Patents

光子封裝及製造方法 Download PDF

Info

Publication number
TW202401934A
TW202401934A TW112100859A TW112100859A TW202401934A TW 202401934 A TW202401934 A TW 202401934A TW 112100859 A TW112100859 A TW 112100859A TW 112100859 A TW112100859 A TW 112100859A TW 202401934 A TW202401934 A TW 202401934A
Authority
TW
Taiwan
Prior art keywords
dielectric layer
waveguide
silicon
photonic
layer
Prior art date
Application number
TW112100859A
Other languages
English (en)
Inventor
蔡宗甫
夏興國
盧思維
余振華
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202401934A publication Critical patent/TW202401934A/zh

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/12004Combinations of two or more optical elements
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/13Integrated optical circuits characterised by the manufacturing method
    • G02B6/136Integrated optical circuits characterised by the manufacturing method by etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/022Mountings; Housings
    • H01S5/0239Combinations of electrical or optical elements
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12035Materials
    • G02B2006/12061Silicon
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12083Constructional arrangements
    • G02B2006/12121Laser
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12083Constructional arrangements
    • G02B2006/12123Diode
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/122Basic optical elements, e.g. light-guiding paths
    • G02B6/124Geodesic lenses or integrated gratings
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/26Optical coupling means
    • G02B6/30Optical coupling means for use between fibre and thin-film device
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4204Packages, e.g. shape, construction, internal or external details the coupling comprising intermediate optical elements, e.g. lenses, holograms
    • G02B6/4214Packages, e.g. shape, construction, internal or external details the coupling comprising intermediate optical elements, e.g. lenses, holograms the intermediate optical element having redirecting reflective means, e.g. mirrors, prisms for deflecting the radiation from horizontal to down- or upward direction toward a device
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4274Electrical aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/022Mountings; Housings
    • H01S5/0225Out-coupling of light
    • H01S5/02251Out-coupling of light using optical fibres
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/022Mountings; Housings
    • H01S5/0225Out-coupling of light
    • H01S5/02253Out-coupling of light using lenses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/022Mountings; Housings
    • H01S5/0233Mounting configuration of laser chips
    • H01S5/02345Wire-bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/04Processes or apparatus for excitation, e.g. pumping, e.g. by electron beams
    • H01S5/042Electrical excitation ; Circuits therefor
    • H01S5/0425Electrodes, e.g. characterised by the structure
    • H01S5/04256Electrodes, e.g. characterised by the structure characterised by the configuration

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Semiconductor Lasers (AREA)
  • Optical Integrated Circuits (AREA)

Abstract

一種封裝包括:雷射二極體,包括接合層;第一介電層,位於雷射二極體之上,其中第一介電層直接接合至雷射二極體的接合層;第一氮化矽波導,位於第一介電層中,其中第一氮化矽波導在雷射二極體之上延伸;第二介電層,位於第一氮化矽波導之上;矽波導,位於第二介電層中;內連線結構,位於矽波導之上;以及導電特徵,延伸穿過第一介電層及第二介電層以與內連線結構電性接觸。

Description

光子封裝及製造方法
電性傳訊及處理(electrical signaling and processing)是一種用於訊號傳輸及處理的技術。近年來,已在越來越多的應用中使用光學傳訊及處理(optical signaling and processing),具體而言歸因於用於訊號傳輸的光纖相關應用的使用。
通常將光學傳訊及處理與電性傳訊及處理進行組合以提供成熟的應用。舉例而言,光纖可用於長距離訊號傳輸,且電性訊號可用於短距離訊號傳輸以及處理及控制。因此,形成整合有光學組件與電性組件的裝置以用於光學訊號與電性訊號之間的轉換以及光學訊號及電性訊號的處理。因此,封裝可包括光學(光子)晶粒及電子晶粒二者,光學(光子)晶粒包括光學裝置,電子晶粒包括電子裝置。
以下揭露內容提供用於實施本發明的不同特徵的諸多不同實施例或實例。以下闡述組件及排列的具體實例以簡化本揭露。當然,該些僅為實例且不旨在進行限制。舉例而言,以下說明中將第一特徵形成於第二特徵之上或第二特徵上可包括其中第一特徵與第二特徵被形成為直接接觸的實施例,且亦可包括其中第一特徵與第二特徵之間可形成有附加特徵進而使得第一特徵與第二特徵可不直接接觸的實施例。
此外,為易於說明,本文中可能使用例如「位於…之下(beneath)」、「位於…下方(below)」、「下部的(lower)」、「位於…上方(above)」、「上部的(upper)」及類似用語等空間相對性用語來闡述圖中所示的一個元件或特徵與另一(其他)元件或特徵的關係。所述空間相對性用語旨在除圖中所繪示的定向外亦囊括裝置在使用或操作中的不同定向。設備可具有其他定向(旋轉90度或處於其他定向),且本文中所使用的空間相對性描述語可同樣相應地進行解釋。在本文中的說明通篇中,除非另有說明,否則不同圖中的相同或相似參考編號是指藉由相同或相似形成方法而使用相同或相似材料形成的相同或相似元件。
在本揭露的態樣中,光子封裝包括具有積體雷射二極體的矽波導及氮化矽波導。可藉由以下方式形成雷射二極體:將雷射基底晶粒接合至所述結構且接著對雷射基底晶粒進行處理以形成雷射二極體。在一些情形中,此使得達成雷射二極體在光子封裝內的異質整合(heterogeneous integration)。所揭露的中介層使得能夠在半導體封裝中使用高度高效的邊緣安裝光纖(edge-mount optical fiber)及/或垂直安裝光纖(vertically-mounted optical fiber)來與外部裝置進行通訊且使得達成大的設計靈活性。此可使得達成光子封裝的降低的製造成本、改善的光學耦合及改善的裝置效能。
圖1至圖23示出根據實施例的處於各種製造階段的光子封裝100的剖視圖。在一些情形中,光子封裝100(亦被稱為光學引擎)可為半導體封裝或其他結構的一部分。在一些實施例中,光子封裝100在半導體封裝中提供光學訊號與電性訊號之間的輸入/輸出(input/output,I/O)介面。在一些實施例中,光子封裝100在光子封裝100內的組件(例如,光子裝置、積體電路、至外部光纖的耦合等)之間提供用於訊號通訊的光學網路。
首先轉至圖1,根據一些實施例,提供隱埋氧化物(buried oxide,「BOX」)基底102。BOX基底102包括形成於基底102C之上的氧化物層102B以及形成於氧化物層102B之上的矽層102A。舉例而言,基底102C可為例如以下材料:玻璃、陶瓷、介電質、半導體、類似材料或其組合。在一些實施例中,基底102C可為半導體基底,例如可為經摻雜的(例如,經p型摻雜劑或n型摻雜劑摻雜)或未經摻雜的塊狀半導體或類似半導體基底。基底102C可為晶圓,例如矽晶圓(例如,12英吋的矽晶圓)。亦可使用其他基底,例如多層式基底或梯度基底。在一些實施例中,基底102C的半導體材料可包括:矽;鍺;化合物半導體,包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;合金半導體,包括矽鍺、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP;或其組合。氧化物層102B可為例如氧化矽或類似材料。在一些實施例中,氧化物層102B可具有介於約0.5微米與約4微米之間的厚度。在一些實施例中,矽層102A可具有介於約0.1微米與約1.5微米之間的厚度。可能存在其他厚度。BOX基底102可被稱為具有前側或前表面(例如,在圖1中面朝上的側)及後側或後表面(例如,在圖1中面朝下的側)。
在圖2中,根據一些實施例,對矽層102A進行圖案化以形成用於波導104、光子組件106及光柵耦合器107的矽區。可使用合適的光微影技術及蝕刻技術對矽層102A進行圖案化。舉例而言,在一些實施例中,可在矽層102A之上形成硬罩幕層(例如,氮化物層或其他介電材料,未在圖2中示出)並對所述硬罩幕層進行圖案化。接著可使用蝕刻製程將硬罩幕層的圖案轉移至矽層102A。所述蝕刻製程可包括例如乾式蝕刻製程及/或濕式蝕刻製程。舉例而言,可對矽層102A進行蝕刻以形成對波導104(亦被稱為矽波導104)進行界定的凹槽,其中剩餘的未凹陷部分的側壁對波導104的側壁進行界定。在一些實施例中,可使用多於一種的光微影及蝕刻序列來對矽層102A進行圖案化。可自矽層102A圖案化出一個波導104或多個波導104。若形成多個波導104,則所述多個波導104可為各別的單獨波導104或者被連接為單一連續結構。在一些實施例中,波導104中的一或多者形成連續的環。可能存在波導104、光子組件106或光柵耦合器107的其他配置或排列,且可形成其他類型的光子組件106或光子結構。在一些情形中,波導104、光子組件106及光柵耦合器107可被統稱為「光子層」。
光子組件106可與波導104整合於一起且可與矽波導104一起形成。光子組件106可光學耦合至波導104,以與波導104內的光學訊號交互作用。光子組件106可包括例如光子裝置(例如光偵測器及/或調變器)。舉例而言,光偵測器可光學耦合至波導104以對波導104內的光學訊號進行偵測且產生與光學訊號對應的電性訊號。調變器可光學耦合至波導104以藉由對波導104內的光學功率進行調變而在波導104內接收電性訊號且產生對應的光學訊號。以此種方式,光子組件106會促進光學訊號進出波導104的輸入/輸出(I/O)。在其他實施例中,光子組件可包括其他主動組件或被動組件,例如雷射二極體、光學訊號分離器(optical signal splitter)或其他類型的光子結構或裝置。光學功率可由例如雷射二極體(例如,圖19中的雷射二極體162)提供至波導104。
在一些實施例中,可藉由例如對波導104的區進行局部蝕刻且在經蝕刻區的剩餘矽上生長磊晶材料來形成光偵測器。可使用可接受的光微影技術及蝕刻技術對波導104進行蝕刻。磊晶材料可包括例如半導體材料,例如可為經摻雜的或未經摻雜的鍺。在一些實施例中,作為形成光偵測器的一部分,可執行植入製程以在經蝕刻區的矽內引入摻雜劑。經蝕刻區的矽可經p型摻雜劑、n型摻雜劑或其組合摻雜。在一些實施例中,可藉由例如對波導104的區進行局部蝕刻且接著在經蝕刻區的剩餘矽內植入適當的摻雜劑來形成調變器。可使用可接受的光微影技術及蝕刻技術對波導104進行蝕刻。在一些實施例中,可使用一或多個相同的光微影步驟或蝕刻步驟來形成用於光偵測器的經蝕刻區與用於調變器的經蝕刻區。經蝕刻區的矽可經p型摻雜劑、n型摻雜劑或其組合摻雜。在一些實施例中,可使用一或多個相同的植入步驟來植入用於光偵測器的經蝕刻區與用於調變器的經蝕刻區。
在一些實施例中,一或多個光柵耦合器107可與波導104整合於一起且可與波導104一起形成。光柵耦合器107是光子結構,所述光子結構使得光學訊號及/或光學功率能夠在波導104與光子組件(例如垂直安裝光纖(例如,圖23中所示的垂直安裝光纖170))或另一光子系統的波導之間轉移。可使用可接受的光微影技術及蝕刻技術形成光柵耦合器107。在實施例中,在對波導104進行界定之後形成光柵耦合器107。舉例而言,可在波導104上形成光阻並對所述光阻進行圖案化。可將光阻圖案化成具有與光柵耦合器107對應的開口。可使用經圖案化的光阻作為蝕刻罩幕來執行一或多個蝕刻製程,以在對光柵耦合器107進行界定的波導104中形成凹槽。蝕刻製程可包括一或多個乾式蝕刻製程及/或濕式蝕刻製程。在一些實施例中,可形成其他類型的耦合器(圖中未各別標記),例如在波導104與光子封裝100的其他波導(例如氮化物波導134(參見圖15))之間對光學訊號進行耦合的結構。亦可形成邊緣耦合器,所述邊緣耦合器使得光學訊號及/或光學功率能夠在波導104與水平安裝於光子封裝100的側壁附近的光子組件之間轉移。該些及其他光子結構皆被認為處於本揭露的範圍內。
在圖3中,根據一些實施例,在BOX基底102的前側上形成介電層108,以形成光子佈線結構110。介電層108形成於波導104、光子組件106、光柵耦合器107及氧化物層102B之上。介電層108可由一或多層氧化矽、氮化矽、其組合或類似材料形成,且可藉由化學氣相沉積(chemical vapor deposition,CVD)、物理氣相沉積(physical vapor deposition,PVD)、原子層沉積(atomic layer deposition,ALD)、旋塗介電製程(spin-on-dielectric process)、類似製程或其組合形成。在一些實施例中,可藉由以下沉積方法形成介電層108:高密度電漿化學氣相沉積(high density plasma chemical vapor deposition,HDP-CVD)、可流動化學氣相沉積(flowable CVD,FCVD)(例如,在遠程電漿系統中進行的基於CVD的材料沉積且之後進行固化以使所述材料轉換成另一材料(例如氧化物))、類似沉積方法或其組合。可使用藉由任何可接受的製程形成的其他介電材料。在一些實施例中,接著使用平坦化製程(例如化學機械研磨(chemical-mechanical polish,CMP)製程、磨削製程或類似製程)對介電層108進行平坦化。在一些實施例中,介電層108可被形成為在氧化物層102B之上具有介於約50奈米與約500奈米之間的厚度,或者可被形成為在波導104之上具有介於約10奈米與約200奈米之間的厚度。在一些情形中,較薄的介電層108可使得在光柵耦合器107與垂直安裝光子組件之間達成更高效的光學耦合或者在波導104與上覆的波導(例如以下闡述的氮化物波導134(參見圖15))之間達成更高效的光學耦合。
由於波導104的材料的折射率與介電層108的材料的折射率不同,波導104具有高的內部反射,使得光實質上被限定於波導104內,此取決於光的波長及相應材料的折射率。在實施例中,波導104的材料的折射率高於介電層108的材料的折射率。舉例而言,波導104可包含矽且介電層108可包含氧化矽及/或氮化矽。
在圖4中,根據一些實施例,在介電層108中形成通孔112及接觸件113。在一些實施例中,形成通孔112及接觸件113作為形成重佈線結構120(參見圖5)的一部分,且在其他實施例中不形成通孔112。在一些實施例中,藉由鑲嵌製程(例如,單鑲嵌、雙鑲嵌或類似製程)形成通孔112。舉例而言,可藉由形成延伸穿過介電層108的開口來形成通孔112。在一些實施例中,開口可局部地延伸至氧化物層102B中或者完全延伸穿過氧化物層102B以暴露出基底102C。在一些實施例中,開口可局部地延伸至基底102C中。可使用可接受的光微影技術及蝕刻技術(例如藉由形成光阻並對所述光阻進行圖案化,且接著使用經圖案化的光阻作為蝕刻罩幕來執行蝕刻製程)形成開口。所述蝕刻製程可包括例如乾式蝕刻製程及/或濕式蝕刻製程。
根據一些實施例,接著可在開口中形成導電材料,進而形成通孔112。在一些實施例中,可使用鉭、氮化鉭、鈦、氮化鈦、鈷鎢(CoW)或類似材料在開口中形成襯墊(未示出)(例如擴散障壁層、黏合層或類似襯墊),且可使用合適的沉積製程(例如ALD或類似製程)形成襯墊。在一些實施例中,接著可在開口中沉積可包含銅或銅合金的晶種層(未示出)。可使用例如鍍覆製程在開口中形成通孔112的導電材料。導電材料可包括例如金屬或金屬合金(例如銅、銀、金、鎢、鈷、鋁或其合金)。可執行平坦化製程(例如,CMP製程或磨削製程),以沿著介電層108的頂表面移除多餘的導電材料,使得通孔112的頂表面與介電層108的頂表面齊平。在其他實施例中可使用其他技術或材料形成通孔112。
在一些實施例中,接觸件113延伸穿過介電層108且電性連接至光子組件106。接觸件113使得電性功率或電性訊號能夠被傳輸至光子組件106且能夠自光子組件106傳輸電性訊號。以此種方式,光子組件106可將電性訊號轉換成由波導104傳輸的光學訊號及/或可將來自波導104的光學訊號轉換成電性訊號。可在形成通孔112之前或形成通孔112之後形成接觸件113,且接觸件113的形成與通孔112的形成可共享一些步驟,例如導電材料的沉積及/或平坦化。在一些實施例中,藉由鑲嵌製程(例如,單鑲嵌、雙鑲嵌或類似製程)形成接觸件113。舉例而言,在一些實施例中,首先使用可接受的光微影技術及蝕刻技術在介電層108中形成接觸件113的開口(未示出)。接著可在開口中形成導電材料,進而形成接觸件113。可使用CMP製程或類似製程移除多餘的導電材料。接觸件113的導電材料可由金屬或金屬合金(包括鋁、銅、鎢或類似材料)形成,接觸件113的導電材料可與通孔112的導電材料相同。在其他實施例中可使用其他技術或材料形成接觸件113。
在圖5中,根據一些實施例,在介電層108之上形成重佈線結構120。重佈線結構120是內連線結構,所述內連線結構包括介電層117及形成於介電層117中且提供內連線及電性佈線的導電特徵114。舉例而言,重佈線結構120可對通孔112、接觸件113及/或上覆裝置(例如電子晶粒122(參見圖6))進行連接。介電層117可為例如絕緣層或鈍化層,且可包含與以上針對介電層108闡述的材料相似的一或多種材料(例如氧化矽或氮化矽)或者可包含不同的材料。介電層117與介電層108可對相同波長範圍內的光透明或幾乎透明。可使用與以上針對介電層108闡述的技術相似的技術或使用不同的技術來形成介電層117。導電特徵114可包括導電線及通孔且可藉由鑲嵌製程(例如,單鑲嵌、雙鑲嵌或類似製程)形成。如圖5中所示,在介電層117的最頂層中形成導電墊116。可在形成導電墊116之後執行平坦化製程(例如,CMP製程或類似製程),使得導電墊116的表面與最頂部介電層117的表面實質上共面。重佈線結構120可相較於圖5中所示般而包括更多或更少的介電層117、導電特徵114或導電墊116。在一些實施例中,重佈線結構120可被形成為具有介於約4微米與約8微米之間的厚度。可能存在其他厚度。
在圖6中,根據一些實施例,將一或多個電子晶粒122接合至重佈線結構120。電子晶粒122可為例如使用電性訊號與光子組件106進行通訊的半導體裝置、晶粒或晶片。在一些實施例中,電子晶粒122可對自光子組件106接收的電性訊號進行處理或者可產生由光子組件106轉換成光學訊號的電性訊號。在圖6中示出一個電子晶粒122,但在其他實施例中光子封裝100可包括二或更多個電子晶粒122。在一些情形中,可將多個電子晶粒122併入至單個光子封裝100中,以降低處理成本或提高功能性。電子晶粒122包括晶粒連接件124,晶粒連接件124可為例如導電墊、導電支柱或類似晶粒連接件。在一些實施例中,電子晶粒122可具有介於約10微米與約35微米之間(例如約25微米)的厚度。可能存在其他厚度。
電子晶粒122可包括用於與光子組件106進行介接的積體電路,例如用於對光子組件106的操作進行控制的電路。舉例而言,電子晶粒122可包括控制器、驅動器、轉阻抗放大器(transimpedance amplifier)、類似裝置或其組合。在一些實施例中,電子晶粒122可包括中央處理單元(central processing unit,CPU)或記憶體功能性。在一些實施例中,電子晶粒122包括用於對自光子組件106接收的電性訊號進行處理的電路,例如用於對自包括光偵測器的光子組件106接收的電性訊號進行處理的電路。在一些實施例中,電子晶粒122可根據自另一裝置或晶粒接收的電性訊號(數位訊號或類比訊號)來對光子組件106的高頻率傳訊進行控制。在一些實施例中,電子晶粒122可為提供串列器/解串器(Serializer/Deserializer,SerDes)功能性的電子積體電路(electronic integrated circuit,EIC)或類似電路。以此種方式,電子晶粒122可在光子封裝100內充當光學訊號與電性訊號之間的I/O介面的一部分。在一些情形中,本文中所闡述的光子封裝100可被認為是系統晶片(system-on-chip,SoC)或積體電路上系統(system-on-integrated-circuit,SoIC)裝置。
在一些實施例中,使用介電質對介電質接合(dielectric-to-dielectric bonding)及/或金屬對金屬接合(metal-to-metal bonding)(例如,直接接合、熔融接合(fusion bonding)、氧化物對氧化物接合(oxide-to-oxide bonding)、混合接合或類似接合)將電子晶粒122接合至重佈線結構120。在此種實施例中,可在最頂部介電層117與電子晶粒122的接合層(未各別示出)之間進行介電質對介電質接合。在接合期間,亦可在電子晶粒122的晶粒連接件124與重佈線結構120的導電墊116之間進行金屬對金屬接合。
在一些實施例中,在執行接合製程之前,對重佈線結構120及/或電子晶粒122執行表面處置。在一些實施例中,可首先利用例如乾式處置、濕式處置、電漿處置、暴露至惰性氣體、暴露至H 2、暴露至N 2、暴露至O 2、類似製程或其組合來使重佈線結構120的接合表面及/或電子晶粒122的接合表面活化。然而,可利用任何合適的活化製程。在活化製程之後,可使用例如化學清洗對重佈線結構120及/或電子晶粒122進行清潔。接著使電子晶粒122與重佈線結構120對準且將電子晶粒122放置成與重佈線結構120進行實體接觸。舉例而言,可使用拾取及放置製程(pick-and-place process)將電子晶粒122放置於重佈線結構120上。接著可使重佈線結構120與電子晶粒122經受熱處置及/或彼此壓靠(例如,藉由施加接觸壓力),以將重佈線結構120與電子晶粒122接合於一起。舉例而言,可使重佈線結構120及電子晶粒122經受約200千帕斯卡(kPa)或小於200千帕斯卡的壓力且經受介於約200攝氏度與約400攝氏度之間的溫度。接著可使重佈線結構120及電子晶粒122經受導電墊116的材料與晶粒連接件124的材料的共晶點的溫度(例如,介於約150攝氏度與約650攝氏度之間)或高於所述共晶點的溫度,以對導電墊116與晶粒連接件124進行熔融。以此種方式,重佈線結構120與電子晶粒122的介電質對介電質接合及/或金屬對金屬接合會形成經接合的結構。在一些實施例中,對經接合的結構進行烘烤、退火、壓製或以其他方式進行處置以加強接合或完成接合。
在圖7中,根據一些實施例,在電子晶粒122及重佈線結構120之上形成介電材料126。介電材料126可由氧化矽、氮化矽、聚合物、類似材料或其組合形成。可藉由CVD、PVD、ALD、旋塗製程、類似製程或其組合形成介電材料126。在一些實施例中,可藉由HDP-CVD、FCVD、PECVD、類似沉積方法或其組合形成介電材料126。在一些實施例中,介電材料126可為間隙填充材料,間隙填充材料可包括以上實例性材料中的一或多者。在一些實施例中,介電材料126可為如下的材料(例如,氧化矽):所述材料對於波長適合在光柵耦合器107與垂直安裝光纖(例如,圖23中的垂直安裝光纖170)之間傳輸光學訊號或光學功率的光實質上透明。在不存在光柵耦合器107的一些實施例中,介電材料126可包含相對不透明的材料,例如包封體、模製化合物或類似材料。可使用藉由任何可接受的製程形成的其他介電材料。可使用平坦化製程(例如CMP製程、磨削製程或類似製程)對介電材料126進行平坦化。在一些實施例中,平坦化製程可暴露出電子晶粒122,使得電子晶粒122的表面與介電材料126的表面共面。
使用介電質對介電質接合可使得對相關波長的光透明的材料(而非例如包封體或模製化合物等不透明材料)能夠沉積於重佈線結構120之上及/或電子晶粒122周圍。舉例而言,介電材料126可由例如氧化矽等合適的透明材料(而非例如模製化合物等不透明材料)形成。以此種方式對介電材料126使用合適的透明材料使得光學訊號能夠經由介電材料126傳輸,例如在光柵耦合器107與位於介電材料126上方的垂直安裝光纖(例如,圖23中的垂直安裝光纖170)之間傳輸光學訊號。另外,藉由以此種方式將電子晶粒122直接接合至重佈線結構120,可減小所得光子封裝100的厚度且可改善光柵耦合器107與垂直安裝光纖之間的光學耦合。在一些情形中,此可減小光子封裝的大小或處理成本且可改善與外部組件的光學耦合。
在圖8及圖9中,根據一些實施例,移除重佈線結構120的一些部分及介電材料126的一些部分且使用介電層115替換重佈線結構120的所述一些部分及介電材料126的所述一些部分。在其他實施例中,不移除重佈線結構120的一些部分及介電材料126的一些部分且不形成介電層115。在一些實施例中,重佈線結構120的被移除部分及介電材料126的被移除部分可位於光柵耦合器107上方或近似位於光柵耦合器107上方。以此種方式,介電層115的材料沉積於光柵耦合器107之上。在一些情形中,可將介電層115的材料選擇成相較於重佈線結構120的介電層117的材料或介電材料126的材料而在光柵耦合器107與垂直安裝光纖(例如,圖23中的垂直安裝光纖170)之間提供更高效的光學耦合。舉例而言,介電層115相較於介電層117或介電材料126可更透明、損耗更少或反射更少。在一些實施例中,介電層115的材料與介電層117的材料及/或介電材料126的材料相似,但使用使得材料具有更佳品質(例如,更少雜質、位錯等)的技術來沉積。以此種方式,形成介電層115可使得達成光子封裝100的更高效的操作且可減少光學訊號損耗。
參照圖8,移除重佈線結構120的一些部分及介電材料126的一些部分,進而形成凹槽125。可例如使用可接受的光微影技術及蝕刻技術(例如,藉由形成光阻並對所述光阻進行圖案化且接著使用經圖案化的光阻作為蝕刻罩幕來執行蝕刻製程以移除介電材料126及介電層117)來移除重佈線結構120的所述一些部分及介電材料126的所述一些部分。所述蝕刻製程可包括例如乾式蝕刻製程及/或濕式蝕刻製程。在一些實施例中,蝕刻製程可在介電層108上停止,使得凹槽125暴露出介電層108。
轉至圖9,根據一些實施例,在凹槽125中沉積介電層115。介電層115可包含與以上針對介電層108闡述的材料相似的一或多種材料(例如氧化矽或氮化矽、旋塗玻璃或不同的材料)。介電層115與介電層108可對相同波長範圍內的光透明或幾乎透明。可使用與以上針對介電層108闡述的技術相似的技術或使用不同的技術來形成介電層115。舉例而言,可使用CVD、PVD、ALD、旋塗或類似製程形成介電層115,但可使用另一技術。在一些實施例中,使用平坦化製程(例如,CMP或磨削製程)移除介電層115的多餘材料。在執行平坦化製程之後,介電層115、介電材料126及/或電子晶粒122可具有實質上齊平的表面。
在其他實施例中,不對重佈線結構120進行蝕刻且不形成介電層115。在該些實施例中,重佈線結構120的區可實質上不具有導電特徵114或導電墊116,以使得光學功率或光學訊號能夠經由介電層117傳輸。舉例而言,該些無金屬區可在光柵耦合器107與垂直安裝光纖(例如,圖23中的垂直安裝光纖170)之間延伸,以使得光學功率或光學訊號能夠在波導104與光纖之間耦合。在該些實施例中,較薄的重佈線結構120可使得在光柵耦合器107與垂直安裝光纖之間達成更高效的光學耦合。
在圖10及圖11中,根據一些實施例,將可選的支撐件128貼合至所述結構。支撐件128是貼合至所述結構以提供結構穩定性或機械穩定性的剛性結構。使用支撐件128可減少翹曲或彎曲,此可改善例如波導104或光子組件106等光學結構的效能。可使用接合層127將支撐件128貼合至所述結構(例如,貼合至介電材料126及/或電子晶粒122)。圖10示出根據一些實施例的形成於介電材料126及電子晶粒122之上的接合層127。舉例而言,接合層127可為黏合層或者可為用於支撐件128的介電質對介電質接合的介電層。在一些情形中,介電接合層127可為適合進行接合的介電材料,所述介電材料可為與前面針對介電層108或介電層117闡述的材料相似的材料。可使用與介電層108或介電層117相似的技術來沉積接合層127。可能存在其他材料或沉積技術。在一些實施例中,對接合層127執行平坦化製程。在其他實施例中不形成接合層127。
轉至圖11,將支撐件128接合至接合層127。支撐件128可包含一或多種材料,例如矽(例如,矽晶圓、塊狀矽或類似材料)、氧化矽、金屬、有機芯體材料、類似材料或另一類型的材料。在一些實施例中,支撐件128可具有介於約500微米與約700微米之間的厚度。支撐件128亦可具有較所述結構的尺寸大、與所述結構的尺寸大約相同或較所述結構的尺寸小的側向尺寸(例如,長度、寬度及/或面積)。在圖10所示實例中,在支撐件128的上表面中形成可選的微透鏡131。微透鏡131可便於達成光柵耦合器107與垂直安裝光纖(例如,圖23中的垂直安裝光纖170)之間的改善的光學耦合。在一些實施例中,使用蝕刻製程(例如乾式蝕刻製程或濕式蝕刻製程)在支撐件128中形成微透鏡131。在一些實施例中,在微透鏡131之上沉積折射率匹配材料或類似材料(未示出)。
在一些實施例中,支撐件128包括接合層129,接合層129可為黏合層或適合直接接合至接合層127的層。舉例而言,接合層129可為可與接合層127相似的適合進行介電質對介電質接合的介電材料。可使用例如介電質對介電質接合製程(例如前面所闡述的用於將電子晶粒122接合至重佈線結構120的接合製程)將接合層129接合至接合層127。在其他實施例中,在製造光子封裝100期間在較所示製程步驟晚的製程步驟中對支撐件128進行貼合。
在圖12中,根據一些實施例,將圖11中的結構翻轉並貼合至載體140。載體140可為例如晶圓(例如,矽晶圓)、面板、玻璃基底、陶瓷基底或類似晶圓。可使用例如黏合劑或釋放層(未示出)將所述結構貼合至載體140。儘管在圖12中示出一個光子封裝100,然而熟習此項技術者將理解,可在載體140之上同時形成數十個、數百個或更多個相同的光子封裝。在一些實施例中,執行單體化製程以將所述多個光子封裝分離成各別的光子封裝100。
在圖13中,根據一些實施例,移除基底102C。可使用平坦化製程(例如,CMP或研磨製程)、蝕刻製程、其組合或類似製程來移除基底102C。在一些實施例中,亦對氧化物層102B進行薄化。可作為基底102C的移除製程的一部分而對氧化物層102B進行薄化,或者可在單獨的步驟中對氧化物層102B進行薄化。可使用例如平坦化製程、蝕刻製程、其組合或類似製程對氧化物層102B進行薄化。在一些實施例中,在薄化之後,氧化物層102B可具有介於約0.1微米至約1.0微米的範圍內的厚度。可能存在其他厚度。在一些情形中,對氧化物層102B進行薄化可改善波導104與氮化物波導134(參見圖14)之間的光學耦合。
轉至圖14及圖15,根據一些實施例,在氧化物層102B之上形成氮化物波導134。在圖14中,在氧化物層102B上沉積氮化矽層132。可使用合適的沉積技術(例如CVD、PECVD、LPCVD、PVD或類似技術)形成氮化矽層132。在一些實施例中,氮化矽層132被形成為具有介於約0.2微米至約1.0微米的範圍內的厚度,但可能存在其他厚度。圖14至圖15示出一組氮化物波導134的形成,但在其他實施例中可形成附加組的上覆氮化物波導134。以下針對圖26闡述此種實施例的實例。
在圖15中,根據一些實施例,對氮化矽層132進行圖案化以形成氮化物波導134。可使用可接受的光微影技術及蝕刻技術圖案化出氮化物波導134。舉例而言,在一些實施例中,可在氮化矽層132之上形成硬罩幕層(未示出)並對所述硬罩幕層進行圖案化。接著可使用蝕刻製程將硬罩幕層的圖案轉移至氮化矽層132。所述蝕刻製程可包括例如乾式蝕刻製程及/或濕式蝕刻製程。在一些實施例中,相較於氧化矽或其他材料,蝕刻製程可對氮化矽具有選擇性。以此種方式,可對氮化矽層132進行蝕刻以形成對氮化物波導134進行界定的凹槽,其中剩餘的未凹陷部分的側壁對氮化物波導134的側壁進行界定。在一些實施例中,可使用多於一個的光微影及蝕刻序列來對氮化矽層132進行圖案化。可自氮化矽層132圖案化出一個氮化物波導134或多個氮化物波導134。若形成多個氮化物波導134,則所述多個氮化物波導134可為各別的單獨氮化物波導134或者被連接為單一連續結構。在一些實施例中,氮化物波導134中的一或多者形成連續的環。在一些實施例中,氮化物波導134可包括光子結構,例如光柵耦合器、邊緣耦合器或使得光學訊號能夠在兩個氮化物波導134之間及/或氮化物波導134與波導104之間傳輸的耦合器(例如,模式轉換器)。
在一些情形中,由氮化矽形成的波導(例如,氮化物波導134)相較於由矽形成的波導(例如,波導104)可具有優勢。舉例而言,氮化矽具有較矽高的介電常數,且因此氮化物波導可具有較矽波導大的內部光限制。此亦可使得氮化物波導的效能或洩漏能夠對製程變化不太敏感、對尺寸均勻性不太敏感且對表面粗糙度(例如,邊緣粗糙度或線寬度粗糙度)不太敏感。在一些情形中,降低的製程敏感度可使得氮化物波導能夠較矽波導更易於處理或處理成本更低。該些特性可使得氮化物波導能夠具有較矽波導低的傳播損耗。在一些情形中,氮化物波導的傳播損耗(分貝/公分(dB/cm))可介於矽波導的約0.1%與約50%之間。在一些情形中,氮化物波導亦可相較於矽波導對環境的溫度不太敏感。舉例而言,氮化物波導對溫度的敏感度可小至矽波導的敏感度的約1%。以此種方式,本文中所闡述的實施例可使得能夠形成具有氮化物波導(例如,氮化物波導134)及矽波導(例如,波導104)二者的光子封裝。
仍參照圖15,根據一些實施例,可在光柵耦合器107之上的氧化物層102B上形成可選的反射器145。反射器145可使得在光柵耦合器107與垂直安裝光纖(例如,圖23中的垂直安裝光纖170)之間達成更高效的耦合。反射器145可由可使用合適的沉積製程沉積的一或多種介電材料、金屬材料或類似材料形成。在沉積反射器145的材料之後,可使用合適的技術(例如使用光微影圖案化技術及蝕刻技術)形成反射器145。可能存在形成反射器145的其他技術。
轉至圖16,根據一些實施例,在氮化物波導134、反射器145及氧化物層102B之上形成介電層135。介電層135可包含與以上針對介電層108或介電層115闡述的材料相似的一或多種材料。舉例而言,介電層135可包含氧化矽、旋塗玻璃或類似材料。可使用與以上針對介電層108或介電層115闡述的技術相似的技術來形成介電層135或者可使用不同的技術來形成介電層135。舉例而言,可使用CVD、PVD、旋塗或類似技術形成介電層135,但可使用另一技術。在一些實施例中,使用平坦化製程(例如,CMP或磨削製程)移除介電層135的多餘材料。在平坦化之後,在一些實施例中,介電層135可具有介於約0.5微米與約2微米之間的厚度。可能存在其他厚度。在一些情形中,較薄的介電層135可使得在氮化物波導134與上覆的雷射二極體162(參見圖19)之間達成更高效的光學耦合。
在圖17中,根據一些實施例,形成通孔152及導電墊153。通孔152延伸穿過介電層135及氧化物層102B,進而在實體上連接且電性連接至通孔112。在一些實施例中,導電墊153形成於相應通孔152之上的介電層135中。在一些情形中,可藉由與通孔112及導電墊116相同或相似的形成方法來形成通孔152及導電墊153。在一些實施例中,導電墊153包括提供電性佈線的導電線(例如,金屬化圖案)。
圖18及圖19示出根據一些實施例的在介電層135上形成雷射二極體162。雷射二極體162可為針對光子封裝100提供光學功率的光源。在一些實施例中,由雷射二極體162發射的光耦合至氮化物波導134中。舉例而言,如圖19中所示,由雷射二極體162發射的光可經由介電層135耦合至氮化物波導134的下伏部分中,所述下伏部分被指示為氮化物波導134L。可形成多於一個的雷射二極體162,且在其他實施例中,代替雷射二極體162或者除雷射二極體162之外,可在介電層135上形成發光二極體(light-emitting diode,LED)或其他類型的光源。本文中所闡述的技術能夠將雷射二極體異質整合至光子封裝中。舉例而言,雷射二極體162可包括III-V族裝置,但可能存在其他類型的異質裝置。
轉至圖18,根據一些實施例,將雷射基底160接合至介電層135。將雷射基底160接合至所述結構的與BOX基底102的後側對應的側。雷射基底160可為其中已形成雷射二極體162的至少一些材料或層的晶粒、晶片、單體化基底或類似基底。舉例而言,在一些實施例中,可在雷射基底160中形成與主動層、源極及/或汲極層、分佈式布拉格反射器(distributed Bragg reflector,DBR)層或雷射二極體162的其他層對應的層。在一些實施例中,雷射基底160包含例如以下材料:半導體材料,例如經摻雜或未經摻雜的矽;鍺;化合物半導體,包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;合金半導體,包括矽鍺、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP;類似材料;或其組合。雷射基底160亦可包括各種介電層、氧化物層、金屬化層或類似層。在一些實施例中,雷射基底160包括接合層(未單獨標記),所述接合層可為直接接合至介電層135的外部介電層。接合層可包含例如氧化物材料或適合進行介電質至介電質接合的另一材料。可能存在其他層或材料。雷射基底160可具有較光子封裝100小的寬度或較光子封裝100小的面積。
在一些實施例中,可使用直接接合製程(例如晶片對晶圓接合製程、介電質對介電質接合製程或類似接合製程)將雷射基底160接合至介電層135。直接接合製程可相似於前面闡述的接合製程(例如,用於對重佈線結構120與電子晶粒122進行接合的接合製程)。舉例而言,可首先對介電層135及/或雷射基底160的接合層執行表面處置或活化製程。接著使雷射基底160與氮化物波導134對準(例如,與氮化物波導134L對準)且將雷射基底160放置成與介電層135進行實體接觸。舉例而言,可使用拾取及放置製程將雷射基底160放置於介電層135上。在一些實施例中,在放置雷射基底160之後,可執行例如熱製程或壓製製程等製程。在一些情形中,藉由將雷射基底160直接接合至介電層135,雷射二極體162可被形成為更靠近氮化物波導134且因此具有與氮化物波導134的改善的光學耦合。
在圖19中,根據一些實施例,對雷射基底160進行處理以形成雷射二極體162。所述處理可包括以合適的次序執行的合適處理步驟,例如植入步驟、圖案化步驟、蝕刻步驟、沉積步驟、其他類型的處理步驟、類似步驟或其組合。如圖19中所示,可使用例如合適的光微影製程及蝕刻製程來對雷射基底160的一些部分進行蝕刻。在一些實施例中,在接合之後對雷射基底160進行蝕刻可使得達成與氮化物波導134的改善的對準或改善的光學耦合。在一些實施例中,可執行植入製程以在雷射基底160的半導體材料內引入摻雜劑。舉例而言,雷射基底160的區可經p型摻雜劑、n型摻雜劑或其組合摻雜。在一些實施例中,可形成至雷射二極體162的適當特徵的導電接觸件。可能存在其他處理步驟,且處理步驟的細節可取決於雷射基底160或雷射二極體162的具體結構。在其他實施例中,預先形成雷射二極體162且將雷射二極體162貼合至介電層135,且在接合之後執行更少的附加處理步驟。在一些情形中,藉由在雷射基底160已被接合至介電層135之後執行處理步驟以形成雷射二極體162,雷射二極體162可具有與氮化物波導134的改善的對準或光學耦合。在一些情形中,對具有較光子封裝100(例如,晶片、晶粒或類似封裝)小的面積的各別雷射基底160進行接合可使得達成更便宜的製造成本、改善的良率及改善的光學耦合。
在圖20中,根據一些實施例,在雷射二極體162及介電層135之上形成介電層148。介電層148可與前面形成的介電層(例如介電層108、介電層115、介電層135或類似介電層)相似且可使用相似的技術形成。在一些實施例中,在沉積介電層148之後執行平坦化製程(例如CMP製程)。
在圖21中,根據一些實施例,形成延伸穿過介電層148的通孔154。通孔154可延伸穿過介電層148,以與導電墊153及/或雷射二極體162的導電接觸件在實體上接觸且電性接觸。在一些情形中,可藉由與通孔112或通孔152相同或相似的形成方法形成通孔154。
在圖22中,根據一些實施例,在通孔154上形成導電連接件158。導電連接件158可用於將光子封裝100電性連接至外部結構(例如封裝基底、有機芯體基底、中介層或類似結構)。根據一些實施例,在一些實施例中,在介電層148之上形成可選的鈍化層155。鈍化層155可包含例如以下材料:聚合物,例如聚苯並噁唑(polybenzoxazole,PBO)、聚醯亞胺(polyimide)、苯並環丁烯(benzocyclobutene,BCB)或類似聚合物;氮化物,例如氮化矽或類似氮化物;氧化物,例如氧化矽、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼矽酸鹽玻璃(borosilicate glass,BSG)、摻雜硼的磷矽酸鹽玻璃(boron-doped phosphosilicate glass,BPSG)或類似氧化物;包封體、模製化合物或類似材料;類似材料或其組合。可例如藉由旋轉塗佈、疊層、CVD、PVD、ALD或類似方法形成鈍化層155。
接著可在鈍化層155內形成凸塊下金屬(under-bump metallization,UBM)156,以與通孔154進行實體接觸及電性接觸。在其他實施例中,在形成鈍化層155之前形成UBM 156。在一些實施例中,UBM 156具有位於鈍化層155的主表面上且沿著鈍化層155的主表面延伸的凸塊部分。UBM 156可使用合適的製程(例如鍍覆)而由一或多種導電材料形成。在一些實施例中,不形成UBM 156。
根據一些實施例,接著在UBM 156上形成導電連接件158。導電連接件158可為例如球柵陣列(ball grid array,BGA)連接件、焊料球、金屬支柱、受控塌陷晶片連接(controlled collapse chip connection,C4)凸塊、微凸塊、無電鍍鎳鈀浸金技術(electroless nickel-electroless palladium-immersion gold technique,ENEPIG)形成的凸塊或類似凸塊。導電連接件158可包含導電材料,例如焊料、銅、鋁、金、鎳、銀、鈀、錫、類似材料或其組合。在一些實施例中,藉由以下方式形成導電連接件158:在開始時藉由蒸鍍(evaporation)、電鍍、印刷、焊料轉移(solder transfer)、植球(ball placement)或類方法形成焊料層。一旦已在所述結構上形成焊料層,便可執行迴焊,以將材料塑形成所期望的凸塊形狀。在另一實施例中,導電連接件158包括藉由濺鍍、印刷、電鍍、無電鍍覆、CVD或類似方法形成的金屬支柱(例如銅支柱)。金屬支柱可為無焊料的且具有實質上垂直的側壁。在一些實施例中,在金屬支柱的頂上形成金屬頂蓋層。金屬頂蓋層可包含鎳、錫、錫-鉛、金、銀、鈀、銦、鎳-鈀-金、鎳-金、類似材料或其組合,且可藉由鍍覆製程形成金屬頂蓋層。在其他實施例中,導電連接件158被省略且UBM 156是用於對外部組件進行金屬對金屬接合的接合墊。
在圖23中,根據一些實施例,執行剝離以將載體140自所述結構脫離(或「剝離」),進而形成光子封裝100。舉例而言,所述剝離可包括對釋放層(若存在)投射例如雷射光或紫外(ultraviolet,UV)光等光,使得釋放層在光的熱作用下分解且可移除載體140。在其他實施例中,可使用蝕刻製程、CMP製程、磨削製程、類似製程或其組合來移除載體140。在一些實施例中,可在單個BOX基底102上形成多個光子封裝100且將所述多個光子封裝100單體化以形成各別光子封裝100,例如圖23中所示的各別光子封裝100。舉例而言,可在剝離之前或剝離之後執行單體化。
仍參照圖23,根據一些實施例,光子封裝100被示出為耦合至垂直安裝光纖170。在其他實施例中,將另一數目的垂直安裝光纖耦合至光子封裝100。可使用光學膠171或類似物將光纖170安裝至光子封裝100。
在一些實施例中,垂直安裝光纖170可被配置成光學耦合至光子封裝100內的光柵耦合器(例如光柵耦合器107)。以此種方式,在一些實施例中,垂直安裝光纖170可安裝於微透鏡131之上。垂直安裝光纖170可被安裝成相對於垂直軸線成一角度或者可自光柵耦合器107在側向上偏置開。在圖23中所示的實施例中,在垂直安裝光纖170與光柵耦合器107之間傳輸的光學訊號及/或光學功率經由支撐件128、接合層129、接合層127、介電層115及介電層108傳輸。光學訊號可自垂直安裝光纖170傳輸至光柵耦合器107且傳輸至一或多個氮化物波導134中,其中光學訊號可耦合至一或多個其他氮化物波導134及/或一或多個波導104中。光學訊號可由包括光偵測器的光子組件106偵測到且作為電性訊號傳輸至電子晶粒122中。由包括調變器的光子組件106在波導104內產生的光學訊號可自波導104傳輸至氮化物波導134、自氮化物波導134傳輸至光柵耦合器107且自光柵耦合器107傳輸至垂直安裝光纖170。在垂直定向上安裝垂直安裝光纖170可使得達成光子封裝100的改善的光學耦合、降低的處理成本或更大的設計靈活性。
圖24示出根據一些實施例的光子封裝200。光子封裝200與圖23中所示的光子封裝100相似,不同的是將水平安裝光纖270而非垂直安裝光纖170安裝至光子封裝200。在其他實施例中,將另一數目的水平安裝光纖耦合至光子封裝200。可使用光學膠171或類似物將水平安裝光纖270安裝至光子封裝200。在一些實施例中,可形成邊緣耦合器109作為氮化物波導134的一部分。舉例而言,可藉由對氮化矽層132進行圖案化來形成邊緣耦合器109。水平安裝光纖270可安裝於光子封裝200的位於邊緣耦合器109附近的側壁上,使得光學訊號藉由邊緣耦合器109在水平安裝光纖270與氮化物波導134之間耦合。在其他實施例中,可形成矽邊緣耦合器作為波導104的一部分,且水平安裝光纖270可安裝於矽邊緣耦合器附近,使得光學訊號藉由矽邊緣耦合器自水平安裝光纖270耦合至波導104中。在一些實施例中,光子封裝200可不包括光柵耦合器107。在其他實施例中,光子封裝可包括由邊緣耦合器109耦合的水平安裝光纖270及由光柵耦合器107耦合的垂直安裝光纖170二者。
圖25示出根據一些實施例的光子封裝300。光子封裝300與圖23中所示的光子封裝100相似,不同的是電子晶粒122未接合至重佈線結構120。在一些情形中,光子封裝300可藉由導電連接件158或者經由封裝基底或類似基底(未示出)連接至一或多個電子晶粒。
圖26示出根據一些實施例的光子封裝400。光子封裝400與圖23中所示的光子封裝100相似,不同的是在波導104之上形成有多組氮化物波導134A、氮化物波導134B、氮化物波導134C。使用多組氮化物波導可使得在光子封裝內達成附加的光學訊號佈線、靈活性或功能性。如圖26中所示,與光子封裝100的波導134相似,所述一組氮化物波導134A形成於波導104之上。所述一組氮化物波導134B形成於氮化物波導134A之上,且所述一組氮化物波導134B光學耦合至氮化物波導134A。所述一組氮化物波導134C形成於氮化物波導134B之上,且所述一組氮化物波導134C光學耦合至氮化物波導134B。圖26所示光子封裝400包括三組氮化物波導134A、氮化物波導134B及氮化物波導134C,但光子封裝可具有另一組數的氮化物波導,例如兩組或四組或多於四組。可使用與前面闡述的用於形成光子封裝100的氮化物波導134的技術相似的技術來形成每一組氮化物波導134A、氮化物波導134B、氮化物波導134C。舉例而言,可沉積氮化矽層且對氮化矽層進行圖案化以形成一組氮化物波導(例如,氮化物波導134A、氮化物波導134B或氮化物波導134C),可在所述一組氮化物波導之上沉積介電層(例如,介電層135A、介電層135B或介電層135C)。可分別在介電層135A、介電層135B及介電層135C之上沉積附加的介電層148A、介電層148B及介電層148C。在其他實施例中,可省略介電層148A及介電層148B。通孔152可被形成為延伸穿過各種介電層(例如,介電層135A、介電層135B、介電層135C及介電層148A、介電層148B)以接觸通孔112。可藉由以下方式來形成雷射二極體162:將雷射基底160接合至覆蓋最頂部的一組氮化物波導的介電層(例如,覆蓋氮化物波導134C的介電層135C)且接著對雷射基底160進行處理。可使用與前面針對光子封裝100闡述的技術相似的技術來形成其他特徵,例如導電墊153、通孔154、UBM 156及導電連接件158。水平安裝光纖及/或垂直安裝光纖可耦合至光子封裝400。
實施例可達成優點。舉例而言,使用形成於封裝內的矽波導及氮化矽波導二者使得達成更大的靈活性、更少的光學損耗及改善的光學訊號佈線。本文中所闡述的具有內置波導的光子封裝使得達成功率及效能得到增強的高速光學傳訊。所揭露的光子封裝使得達成III-V族裝置或其他材料系統的裝置(例如雷射二極體裝置)的異質整合。使用晶片對晶圓直接接合來形成本文中所闡述的雷射二極體可使得雷射二極體能夠以降低的光學損耗異質整合至矽光子晶粒。另外,如本文中所闡述般在結構的後側上形成雷射二極體可改善光子封裝內的散熱且改善裝置效能。
根據本揭露的一些實施例,一種製造光子封裝的方法包括:在第一介電層的第一側上形成第一組波導,其中所述第一組波導包括光子裝置;在所述第一組波導之上形成重佈線結構,其中所述重佈線結構電性連接至所述光子裝置;在所述第一介電層的第二側上形成第二組波導,其中所述第一組波導與所述第二組波導是不同的材料;在所述第二組波導之上形成第二介電層;使用介電質對介電質接合製程將雷射基底晶粒接合至所述第二介電層;以及對所述雷射基底晶粒進行處理以形成雷射二極體,其中所述雷射二極體耦合至所述第二組波導中的波導。在實施例中,所述第一組波導是矽且所述第二組波導是氮化矽。在實施例中,所述光子裝置包括光偵測器。在實施例中,對所述雷射基底晶粒進行處理包括對所述雷射基底晶粒進行蝕刻。在實施例中,所述雷射二極體與所述第二組波導中的所述波導在側向上交疊。在實施例中,所述方法包括:在所述雷射二極體之上形成第三介電層;以及形成穿透所述第三介電層的第一通孔,其中至少一個第一通孔與所述雷射二極體電性接觸。在實施例中,所述方法包括形成穿透所述第二介電層及所述第一介電層的第二通孔,其中至少一個第二通孔與第一通孔及所述重佈線結構電性接觸。在實施例中,所述介電質對介電質接合製程包括使所述雷射基底晶粒的氧化物層與所述第二介電層在實體上接觸。在實施例中,所述方法包括使用熔融接合將半導體晶粒接合至所述重佈線結構,其中所述半導體晶粒與所述重佈線結構電性接觸。
根據本揭露的一些實施例,一種製造光子封裝的方法包括:在氧化物層的頂表面之上形成矽波導,其中所述氧化物層位於基底的頂表面之上;在所述氧化物層的所述頂表面之上形成光子裝置,其中所述光子裝置光學耦合至所述矽波導;在所述矽波導及所述光子裝置之上形成重佈線結構,其中所述重佈線結構電性連接至所述光子裝置;將半導體晶粒接合至所述重佈線結構,其中所述半導體晶粒電性連接至所述重佈線結構;移除所述基底以暴露出所述氧化物層的底表面;在所述氧化物層的所述底表面之上形成第一氮化矽波導,其中所述第一氮化矽波導光學耦合至所述矽波導;在所述第一氮化矽波導之上及所述氧化物層的所述底表面之上形成介電層;將雷射二極體直接接合至所述介電層,其中所述雷射二極體光學耦合至所述第一氮化矽波導;以及在所述氧化物層的所述底表面之上形成通孔,其中所述通孔電性連接至所述雷射二極體及所述重佈線結構。在實施例中,所述第一氮化矽波導的一部分與所述矽波導的一部分在側向上交疊。在實施例中,所述方法包括在所述第一氮化矽波導之上形成第二氮化矽波導,其中所述介電層位於所述第二氮化矽波導之上,其中所述雷射二極體經由所述第二氮化矽波導光學耦合至所述第一氮化矽波導。在實施例中,所述方法包括將支撐結構貼合至所述半導體晶粒。在實施例中,所述方法包括在所述氧化物層的所述頂表面之上形成光柵耦合器,其中所述光柵耦合器光學耦合至所述矽波導。在實施例中,所述方法包括使用介電材料替換所述重佈線結構的一部分,其中所述介電材料在所述光柵耦合器之上延伸。在實施例中,所述方法包括在將所述雷射二極體直接接合至所述介電層之前對所述介電層執行平坦化製程。
根據本揭露的一些實施例,一種光子封裝包括:雷射二極體,包括接合層;第一介電層,位於所述雷射二極體之上,其中所述第一介電層直接接合至所述雷射二極體的所述接合層;第一氮化矽波導,位於所述第一介電層中,其中所述第一氮化矽波導在所述雷射二極體之上延伸;第二介電層,位於所述第一氮化矽波導之上;矽波導,位於所述第二介電層中;內連線結構,位於所述矽波導之上;以及導電特徵,延伸穿過所述第一介電層及所述第二介電層以與所述內連線結構電性接觸。在實施例中,所述封裝包括在實體上連接且電性連接至所述內連線結構的電子晶粒。在實施例中,所述雷射二極體被所述第一介電層環繞。在實施例中,所述封裝包括在所述第一氮化矽波導之上延伸的第二氮化矽波導,其中所述第二介電層在所述第二氮化矽波導之上延伸。
以上概述了若干實施例的特徵,以使熟習此項技術者可更佳地理解本揭露的態樣。熟習此項技術者應理解,他們可容易地使用本揭露作為設計或修改其他製程及結構的基礎來施行與本文中所介紹的實施例相同的目的及/或達成與本文中所介紹的實施例相同的優點。熟習此項技術者亦應認識到,此種等效構造並不背離本揭露的精神及範圍,而且他們可在不背離本揭露的精神及範圍的條件下對其作出各種改變、取代及變更。
100、200、300、400:光子封裝 102:隱埋氧化物(BOX)基底 102A:矽層 102B:氧化物層 102C:基底 104:波導/矽波導 106:光子組件 107:光柵耦合器 108、115、117、135、135A、135B、135C、148、148A、148B、148C:介電層 109:邊緣耦合器 110:光子佈線結構 112、152、154:通孔 113:接觸件 114:導電特徵 116、153:導電墊 120:重佈線結構 122:電子晶粒 124:晶粒連接件 125:凹槽 126:介電材料 127、129:接合層 128:支撐件 131:微透鏡 132:氮化矽層 134、134A、134B、134C、134L:波導/氮化矽波導 140:載體 145:反射器 155:鈍化層 156:凸塊下金屬(UBM) 158:導電連接件 160:雷射基底 162:雷射二極體 170:垂直安裝光纖 171:光學膠 270:水平安裝光纖
藉由結合附圖閱讀以下詳細說明,會最佳地理解本揭露的態樣。應注意,根據行業中的標準慣例,各種特徵並非按比例繪製。事實上,為使論述清晰起見,可任意增大或減小各種特徵的尺寸。 圖1至圖23示出根據實施例的處於各種製造階段的光子封裝的剖視圖。 圖24示出根據另一實施例的光子封裝的剖視圖。 圖25示出根據另一實施例的光子封裝的剖視圖。 圖26示出根據另一實施例的光子封裝的剖視圖。
100:光子封裝
102B:氧化物層
104:波導/矽波導
106:光子組件
107:光柵耦合器
108、115、117、135:介電層
110:光子佈線結構
120:重佈線結構
122:電子晶粒
126:介電材料
127:接合層
128:支撐件
129:接合層
131:微透鏡
134、134L:波導/氮化矽波導
145:反射器
148:介電層
154:通孔
155:鈍化層
156:凸塊下金屬(UBM)
158:導電連接件
162:雷射二極體
170:垂直安裝光纖
171:光學膠

Claims (20)

  1. 一種製造光子封裝的方法,包括: 在第一介電層的第一側上形成第一組波導,其中所述第一組波導包括光子裝置; 在所述第一組波導之上形成重佈線結構,其中所述重佈線結構電性連接至所述光子裝置; 在所述第一介電層的第二側上形成第二組波導,其中所述第一組波導與所述第二組波導包含不同的材料; 在所述第二組波導之上形成第二介電層; 使用介電質對介電質接合製程將雷射基底晶粒接合至所述第二介電層;以及 對所述雷射基底晶粒進行處理以形成雷射二極體,其中所述雷射二極體耦合至所述第二組波導中的波導。
  2. 如請求項1所述的方法,其中所述第一組波導包含矽且所述第二組波導包含氮化矽。
  3. 如請求項1所述的方法,其中所述光子裝置包括光偵測器。
  4. 如請求項1所述的方法,其中對所述雷射基底晶粒進行處理包括對所述雷射基底晶粒進行蝕刻。
  5. 如請求項1所述的方法,其中所述雷射二極體與所述第二組波導中的所述波導在側向上交疊。
  6. 如請求項1所述的方法,更包括: 在所述雷射二極體之上形成第三介電層;以及 形成穿透所述第三介電層的多個第一通孔,其中所述多個第一通孔中的至少一個第一通孔與所述雷射二極體電性接觸。
  7. 如請求項6所述的方法,更包括形成穿透所述第二介電層及所述第一介電層的多個第二通孔,其中所述多個第二通孔中的至少一個第二通孔與所述多個第一通孔中的第一通孔及所述重佈線結構電性接觸。
  8. 如請求項1所述的方法,其中所述介電質對介電質接合製程包括使所述雷射基底晶粒的氧化物層與所述第二介電層在實體上接觸。
  9. 如請求項1所述的方法,更包括使用熔融接合將半導體晶粒接合至所述重佈線結構,其中所述半導體晶粒與所述重佈線結構電性接觸。
  10. 一種製造光子封裝的方法,包括: 在氧化物層的頂表面之上形成矽波導,其中所述氧化物層位於基底的頂表面之上; 在所述氧化物層的所述頂表面之上形成光子裝置,其中所述光子裝置光學耦合至所述矽波導; 在所述矽波導及所述光子裝置之上形成重佈線結構,其中所述重佈線結構電性連接至所述光子裝置; 將半導體晶粒接合至所述重佈線結構,其中所述半導體晶粒電性連接至所述重佈線結構; 移除所述基底以暴露出所述氧化物層的底表面; 在所述氧化物層的所述底表面之上形成第一氮化矽波導,其中所述第一氮化矽波導光學耦合至所述矽波導; 在所述第一氮化矽波導之上及所述氧化物層的所述底表面之上形成介電層; 將雷射二極體直接接合至所述介電層,其中所述雷射二極體光學耦合至所述第一氮化矽波導;以及 在所述氧化物層的所述底表面之上形成通孔,其中所述通孔電性連接至所述雷射二極體及所述重佈線結構。
  11. 如請求項10所述的方法,其中所述第一氮化矽波導的一部分與所述矽波導的一部分在側向上交疊。
  12. 如請求項10所述的方法,更包括在所述第一氮化矽波導之上形成第二氮化矽波導,其中所述介電層位於所述第二氮化矽波導之上,其中所述雷射二極體經由所述第二氮化矽波導光學耦合至所述第一氮化矽波導。
  13. 如請求項10所述的方法,更包括將支撐結構貼合至所述半導體晶粒。
  14. 如請求項10所述的方法,更包括在所述氧化物層的所述頂表面之上形成光柵耦合器,其中所述光柵耦合器光學耦合至所述矽波導。
  15. 如請求項14所述的方法,更包括使用介電材料替換所述重佈線結構的一部分,其中所述介電材料在所述光柵耦合器之上延伸。
  16. 如請求項10所述的方法,更包括在將所述雷射二極體直接接合至所述介電層之前對所述介電層執行平坦化製程。
  17. 一種光子封裝,包括: 雷射二極體,包括接合層; 第一介電層,位於所述雷射二極體之上,其中所述第一介電層直接接合至所述雷射二極體的所述接合層; 第一氮化矽波導,位於所述第一介電層中,其中所述第一氮化矽波導在所述雷射二極體之上延伸; 第二介電層,位於所述第一氮化矽波導之上; 矽波導,位於所述第二介電層中; 內連線結構,位於所述矽波導之上;以及 導電特徵,延伸穿過所述第一介電層及所述第二介電層以與所述內連線結構電性接觸。
  18. 如請求項17所述的光子封裝,更包括在實體上連接且電性連接至所述內連線結構的電子晶粒。
  19. 如請求項17所述的光子封裝,其中所述雷射二極體被所述第一介電層環繞。
  20. 如請求項17所述的光子封裝,更包括在所述第一氮化矽波導之上延伸的第二氮化矽波導,其中所述第二介電層在所述第二氮化矽波導之上延伸。
TW112100859A 2022-06-27 2023-01-09 光子封裝及製造方法 TW202401934A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/809,122 US20230417993A1 (en) 2022-06-27 2022-06-27 Photonic Package and Method of Manufacture
US17/809,122 2022-06-27

Publications (1)

Publication Number Publication Date
TW202401934A true TW202401934A (zh) 2024-01-01

Family

ID=89323854

Family Applications (1)

Application Number Title Priority Date Filing Date
TW112100859A TW202401934A (zh) 2022-06-27 2023-01-09 光子封裝及製造方法

Country Status (2)

Country Link
US (1) US20230417993A1 (zh)
TW (1) TW202401934A (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230221511A1 (en) * 2022-01-12 2023-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices with vertically stacked waveguides

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11493689B2 (en) * 2019-09-19 2022-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Photonic semiconductor device and method of manufacture
US20230080454A1 (en) * 2021-09-13 2023-03-16 Intel Corporation Nested glass packaging architecture for hybrid electrical and optical communication devices

Also Published As

Publication number Publication date
US20230417993A1 (en) 2023-12-28

Similar Documents

Publication Publication Date Title
US11852868B2 (en) Photonic semiconductor device and method of manufacture
KR102443819B1 (ko) 광자 반도체 디바이스 및 제조 방법
US20230305226A1 (en) Photonic Semiconductor Device And Method
TW202017195A (zh) 光子半導體裝置及方法
US20210096311A1 (en) Photonic semiconductor device and method of manufacture
US11747563B2 (en) Photonic semiconductor device and method of manufacture
TWI822265B (zh) 半導體封裝件及其形成方法
US20220382003A1 (en) Photonic Semiconductor Device and Method of Manufacture
TW202401934A (zh) 光子封裝及製造方法
TW202213710A (zh) 光子封裝的製造方法
US20240085610A1 (en) Photonic Package and Method of Manufacture
US12038599B2 (en) Photonic package and method of manufacture
US11947173B2 (en) Photonic semiconductor device and method of manufacture
US20240113056A1 (en) Semiconductor device and methods of manufacture
CN117369061A (zh) 封装件及其制造方法
TW202347652A (zh) 半導體封裝體及其製造方法
CN117457625A (zh) 封装件、半导体封装件及其形成方法
CN118197926A (zh) 封装件及其制造方法