TW202347554A - 用於裝置製造工具之分析的熱成像 - Google Patents

用於裝置製造工具之分析的熱成像 Download PDF

Info

Publication number
TW202347554A
TW202347554A TW111140840A TW111140840A TW202347554A TW 202347554 A TW202347554 A TW 202347554A TW 111140840 A TW111140840 A TW 111140840A TW 111140840 A TW111140840 A TW 111140840A TW 202347554 A TW202347554 A TW 202347554A
Authority
TW
Taiwan
Prior art keywords
processing chamber
component
analysis logic
camera
thermal
Prior art date
Application number
TW111140840A
Other languages
English (en)
Inventor
卡爾 費德瑞克 李瑟
米歇爾 丹納克
班杰明 艾倫 哈斯凱爾
卡蒲 瑟利西 瑞迪
保羅 弗蘭森
崎山幸紀
卡皮爾 沙瓦拉尼
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202347554A publication Critical patent/TW202347554A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N25/00Investigating or analyzing materials by the use of thermal means
    • G01N25/72Investigating presence of flaws

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Radiation Pyrometers (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

例如相機感測器的多像素感測器可被配置以獲取處理腔室或其他製造工具的內部的二維及/或三維影像。這些感測器可被配置以在腔室中處理基板之前、期間及/或之後從這樣的處理腔室內部獲取經像素化電磁輻射強度資訊。這樣的感測器也可用於控制、預測及/或診斷應用。

Description

用於裝置製造工具之分析的熱成像
本發明整體係關於用於裝置製造工具之分析的熱成像。
高性能電漿輔助沉積及蝕刻處理對於許多半導體處理的工作流程的成功進行而言係重要的。然而,監測、控制及/或優化電漿處理可能是困難且耗時的,通常需要製程工程師費力地測試處理參數以憑經驗確定產生目標結果所需的設定。此外,許多用於電漿處理的原位監測技術僅提供有限資訊,例如VI感測器位置的資訊。
此處所提供之先前技術描述係為了一般性呈現本揭露之背景的目的。本案列名發明人的工作成果,至此先前技術段落的所述範圍,以及申請時可能不適格作為先前技術的實施態樣,均不明示或暗示承認為對抗本揭露內容的先前技術。
本揭示的某些態樣涉及可以下列特徵部作為特徵的系統:(a)裝置製造處理腔室,包括腔室壁及晶圓支撐件;(b)一或更多光學存取孔口,位於該處理腔室中;(c)一或更多相機感測器,與該一或更多光學存取孔口光學耦接;以及(d)分析邏輯,配置以處理來自該一或更多相機感測器的複數信號,以確定該處理腔室中的構件的一或更多熱特性。
作為示例,光學存取孔口可為視埠或窗部,提供視野至處理腔室中或上。在一些情況下,光學存取孔口是專門為相機感測器設計的孔口。在某些情況下,孔口是或包含透鏡或配置以與相機感測器一起運作的其他光學元件。在一些實施例中,該一或更多光學存取孔口的其中至少一者係設置在該腔室壁中,且提供大致垂直的視線至該處理腔室中。在一些實施例中,該一或更多光學存取孔口的其中至少一者係配置以提供大致垂直的視線至該處理腔室中。作為示例,該一或更多光學存取孔口的其中至少一者可被設置在該處理腔室的頂表面中,或是該處理腔室的底表面中。
在某些實施例中,該處理腔室包括二或更多站。在一些情況下,該分析邏輯係配置以確定該構件的該一或更多熱特性,或是該處理腔室的第一站中的條件。在一些情況下,該處理腔室中的該構件係位於該處理腔室的第一站中,且該分析邏輯係配置以解釋位於該處理腔室的第二站中的經成像特徵部,從而確定該處理腔室中的該構件的該一或更多熱特性。
在一些實施例中,該系統額外包括非相機感測器,且該分析邏輯係配置以使用來自該非相機感測器的信號而確定該處理腔室中的構件的該一或更多熱特性。
在某些實施例中,該一或更多相機感測器包括熱成像相機感測器。作為示例,該熱成像相機感測器可為高光譜相機感測器。在某些實施例中,該相機感測器係配置以獲取IR光譜範圍中的光學信號。在某些實施例中,該相機感測器係配置以獲取UV光譜範圍及/或可見光譜範圍中的光學信號,以及在(a)IR光譜範圍與(b)該UV光譜範圍及/或該可見光譜範圍中的光學信號之間進行分辨。
在一些實行例中,該相機感測器係安裝在晶圓上。在一些實行例中,該相機感測器設置在該處理腔室內的外殼中。
在一些實施例中,該分析邏輯係配置以隨時間(temporally)確定該處理腔室中的該構件的該一或更多熱特性。在一些實施例中,該分析邏輯係配置以對於複數熱特性之間的差異進行空間解析的方式而確定該處理腔室中的該構件的該一或更多熱特性。作為示例,該分析邏輯係配置以(a)處理來自該一或更多相機感測器在複數角度處所獲得的影像,以及(b)提供該構件的三維表示(representation)。
在某些實施例中,該系統額外包括一或更多光纖及/或一或更多光管,其將該一或更多相機感測器光學耦接至該一或更多光學存取孔口。在一些情況下,該分析邏輯係配置以處理來自該一或更多相機感測器的其中至少二者的多路複用信號(multiplexed signal)。
在某些實施例中,該處理腔室中的該構件包括氣體供應線、噴淋頭、閥、通風口、基板基座、基板卡盤、冷卻劑線路、配置以對該處理腔室提供電漿功率的電極、感測器、排氣線路、電性連接件、累積器(accumulator)及/或該處理腔室的壁。在某些實施例中,該處理腔室中的該構件為加熱器。作為示例,該加熱器可被連接至氣體線路、累積器容積、汽化器、安瓿、電性連接件、排氣線路或其任何組合。
在某些實施例中,該分析邏輯係進一步配置以將來自該相機感測器的當前影像與基線影像進行比較。舉例而言,該分析邏輯可進一步配置以使用該當前影像與該基線影像的比較而識別該處理腔室中的該構件上的熱點或冷點。在一些實行例中,該分析邏輯係進一步配置以至少部分基於該熱點或該冷點而識別該構件中的裂縫、滲漏及/或磨損。另外,在一些情況下,該分析邏輯係進一步配置以響應於該當前影像與該基線影像的比較中的時間變化而調整處理條件及/或修改該處理腔室。
在某些實施例中,該分析邏輯係進一步配置以確定該處理腔室中的晶圓的溫度。作為示例,該晶圓的該溫度可為在該晶圓上的溫度分佈。在一些實行例中,該分析邏輯係進一步配置以基於該晶圓的該溫度而確定該晶圓的環境適應(soak)時間。
在某些實施例中,該分析邏輯係配置以確定該處理腔室內的氣體的流動圖案。作為示例,該氣體可為處理氣體或冷卻劑氣體。在一些實行例中,該分析邏輯係配置以藉由確定該處理腔室中的該構件的熱條件而決定該處理腔室內的該氣體的該流動圖案,其中該構件係位於該氣體流動圖案的路徑中。在一些實行例中,該分析邏輯係配置以藉由確定該氣體中的顯跡劑(tracer)的位置而決定該處理腔室內的該氣體的該流動圖案。
在某些實施例中,來自該一或更多相機感測器的該等信號係包括該處理腔室正在進行清潔時的熱影像。在這樣的情況下,該分析邏輯係配置以將歷經清潔的該處理腔室及/或清潔操作的條件進行特徵化(characterize)。
在一些實施例中,該分析邏輯係進一步配置以確定該處理腔室中的該構件的一或更多邊緣。在一些實行例中,該分析邏輯係配置以藉由應用雜訊濾波器至該構件的熱影像,接著應用邊緣找尋例程於該熱影像,從而確定該處理腔室中的該構件的該一或更多邊緣。
在某些實施例中,該構件的該一或更多熱特性包括該構件的標稱溫度(nominal temperature)。在某些實施例中,該構件的該一或更多熱特性包括該構件的溫度分佈。
在一些實行例中,該分析邏輯係進一步配置以至少部分基於該構件的該等熱特性而確定該構件或該處理腔室中的處理條件是否超出在不進行變化的情況下續行操作的可接受範圍。在一些實施例中,該分析邏輯係進一步配置以至少部分基於該構件的該等熱特性而執行校正行為。在一些情況下,該分析邏輯係進一步配置以將從該一或更多相機感測器的信號所獲得的資訊與參考數值進行比較,以及基於該資訊與該參考數值之間的差異幅度而確定該校正行為。
在某些實施例中,該分析邏輯係進一步配置以隨時間監測該構件的該一或更多熱特性,以及基於該一或更多熱特性的變化速率而確定該構件或該處理腔室係處於故障狀態。在某些實施例中,該分析邏輯係進一步配置以隨時間監測該構件的該一或更多熱特性,以及基於該一或更多熱特性的變化速率而啟動或執行針對該構件或該處理腔室的校正行為。
在某些實施例中,該分析邏輯係進一步配置以確定該構件的一或更多尺寸及/或相對於該構件的一或更多距離。在一些這樣的實施例中,該分析邏輯係進一步配置以基於該一或更多尺寸及/或該一或更多距離而調整該構件或該處理腔室中的第二構件的位置。在一些情況下,該分析邏輯係進一步配置以基於該一或更多尺寸及/或該一或更多距離而調整標稱噴淋頭-基座間隙及/或噴淋頭-基座傾角(tilt)。
在某些實施例中,該分析邏輯係進一步配置以使用該構件的該一或更多熱特性、視差(parallax)及/或前縮(foreshortening)影像資訊而確定或校正該處理腔室中的尺寸或距離。作為示例,該尺寸或該距離係該處理腔室中的經測量尺寸或距離。
本揭示的某些態樣涉及可以下列特徵部作為特徵的系統:(a)處理腔室,包括腔室壁及晶圓支撐件;(b)一或更多光學存取孔口,位於該腔室壁中;(c)一或更多相機感測器,以一方式與該一或更多光學存取孔口光學耦接,其中該方式可以獲取位於該處理腔室內的構件的一或更多特徵部的二維影像或三維影像;及(d)分析邏輯,配置以處理來自該一或更多相機感測器的複數信號,從而(i)將該處理腔室內的第一關注區域處的該構件的一或更多性質特徵化,以及(ii)將該處理腔室內的第二關注區域處的該構件的該一或更多性質特徵化。
在一些實施例中,該分析邏輯係配置以隨時間特徵化該處理腔室內的二或更多關注區域處的該構件的一或更多性質。在一些實施例中,該一或更多相機感測器包括至少二相機感測器,其被定位及/或定向以獲取來自該處理腔室內的至少第一關注區域及第二關注區域的影像。在這樣的實施例中,該分析邏輯可進一步配置以處理來自至少第一關注區域及第二關注區域的影像,從而產生該處理腔室內的至少第一關注區域及/或第二關注區域中的構件的空間表示。
本揭示的某些態樣涉及可以下列特徵部作為特徵的系統:(a)處理腔室,包括腔室壁及晶圓支撐件;(b)光學存取孔口,位於該腔室壁中;(c)相機感測器,與該光學存取孔口光學耦接;(d)輔助感測器,配置以感測該處理腔室中的熱條件、光學條件及/或電性條件,其中該輔助感測器不是相機感測器,以及(e)分析邏輯,配置以處理來自該相機感測器及該輔助感測器的信號,以將該處理腔室中的構件的一或更多熱性質特徵化。
在一些實施例中,該輔助感測器為電壓及/或電流感測器。在一些實施例中,該構件的一或更多熱性質包括該構件的溫度或邊緣。在一些實施例中,該輔助感測器為光譜感測器。在一些實施例中,該輔助感測器包括高光譜相機感測器。在一些實施例中,該輔助感測器為光學計量感測器。
本揭示的某些態樣涉及可以下列操作作為特徵的方法:(a)接收來自一或更多相機感測器的複數信號,該一或更多相機感測器係與裝置製造處理腔室的一或更多光學存取孔口光學耦接,該裝置製造處理腔室包括腔室壁及晶圓支撐件;以及(b)從該等信號確定該處理腔室中的構件的一或更多熱特性。
在一些方法中,該一或更多光學存取孔口的其中至少一者係設置在該腔室壁中,且提供大致垂直的視線至該處理腔室中。在一些方法中,該一或更多光學存取孔口的其中至少一者係配置以提供大致垂直的視線至該處理腔室中。舉例而言,該一或更多光學存取孔口的其中該至少一者可被設置在該處理腔室的頂表面中,或是該處理腔室的底表面中。
在一些實施例中,該處理腔室包括至少二站,且該處理腔室中的該構件可位於該處理腔室的第一站中。在一些這樣的實施例中,確定該處理腔室中的該構件的該一或更多熱特性係包括對位於該處理腔室的第二站中的經成像特徵部進行解釋。在一些實施例中,確定該構件的該一或更多熱特性係涉及確定該處理腔室的第一站中的熱特性或條件。
在一些實行例中,該方法額外包括接收來自裝置製造處理腔室上或中的非相機感測器的信號。在這樣的實行例中,確定該處理腔室中的該構件的該一或更多熱特性係可包括使用來自該非相機感測器的信號。
在各種實施例中,該一或更多相機感測器包括熱成像相機感測器。作為示例,該熱成像相機感測器可包括高光譜相機感測器。在某些實施例中,該相機感測器係配置以獲取IR光譜範圍中的光學信號。在一些實行例中,該相機感測器係進一步配置以獲取UV光譜範圍及/或可見光譜範圍中的光學信號,以及在(a)IR光譜範圍與(b)該UV光譜範圍及/或該可見光譜範圍中的光學信號之間進行分辨。
在某些實施例中,該相機感測器係安裝在晶圓上。在某些實施例中,該相機感測器設置在該處理腔室內的外殼中。
在一些實施例中,確定該處理腔室中的該構件的該一或更多熱特性係涉及隨時間確定該一或更多熱特性。在某些實施例中,確定該處理腔室中的該構件的該一或更多熱特性係涉及對於複數熱特性之間的差異進行空間解析。在一些情況下,該方法額外包括(i)處理來自該一或更多相機感測器在複數角度處所獲得的影像,以及(ii)提供該構件的三維表示。
在某些實施例中,該裝置製造處理腔室額外包括一或更多光纖及/或一或更多光管,其將該一或更多相機感測器光學耦接至該一或更多光學存取孔口。在某些實施例中,該方法額外包括處理來自該一或更多相機感測器的其中至少二者的多路複用信號。
在某些實施例中,該處理腔室中的該構件包括氣體供應線、噴淋頭、閥、通風口、基板基座、基板卡盤、冷卻劑線路、配置以對該處理腔室提供電漿功率的電極、感測器、排氣線路、電性連接件、累積器及/或該處理腔室的壁。在某些實施例中,該處理腔室中的該構件為加熱器。作為示例,該加熱器可被連接至氣體線路、累積器容積、汽化器、安瓿、電性連接件、排氣線路或其任何組合。
在某些實施例中,該方法額外包括將來自該相機感測器的當前影像與基線影像進行比較。在一些這樣的實施例中,該方法額外包括使用該當前影像與該基線影像的比較而識別該處理腔室中的該構件上的熱點或冷點。在一些情況下,該方法還包括至少部分基於該熱點或該冷點而識別該構件中的裂縫、滲漏及/或磨損。在一些實施例中,該方法額外包括響應於該當前影像與該基線影像的比較中的時間變化而調整處理條件及/或修改該處理腔室。
在某些實施例中,該方法額外包括確定該處理腔室中的晶圓的溫度。在一些實施例中,該晶圓的該溫度係在該晶圓上的溫度分佈。在某些實施例中,該方法額外包括基於該晶圓的該溫度而確定該晶圓的環境適應時間。
在某些實施例中,該方法額外包括確定該處理腔室內的氣體的流動圖案。在一些實行例中,該氣體為處理氣體或冷卻劑氣體。在一些情況下,確定該處理腔室內的該氣體的該流動圖案係包括確定該處理腔室中的該構件的熱條件,其中該構件係位於該氣體流動圖案的路徑中。在一些情況下,確定該處理腔室內的該氣體的該流動圖案係包括藉由確定該氣體中的顯跡劑的位置而確定該處理腔室內的該氣體的該流動圖案。
在某些實施例中,來自該一或更多相機感測器的該等信號係包括該處理腔室正在進行清潔時的熱影像。在這樣的實施例中,該方法可更包括將歷經清潔的該處理腔室及/或清潔操作的條件進行特徵化。
在某些實施例中,該方法額外包括確定該處理腔室中的該構件的一或更多邊緣。在某些實施例中,確定該處理腔室中的該構件的該一或更多邊緣係藉由應用雜訊濾波器至該構件的熱影像,接著應用邊緣找尋例程於該熱影像而達成。
在某些實施例中,該構件的該一或更多熱特性包括該構件的標稱溫度。在某些實施例中,該構件的該一或更多熱特性包括該構件的溫度分佈。
在一些實施例中,該方法額外包括至少部分基於該構件的該等熱特性而確定該構件或該處理腔室中的處理條件是否超出在不進行變化的情況下續行操作的可接受範圍。在一些實施例中,該方法額外包括至少部分基於該構件的該等熱特性而執行校正行為。
在某些實施例中,該方法額外包括將從該一或更多相機感測器的信號所獲得的資訊與參考數值進行比較,以及基於該資訊與該參考數值之間的差異幅度而確定該校正行為。在某些實施例中,該方法額外包括隨時間監測該構件的該一或更多熱特性,以及基於該一或更多熱特性的變化速率而確定該構件或該處理腔室係處於故障狀態。在某些實施例中,該方法額外包括隨時間監測該構件的該一或更多熱特性,以及基於該一或更多熱特性的變化速率而啟動或執行針對該構件或該處理腔室的校正行為。
在一些實施例中,該方法額外包括確定該構件的一或更多尺寸及/或相對於該構件的一或更多距離。在一些實施例中,該方法額外包括基於該一或更多尺寸及/或該一或更多距離而調整該構件或該處理腔室中的第二構件的位置。在一些實施例中,該方法額外包括基於該一或更多尺寸及/或該一或更多距離而調整標稱噴淋頭-基座間隙及/或噴淋頭-基座傾角。
在一些實施例中,該方法額外包括基於該構件的該一或更多熱特性、視差(parallax)及/或前縮(foreshortening)影像資訊而確定或校正該處理腔室中的尺寸或距離。在某些實施例中,該尺寸或該距離係該處理腔室中的經測量尺寸或距離。
本揭示的這些及其他特徵將更詳細描述於下。
前言及背景
本揭示的態樣涉及多像素感測器,例如被配置以獲取處理腔室或其他製造工具的內部影像所用的相機感測器。這些感測器可被配置成在腔室中處理基板之前、期間及/或之後從此等處理腔室內部獲取經像素化的電磁輻射強度資訊。此等感測器也可以在非生產操作期間使用,例如腔室清潔操作及腔室診斷應用。
相機感測器所獲取的電磁輻射強度可能來自各種來源,例如熱能、電漿及/或物理(例如,光學)探測,或是處理腔室內的特徵部的其他刺激。
所獲取的數據可以是輻射強度值的形式,提供作為處理腔室內的位置的函數。這些值可共同形成影像,例如處理腔室內的區域的影像。在一些實施例中,所獲取的強度值係被提供作為波長的函數。在一些情況下,影像或其他所獲取的像素數據可具有顏色值及/或波長範圍。該輻射可被提供在電磁波光譜的任何一或更多範圍內,例如紫外光、可見光及/或紅外光區域的全部或一部分。在一些實施例中,所獲取的輻射資訊係在一時間跨距內獲得。在這種情況下,得以離散間隔(discrete interval)獲取輻射資訊,其中所述離散間隔可對應於相機感測器的幀率。得以足夠獲取該處理腔室內的預期條件變化(例如,光源或電漿的脈衝或其他時間變化)的速率進行採樣,從而獲取該資訊。
處理腔室或其他製造工具可採用各種形式中的任何者。稍後會在本揭示中呈現一些示例。在一些實施例中,處理腔室係用於在半導體基板上製造電子裝置,例如積體電路。在一些實施例中,處理腔室係配置以在基板上沉積一或更多材料。在一些實施例中,處理腔室係配置以從處理腔室中所含有的基板蝕刻材料。在一些實施例中,處理腔室係配置以藉由熱介導處理以沉積材料及/或蝕刻材料。在一些實施例中,處理腔室係配置以使用電漿介導處理以沉積材料及/或蝕刻材料。
製造工具的影像可在工具啟動或閒置時加以捕獲。主動式工具可用於製造電子裝置或一些其他處理,例如腔室清潔。
一些製造工具包括具有二或更多站的腔室,其中各站係配置以處理基板。因此,舉例而言,多站製造工具可在同一腔室中同時處理二個、三個、四個以上的基板。在一些實施例中,多站製造工具中的各站具有各自的晶圓支撐構件(例如,基座及/或晶圓卡盤)、各自的處理氣體輸送構件(例如,噴淋頭)及/或各自的電漿源(例如,線圈或電容器板)。本揭示不限於多站腔室;許多實施例涉及單站腔室。
在相機感測器係用於分析製造工具內的熱特性的背景中,該相機感測器及相關聯的分析邏輯可被配置以識別製造工具的構件或區域的溫度輪廓或其他熱特性。熱特性可源自於製造腔室中的一或更多經加熱元件發射出輻射,及/或源自於該腔室中的一或更多元件的輻射傳輸。經傳輸的輻射可能來自各種來源中的任何一種,例如來自其他經加熱元件及/或來自腔室中的電漿的熱發射。在相機感測器所獲取的數據中可以提供熱資訊的構件示例包括腔室壁、氣體分佈構件(例如,噴淋頭及/或輸送管線)、基板支撐構件(例如,基座及卡盤)、窗口(其用於例如將RF 能量從腔室外部耦合至腔室中的電漿所在區域)、閥、幫浦等。
在一些情況下,相機感測器及相關聯的分析邏輯係配置以判斷未被製造工具的實體結構構件佔據的區域中的氣體的一或更多特性。這種未被佔據區域的示例是基板支撐件與噴淋頭或其他氣體輸送構件之間的間隙。這種未被佔據區域的另一示例是位於腔室壁內部但在基板支撐件及/或噴淋頭範圍之外的環形區域。
另外,在一些實施例中,相機感測器及/或相關聯的邏輯可被配置以獲取在一區域中分佈的輻射資訊,其中該區域可能被劃分成二或更多所關注區域。從不同的關注區域所獲取的輻射資訊可被分別進行分析及/或比較。
在一些實施例中,在製造工具中隨時間變化的熱現象可被相機感測器所獲取,並且分析時間性差異。舉例而言,可藉由獲取在處理循環(例如,ALD循環)或複數循環中的不同時間的影像,從而分析熱循環處理。
與相機感測器相關聯的分析邏輯可被配置以執行影像分析或其他分析,所述其他分析係分析空間強度資訊及/或以允許對於製造工具、在該製造工具中進行的處理,及/或在該製造工具中進行處理的基板進行有意義的特性描述的方式而呈現此等資訊。相機感測器的分析邏輯可被配置以接收輸入,所述輸入包括空間分佈的輻射資訊、波長資訊及/或時間資訊。該邏輯可被配置以輸出製造工具內的輻射的影像或特性描述。在一些實行例中,該邏輯被配置以分析相機感測器數據而將製造工具內的條件的特徵化(characterize)。此等特徵的示例可包括空間溫度分佈、隨時間的溫度變化、腔室中的微粒形成、腔室中的氣體流動圖案,以及腔室中結構特徵部的條件。
在各種實施例中,相機感測器係與一或更多非相機感測器結合使用,其中所述非相機感測器係獲取關於製造工具及/或製造工具內的條件的資訊。此等額外感測器的示例包括電壓/電流感測器、光學發射感測器,以及溫度感測器,例如熱電偶、熱敏電阻、高溫計、輻射熱測量計及基於半導體的溫度感測器。
在某些實施例中,相機感測器的分析邏輯係配置以將相機感測器所獲取的資訊與由一或更多其他感測器所獲取的資訊結合分析,並且提供製造工具內的條件特性,例如化學品組成特性、處理氣體流率特性、 電漿特性、工具構件特性及其任意組合。
在某些實施例中,分析邏輯被配置以解釋「基線」,或是與相機感測器所獲取的當前資訊進行比較的其他先前狀態表示(representation)。該邏輯可被配置以識別當前狀態表示與先前狀態表示之間的差異。這些差異可用於診斷製造工具構件的狀態,例如磨損程度、缺陷或破損。在一些實施例中,分析邏輯係配置以將相機感測器所獲取的資訊與關於處理及/或製造工具構件的資訊結合使用,從而確定對於處理參數的調整。關於處理或製造工具的資訊示例包括該製造工具中的配方階段、設定點及操作時機。 術語
除非本文另有指明,否則本文所使用的所有技術術語及科學術語均具有本發明所屬技術領域中具有通常知識者普遍理解的含義。接著提供的術語及解釋係提供以協助理解複雜的概念,以及用以呈現特定實施例。然而,這些解釋的用意並不在於限制本揭示的完整範圍。
術語「半導體晶圓」、「晶圓」、「基板」、「晶圓基板」及「部分製造積體電路」能夠互換使用。本發明所屬技術領域中具有通常知識者將能理解的是,術語「部分製造積體電路」可指的是在上方進行積體電路製造的許多階段的任何者期間的矽晶圓。在半導體裝置產業中使用的晶圓或基板通常具有約100 mm、150 mm、200 mm、300 mm或450 mm的直徑。本實施方式係假設實施例在晶圓上實施。然而,本揭示不限於此。工件可為各種形狀、尺寸及材料。除了標準的半導體晶圓之外,可利用所揭示實施例的其他工件包括各種製品,例如化合物半導體晶圓、印刷電路板、磁性記錄媒體、磁性記錄感測器、鏡體、光學物件(包括光學基板、晶圓及元件)、微機械裝置等。
積體電路或其他電子裝置可被製造在晶圓上。這種其他電子裝置的示例包括LED、光學顯示器、可著色裝置(tint-able device,例如光致變色裝置及電致變色裝置)、微型透鏡陣列、薄膜電池及光伏裝置。
本文中所使用的「半導體裝置製造操作」或「製造操作」是在半導體裝置的製造期間所執行的操作。通常,整個製造處理包括複數半導體裝置製造操作,其中各操作在各自的半導體製造工具(例如,蝕刻反應器、電鍍槽、化學機械平坦化工具、濕式蝕刻工具等)中執行。半導體裝置製造操作的類別包括減除式處理,例如蝕刻處理及平坦化處裡;以及材料加成式處理,例如沉積處理(例如,物理氣相沉積、化學氣相沉積、原子層沉積、電化學沉積及無電沉積)。在蝕刻處理的背景中,基板蝕刻處理包括遮罩層的蝕刻處理,或者更一般而言係對於先前沉積在及/或以其他方式留在基板表面上的任何材料層進行蝕刻的處理。這種蝕刻處理可蝕刻基板中的層堆疊。
「製造設備」或「製造工具」是指內部進行製造處理的設備。製造設備可包括處理腔室,而工件在處理期間係位於該處理腔室中。通常,製造設備在使用時會執行一或更多電子裝置製造操作。半導體裝置製造所用的製造設備的示例包括減除式處理反應器及加成式處理反應器。減除式反應器的示例包括乾式蝕刻反應器(例如,化學及/或物理蝕刻反應器)、濕式蝕刻反應器及灰化器。加成式反應器的示例包括化學氣相沉積反應器、原子層沉積反應器、物理氣相沉積反應器、濕式化學沉積反應器、無電金屬沉積槽及電鍍槽。
在各種實施例中,處理反應器或其他製造設備包括在處理期間固持基板所用的工具。這種工具經常是基座或卡盤,而這些術語有時在本文中用於指代製造設備中所包括的所有類型的基板固持或支撐工具的簡寫。
如本文所用且除非另有限定,術語相機感測器不限於被設計或配置成與相機一起運作的感測器。該術語包括具有或不具有顏色或多光譜濾波器的其他多像素輻射感測器,其可提供感測資訊,而這些資訊可提供製造工具內的輻射分佈影像。
術語「影像」指的是包括一或更多特徵的物理領域的空間表示。影像得以被編排成表示該物理領域的數據或信號的形式而提供。影像可由像素化感測器(例如,相機感測器)所產生。影像可包含一維、二維或三維物理領域的空間表示。隨著時間而連續取得的複數影像可形成物理領域的影片表示。
所關注區域是一或更多相機感測器的視野內的二維或三維有邊界區域。在各種實施例中,所關注區域包括製造工具內的區域。所關注區域可包括或含括在製造工具中存在硬體構件或此等構件的一部分的區域或容積。所關注區域可包括或含括空隙存在的區域或體積,例如噴淋頭與基座之間的間隙。在一些情況下,所關注區域的邊界具有位於製造工具內的垂直尺寸,例如沿著與晶圓支撐件(例如,基座)及/或腔室噴淋頭的主平坦表面垂直的軸。在一些情況下,所關注區域的邊界具有位於製造工具內的水平尺寸,例如沿著與晶圓支撐件(例如,基座)及/或腔室噴淋頭的主平坦表面平行的軸。在一些情況下,所關注區域的邊界具有位於製造工具內的方位角尺寸,例如沿著基板/晶圓、噴淋頭或基座的圓周部分的角位置。 利用相機感測器所收集的資訊
利用相機感測器或像素化感測器所獲取的與處理腔室內的條件有關的資訊可包括輻射強度值及/或處理腔室內的構件,其中所述輻射強度值係作為該處理腔室內的位置的函數。在一些實施例中,輻射強度值被提供為影像。在一些實施例中,輻射強度值被提供為二維或三維像素化值。在其他實施例中,輻射強度值僅被在提供一維中,例如沿著複數構件之間的狹縫或界面。任選地,強度值也被提供為函數波長。在一些實施例中,相機感測器或其他像素化感測器包括各自的偵測元件,其中各檢測元件係配置以獲取給定位置處但具有不同的光譜靈敏度輪廓(例如,紅色、綠色及藍色區域)的輻射值。在一些實施例中,相機感測器係配置或用於獲取熱特性,或是被配置以檢測EM光譜的紅外部分中的輻射。一些相機感測器係配置以獲取離散波長范圍[有時稱其為區間(bin)]內的輻射強度值。此等感測器包括用於獲取窄波長區間中的強度值的高光譜成像器,以及用於獲與較寬波長區間中的強度值的多光譜成像器。圖1H顯示在相機感測器或相機感測器組合中的「標準」(可見光敏感)、IR敏感及UV敏感的感測器元件的光譜靈敏度範圍的示例。任選地,強度值作為函數或時間提供;例如,可將影像獲取作為影片幀(frame)。在一些實施例中,複數相機感測器提供來自製造工具內重疊或鄰接的不同區域的資訊。 使用相機所確定的熱特性
一或更多相機感測器及相關聯的分析邏輯可被配置以提供構件的各種特性及/或製造工具中的條件的其中任何者。在工具的類型及/或處理特性中,可確定的是該工具內的局部及/或分佈溫度值。可確定絕對及/或相對溫度值。溫度值可以與影像或反應器中的其他位置處的值相關,或是與其他時間所獲取的值相關。可在一維、二維或三維(任選地涉及來自複數相機感測器的輸入)中確定溫度分佈。在一些實行例中,分析邏輯可被配置以偵測來自溫度分佈中的非預期不連續性的缺陷,或是來自反映熱導率或熱發射偏差的其他標記的缺陷。來自工具構件(或其一部分)的熱放射可透過例如相機感測器所得到的IR強度分佈而加以確定。替代地或額外地,工具構件及/或工件(例如,半導體基板)的輻射穿透率可透過相機感測器而在構件或工件的IR及/或可見光影像中確定。工具內的化學物質的特徵可根據工具中的區域的IR及/或可見光影像而確定。
在一些實施例中,分析相機感測器所感測的資訊所用的邏輯係配置以確定且任選地呈現製造工具內的構件及/或熱能來源的位置。這樣的位置可參照製造工具內的一或更多結構構件而加以提供。此等構件的示例包括基板(例如,經歷製造處理的基板)、基板支撐件、噴淋頭及處理腔室壁。在一些實施例中,構件位置係在二維或三維中徑向地、方位角地及/或垂直地相對於一參考物確定,該參考物例如係相對於原點的幾何坐標,而該原點可對應於製造工具內或附近的位置。構件的邊界可透過例如空間強度幅度閾值、強度梯度等而確定。在某些實施例中,分析邏輯係配置以使用構件或熱能來源的形狀或邊界位置來識別製造工具內的一或更多異常現象。在一些情況下,藉由與一或更多電極相鄰的電漿鞘而侷限電漿。
在一些實施例中,分析邏輯係配置以確定製造工具中的構件或區域的相對溫度分佈或EM光譜強度分佈,相對於基線溫度分佈或光譜強度分佈。舉例而言,該邏輯可被配置成解譯相機感測數據以確定「黃金(golden)」處理中的溫度分佈,並且將該溫度分佈與其他運行或處理配方中的感測資訊進行比較,以及確定溫度的漂移或變換。這可被使用於運行或處理的驗證。
在各種實施例中,相機感測器的分析邏輯係配置以識別及特徵化製造工具的一或更多構件或區域隨著時間的溫度及/或IR強度變化。將根據相機影像而確定的當前溫度或強度條件與基線條件進行比較可檢測異常現象(舉例而言),而這可接著觸發處理參數的校正或運行完成後的維護。該邏輯可被配置以識別及/或特徵化溫度或強度基於時間的變化,其中該變化係作為製造工具內的位置的函數。舉例而言,該邏輯可被配置以特徵化製造工具中的不同關注區域的基於時間變化。
在一些實施例中,該邏輯係配置以特徵化一段時間範圍內的溫度或電漿脈衝。在一些實施例中,該邏輯係配置以特徵化製造工具中的二或更多關注區域處的此種脈衝。
在一些實施例中,分析相機感測器所感測的資訊所用的邏輯係配置以確定製造工具內的一或更多化學物質的存在,以及任選地確定該一或更多化學物質的濃度。分析邏輯可被配置以確定並任選地呈現在製造工具內的一或更多位置處的化學組成資訊。在一些情況下,分析邏輯係配置以提供製造工具內的一或更多化學物質的組成分佈的影像。可被該分析邏輯特徵化的化學物質的示例包括未激發或基態物質、經激發物質(例如,自由基)及/或離子物質。可使用相機感測器的元件所感測到的輻射強度資訊的光譜分佈而確定組成資訊,以及在某些情況下確定組成濃度。強度資訊可被提供在習知相機感測器的紅/綠/藍頻帶,或是高光譜感測器的四個以上的光譜頻帶中。
在一些情況下,分析邏輯係配置成單獨使用來自相機的光譜細節而提供與化學組成相關的資訊。在一些情況下,分析邏輯係配置以使用來自相機的光譜細節,並結合其他波長特定信號,例如來自點位置的信號,例如光譜信號(例如,OES),從而提供與化學組成相關的資訊。在一些實施例中,系統採用多光譜相機、高光譜相機、光譜儀或其一些組合而從製造工具獲取資訊,其中相關邏輯係使用該資訊以特徵化製造工具中的一或更多構件的化學組成。在一些實行例中,系統係配置具有一或更多指定波長的濾波器,該濾波器係配置以僅供與一或更多關注化學或原子物質相關的輻射通過。可解譯此類物質的光譜區域中的強度讀數,從而確定該物質及/或其組成存在與否。
在一些情況下,製造工具包括一或更多的多光譜或高光譜相機,這些相機具有一或更多濾色器,且這些濾色器係以某些處理中常見的IR光頻帶作為目標。此等頻帶的示例對應於工具構件、此等構件上的塗層、反應物或製造工具中存在的其他物件的發射或吸收光譜。雖然一些相機感測器可偵測IR光,但除非在次像素層級下應用濾色器(例如可為多光譜或高光譜相機的情況),否則此等感測器並無法區分製造工具中不同的氣體發射線。分析邏輯可被配置成比較幾個關鍵波長(光在經過濾區域之間通過的波長)隨著時間的發射強度。該邏輯可進一步配置以使用這種比較而提供與工具內的化學品相關的回饋(feedback)。
在一些應用中,複數組分氣體(component gas)係各別流入製造工具中,且特定波長的相機影像係提供作為基線或校正影像,以從具有複數化學組分的影像闡明化學品組成資訊。相機影像可被用以捕捉反應器內各種化學物質的流動圖案(例如,從噴淋頭至基座或晶圓)。 電漿製造工具中的相機的位置及整合
製造工具(例如,處理腔室)可具有一或更多特徵部,這些特徵部可被進行熱成像,從而允許監測該工具、監測在該工具中執行的處理、處理控制、診斷該工具中的構件的狀態、工具維修或其他功能。工具上或附近的相機感測器的數量、位置及位向決定對哪些特徵部進行成像,以及執行哪些功能。
在一些情況下,電漿為工具的某些區域或構件提供熱能,因此影響該等區域或構件的熱狀態。在一些情況下,處理氣體流可將熱能輸送至工具的一或更多區域或構件,或是從該工具的一或更多區域或構件去除熱能,並因此影響該等區域或構件的熱狀態。化學反應(其可為放熱或吸熱的)從工具的一或更多構件或區域提供或移除熱量,因此影響該等區域或構件的熱狀態。為工具的一或更多區域或構件的主動熱管理所配置的主動冷卻構件係影響該等區域或構件的熱狀態。
藉由策略性地將一或更多相機感測器定位在製造工具上或附近,這些感測器及相關聯的分析邏輯可獲取該製造工具的一或更多構件或區域的熱特徵。這些特徵可反映由電漿生成、氣流、化學反應、主動冷卻或其他機制所引起的熱能轉移。使用這樣的熱特徵,分析邏輯可促進監測、控制、調整、維修等。如下方的一些示例中所解釋的,相機感測器的位置及位向決定相機感測器的視線的方向及路徑,因此可獲取工具的構件、特徵及/或區域的熱影像。該視線可相對於工具的參考架構以實質垂直、實質水平、傾斜或一些其他方向進行定向。相機感測器可被定位以獲取製造工具的內部及/或外部的熱影像。相機感測器可被設置在工具的腔室內、腔室外部上、輔助結構(例如,晶圓搬運機器人)上、負載鎖室、專用晶圓或其他地方上。圖1A-1H呈現處理腔室及相關相機感測器的數個                                                                         示例。
圖1A顯示被表示成基板處理設備100的製造工具。設備100可被配置於利用任何數量的處理以在半導體基板上或上方沉積膜。舉例而言,設備100可適合用於執行特別是化學氣相沉積(CVD)、原子層沉積(ALD)或原子層蝕刻。這些處理的其中任何者皆可在具有或不具有電漿激發的情況下進行。設備100包括在腔室壁上的相機或相機感測器117。相機感測器117係配置以從設備100的內部獲取影像數據。
圖1A的處理設備100可使用處理腔室的單一處理站102,在該處理站102的內部容積中具有單一基板固持器108(例如,基座),其中該內部容積可藉由真空幫浦118而被保持在真空。與處理腔室流體耦接的噴淋頭106及氣體輸送系統101可允許膜前驅物的輸送,以及例如承載氣體及/或吹淨氣體及/或處理氣體、輔助反應物等。
在圖1A中,氣體輸送系統101包括混合容器104,用於混合及/或調節處理氣體以輸送到噴淋頭106。一或更多混合容器入口閥120可控制處理氣體往混合容器104的導入。特定反應物得以液體形式儲存,並在汽化後接著被輸送至處理腔室的處理站102。圖1A的實行例包括汽化點103,用於將待供應至混合容器104的液體反應物汽化。在一些實行例中,汽化點103可包括加熱式液體注入模組。在一些其他實行例中,汽化點103可包括加熱式汽化器。在又一些其他實行例中,可將汽化點103從處理站去除。在一些實行例中,可提供位在汽化點103上游的液體流量控制器,以控制被汽化及輸送至處理站102的液體質量流。
噴淋頭106可運作以將處理氣體及/或反應物(例如,膜前驅物)分佈朝向處理站處的基板112,其中所述處理氣體及/或反應物的流動可被噴淋頭106上游的一或更多閥(例如,閥120、120A或105)所控制。在圖1A繪示的實施例中,基板112被繪示成位於噴淋頭106下方,並被顯示為坐落在基座108上。噴淋頭106可包括任何合適的形狀,並且可包括任何合適的埠口數量及配置,以將處理氣體分佈至基板112。在一些涉及二或更多站的實行例中,氣體輸送系統101包括位於噴淋頭上游的閥或其他流量控制結構,其中所述閥或其他流量控制結構可獨立地控制處理氣體及/或反應物往各站的流動,從而允許氣體流至其中一站,同時禁止氣體流至第二站。此外,氣體輸送系統101可被配置成對於被輸送至多站設備中的各站的處理氣體及/或反應物進行獨立控制,使得提供給不同站的氣體組成係不同的;例如,同時間在不同站之間的氣體組分的分壓可以是不同的。
在圖1A的實行例中,氣體容積107被繪示成位於噴淋頭106下方。在一些實行例中,可將基座108升起或降下,以將基板112暴露至氣體容積107,及/或以改變氣體容積107的尺寸。在基座108與噴淋頭106之間的間隔有時被稱為「間隙」。任選地,在沉積處理的部分期間可將基座108降下及/或升起,以調節氣體容積107內的處理壓力、反應物濃度等。噴淋頭106及基座108係被繪示成與RF信號產生器114及匹配網路116電性耦接,從而為電漿產生器供電。因此,噴淋頭106的功能可作為電極,用於將射頻功率耦合至處理站102中。RF信號產生器114及匹配網路116可在任何合適的RF功率層級下運作,其中所述的合適RF功率層級係可運作以形成具有所欲自由基物種、離子及電子組成的電漿。此外,RF信號產生器114可提供具有多於一個頻率組分的RF功率,例如低頻組分(例如,小於約2 MHz)及高頻組分(例如,大於約2 MHz)。在一些實行例中,電漿點燃及維持條件係藉由適當硬體及/或系統控制器中的適當機器可讀指令而控制,其中該系統控制器係可以經由輸入/輸出控制指令序列來提供控制指令。在一些實施例中,例如僅需要熱驅動力以進行反應的實施例,該系統不包括電漿生成構件。
一般而言,可使用任何製造工具來實施所揭示的實施例,包括相機感測器的整合,其中該相機傳感器係配置以獲取製造工具內的影像熱現象。示例沉積設備包括但不限於ALTUS ®產品家族、VECTOR®產品家族,及/或SPEED®產品家族、KIYO®產品家族、STRIKER®產品家族及VERSYS®產品家族的設備,而這些產品家族各自可取得自Lam Research Corp., of Fremont, California。
為簡單起見,處理設備100在圖1A中被繪示為用於維持低壓環境的處理腔室的獨立站(102)。然而,一些製造工具係使用複數處理站,如圖1B所示,其中圖1B示意性繪示多站製造工具150的實行例。製造工具150係使用處理腔室165,該處理腔室165包括複數製造處理站,該複數製造處理站各自可用於在特定處理站處對固持在晶圓固持器(例如圖1A的基座108)中的基板執行處理操作。在圖1B的實行例中,處理腔室165被顯示為具有四個處理站151、152、153及154。然而,在某些其他實行例中,多站處理設備可具有更多或更少的處理站,取決於實行例,以及例如並行晶圓處理的所欲層級、尺寸/空間限制、成本限制等。圖1B額外顯示基板搬運機器人175,其可以在系統控制器190的控制下運作,其中該基板搬運機器人175係配置以將基板從晶圓盒(圖1B中未顯示)從裝載端口180移動至多站處理腔室165中,並且移動至處理站151、152、153及154的其中一者上。
如圖所示,處理站153具有相關聯相機或相機感測器121,其中該相關相機或相機感測器121係被定位且配置以從處理站153(以及在一些實施例中係從處理腔室154)內得到影像。處理站151具有兩個相關聯相機或相機感測器123及124。相機感測器123被定位且配置以從處理站151(以及在一些實施例中係從處理腔室152)內得到影像。相機感測器125被定位且配置以從處理站151(以及在一些實施例中係從處理腔室153)內得到影像。處理站152具有相關聯相機或相機感測器127,其中該相關相機或相機感測器127係被定位且配置以從處理站152(以及在一些實施例中係從處理腔室154)內得到影像。相機感測器121、123、125及127的其中任何一或多者係可以經由視埠、窗部,或是被設置在腔室中或腔室上(例如,腔室壁中)的其他光學存取孔口而光學耦合至處理腔室165的內部。應當理解,圖1A、1B及本文的其他系統/設備圖式僅呈現出相機感測器的數量及位置的示例。製造工具可使用任意數量的相機感測器,且這些感測器可位於該工具及其腔室壁中或周圍的各種位置中的任意者。舉例而言,可在任何給定站處使用一或更多相機。此外,除了與所給定的相機感測器最直接關聯的站之外,該相機感測器還可被定位及定向以「觀看」其他站(視線內)。腔室壁可包括實質垂直對齊的周緣部分及/或頂部分及/或底部分。窗部或光學孔口可被設置在任何一或更多這樣的部分中。與任何窗部或孔口相關聯的相機感測器可具有實質垂直視線(例如,其實質平行於腔室壁的垂直部分)、實質水平視線(例如,其實質平行於腔室壁的頂部分及/或底部分),或傾斜視線。
製造工具150包括系統控制器190,該系統控制器190係配置以控制處理工具150的處理條件及硬體狀態。它可與一或更多感測器、氣流子系統、溫度子系統及/或電漿子系統(統稱為方格191)相互作用而適當控制處理氣流、熱條件及電漿條件,從而控制製造處理。系統控制器190及子系統191可用於在處理腔室165的站中實施配方或其他處理條件。
在多站製造工具中,RF信號產生器可耦接至RF信號分配單元,其中該RF信號分配單元係配置以將輸入信號的功率分成例如四個輸出信號。來自RF信號分配單元的輸出信號可具有類似層級的RF電壓及RF電流,而所述RF電壓及RF電流可被傳送至多站製造工具的個別站。
圖1C提供具有四個四站製造工具188、189、193及195的電子裝置製造系統182的俯視圖。四站工具各自包含四個處理站,而各處理站係配置以固持及處理基板。系統182的前端為前端晶圓搬運機器人185可及於(accessible)的三個FOUP 183a、183b及183c,其中該前端晶圓搬運機器人185係配置以在這些FOUP與負載鎖室187之間傳輸晶圓。第一晶圓搬運部190被定位且配置以在負載鎖室187與四站製造工具188及189之間傳輸晶圓。晶圓搬運部190還配置以將晶圓傳輸至第二負載鎖室191,這使得晶圓能夠經由第二晶圓搬運部192而供予四站製造工具193及195。
四站工具195包括圍繞著其外壁而設置的三個相機196、197及198。這些相機被顯示成垂直固定在工具195的四側腔室的三個側部上。唯一沒有相機的一個側部是晶圓搬運部192旁的一側。雖然未在圖1C中顯示,但可在該系統中的其他三個四站腔室的各者的其中任何一或多者上提供類似的相機配置。
圖1A~1C所顯示的配置的替代方案包括(a)位於腔室角落上的相機(不一定在平坦的壁上)及/或(b)配置在反應器內(例如,介於腔室壁中的一或更多光學存取孔口與腔室內部的一或更多位置之間)的光管(剛性或柔性)或光纖。此外,相機感測器的位置及位向可提供經水平定向的視線(相對於運行中的製造工具的參考架構)、經垂直定向的視線,或是中間視線(沿著一軸,其中該軸具有介於水平與垂直之間的角度)。在一些實施例中,相機感測器的光學存取孔口係位於製造工具的垂直側部或腔室壁上。在一些實施例中,相機感測器的視埠或其他窗部係位於製造工具的頂部或底部。此外,應當理解,使用一個、兩個或更多相機的系統並不限於四站腔室或甚至多站腔室。應當理解,在一些實施例中,相機可以不限於在內部檢視,或是透過視埠檢視。舉例而言,相機可被定向及/或配置以獲取被佈置在主站或腔室容積外側的一或更多構件的影像。舉例來說,相機可用於獲取在主站或腔室容積外側的一或更多加熱器的熱影像。
圖1D及1E示意性繪示具有相機感測器的製造工具,其中這些相機感測器被定向以分別從水平指向視線及垂直指向視線獲取影像。圖1D顯示處理腔室170,其具有腔室壁171、噴淋頭172及基座173,其中腔室壁171、噴淋頭172及基座173全部均以本技術領域所知的任何方式加以設計及建構。圖1D還包括相機174,該相機174被配置以經由視埠(如習知製造工具上所提供的),或是為相機存取而設計的窗部176(例如,直徑為1~10 mm的藍寶石棒)而獲取處理腔室170內部的影像。相機174具有由邊緣177及178所界定的視野。如圖所示,相機174及窗部176係配置成允許相機獲取包括基座173的垂直邊緣的熱影像。其他配置允許相機獲取處理腔室中的其他垂直邊緣的熱影像。
圖1E顯示類似的處理腔室181,但具有垂直視線的相機而允許獲取其他特徵部的熱影像。具體而言,腔室181具有腔室壁179、噴淋頭184及基座186,其中該腔室壁179、噴淋頭184及基座186全部均以本技術領域所知的任何方式加以設計及建構。圖1E還包括經垂直定向的相機194及194',其係配置以經由為相機存取而設計的視埠或窗部199及199'而獲取處理腔室181內部的影像。相機194具有由邊緣161及162所界定的視野,而相機194'具有由邊緣163及164所界定的視野。如圖所示,相機194及窗部199係配置以允許相機獲取包括基座186的桿部或下側的熱影像。如圖所示,相機194'及窗部199'係配置以允許相機獲取包括噴淋頭184的桿部邊緣及/或背側的熱影像。其他配置允許相機獲取處理腔室中的其他邊緣的熱影像。
圖1F呈現圖1E中所繪示的設計的變體。由於一些有用的熱資訊可能會出現在腔室的外側,因此可將一或更多相機感測器瞄準腔室壁外側的區域。在圖1F的示例中,處理腔室181額外包括瞄準上腔室壁的外部的一部分的相機感測器111,以及瞄準下腔室壁的外部的一部分的相機感測器113。應注意,瞄準外部的相機感測器可單獨使用,或是與瞄準內部的感測器結合使用,如圖1F所繪示(例如,具有水平或垂直視線的感測器)。
相機感測器通常係設置在製造工具的外部;但在一些實施例中,相機感測器係與腔室壁或腔室內的其他構件或組件一起整合。在某些實施例中,專門為相機感測器所建構的窗部係被整合至腔室壁中。在某些實施例中,相機感測器係使用光學存取孔口而光學耦合至製造工具的內部,其中該光學存取孔口係設置在腔室壁中或腔室壁上以允許對工具內部進行目視檢查。
圖1G繪示將相機附接至製造工具的壁的配置。此圖式係來自腔室內部,以橫截面顯示。如圖所示,相機166附接至處理腔室167的壁。相機166係配置且定向成經由視埠168獲取處理腔室167內部的影像。相機166係設置在保護外殼169中,而該保護外殼169也附接至處理腔室壁167。
允許相機感測器「檢視」腔室內部的視埠或其他窗部係可以由各種材料的其中任何者所製成。示例包括經UV熔融(UV fused)二氧化矽、經UV熔融石英、藍寶石、硼矽酸鹽玻璃及鈣氟化物。在其他實施例中,複數材料的疊層或複合物可用於製造窗部。在某些實施例中,窗部在約100~6000 nm或約100~1000 nm的光譜範圍內是實質透射的。為了使如此寬的光譜範圍能夠有效被相機利用,可能需要藉由將已製造或銷售的感測器上移除一或更多波長特定或波長範圍限定的濾波器,從而對商用感測器進行修改。
允許相機感測器檢視腔室內部的光學存取孔口(其例如可以是視埠或其他窗部)可具有各種尺寸及形狀的任何者。在某些實施例中,窗部具有圓形、橢圓形或多邊形形狀。在一些實施例中,腔室壁中的窗部係被建構為光學元件,例如鏡體、透鏡、濾光器、偏振器或光柵。在一些實施例中,窗部係與鏡體整合在一起。一些實施例可更包括光學鏡體或其他光學構件,這些光學鏡體或其他光學構件不與窗部整合在一起,而是位於腔室內,從而例如能夠對於視線不及於光學存取孔口的腔室區域進行光學存取。在某些實施例中,該窗部是藍寶石的圓柱塊。在某些實施例中,窗部可被塗覆一或多層抗反射膜。
目前已觀測到的是,視埠會產生熱異常現象及電性異常現象。因此,移除視埠,並且以小尺寸窗部或其他光學存取孔口來代替這些視埠可以為製造工具的處理環境提供好處。在某些實施例中,窗部具有約5 cm以下,或是約5 mm以下的最大橫截面尺寸(例如,直徑或對角線)。
在某些實施例中,製造工具或製造工具中的站係配備著超過一個相機。在一些情況下,製造工具或站具有3個以上的相機、5個以上的相機、8個以上的相機,或10個以上的相機。在一些實施例中,多站工具的站具有1至3個相機感測器。
複數感測器工具或站的各別相機感測器可被定位且配置以獲取該工具或該站內部的不同視野。在一些實行例中,不同相機係被定位及定向以不同的角度獲取工具內部的影像。在一些實行例中,不同相機係被定位及定向以在不同的平移偏移處獲取工具內部的影像。在一些實施例中,相機感測器的分析邏輯係被配置成將來自被定位及定向以獲取工具內部內的不同區域及/或角度的二或更多個別相機感測器的影像進行縫合或以其他方式組合。
在使用多腔室製造工具的實施例中,一或更多相機感測器被定位及定向以獲取與二或更多腔室相關的資訊。當二或更多站係沿著配備相機感測器的光學存取孔口的視線時,這可能會很便利。在一些實行例中,相機感測器的分析邏輯係配置以使用與複數相鄰站的結構特徵(例如,站的壁、噴淋頭或基座)相關的資訊,以提供從不同站收集的電漿輻射數據的背景或參考架構。
在一些實施例中,相機感測器係直接附接至製造工具的壁或窗部。相機感測器可透過各種機制而固定至製造工具上,例如透過黏著劑、螺栓或其他機械固定裝置、磁鐵等。在一些實施例中,相機感測器係設置在遠離製造工具的位置處。舉例而言,相機感測器可經由光纖或其他光導管而光學耦合至光學存取孔口。一些實施例允許相機被安裝在製造工具內部的保護外殼中。在一些實施例中,相機感測器係附接至製造工具外部的輔助結構。舉例而言,感測器可附接至晶圓搬運構件,例如機器人。作為另一示例,感測器可附接至測試或感測晶圓,其中該測試或感測晶圓係從工具外部移動至工具內部,該感測器可任選地在該工具內部處經歷製造條件,並在此處理期間收集影像。
一或更多相機感測器可被配置以提供影像的多路複用處理(multiplexed processing)。在一些實施例中,單一遠端感測器可處理來自複數位置(例如,複數光學存取孔口)的光學資訊(並且生成影像)。舉例而言,單一相機傳感器可支持複數光學存取孔口。在一些實施例中,製造工具係使用一個相機感測器從多站腔室的二個站獲取影像數據。舉例而言,工具可在鄰近第一站的腔室壁上具有第一窗部,以及在鄰近第二站的腔室壁上具有第二窗部。該工具額外包括將第一窗部光學耦合至相機感測器所用的第一光纖或光管,以及將第二窗部光學耦合至同一相機感測器所用的第二光纖或光管。相機感測器係配置以將來自第一光纖或光管及第二光纖或光管的信號進行多路複用或同時處理。在一些實施例中,工具包括光管陣列及/或光纖陣列,用以在該工具中的來源與相機感測器之間傳送光信號。
在一些實行例中,系統包括二或更多個複處理或多路複用單元,其各自被配置以處理不同領域內(例如,不同光譜範圍內)的相機感測器數據。舉例而言,一個單元可被配置以處理來自一或更多感測器的可見光,而第二單元可被配置以處理來自一或更多感測器的熱信號(例如,IR輻射)。這兩個單元可被配置成同步運作、獲取及/或處理不同類型的資訊。作為示例,這兩個複處理單元可共同提供區域或構件的組合影像,其中一部分顯示該區域或構件的溫度分佈,另一部分顯示過濾後的電漿影像,以顯示特定物種在該區域或構件中的支配性(dominance)。作為另一示例,兩個複處理單元可被配置成非同步運作,從而獲取因為些許延遲而分開的資訊。 用於感測熱條件的相機特性
相機感測器的特性在於各種參數,包括像素數量、所獲取的波長範圍等。在一些實施例中,用於獲取與電漿相關的資訊的相機感測器可能能夠感測在複數波長處的電磁輻射的強度值,其中該等波長包括至少部分UV光譜、至少部分可見光譜、至少部分IR光譜或其任何組合。作為示例,相機感測器可被配置成感測範圍包括100 nm至1000 nm內的強度值。對於一些熱成像應用而言,相機感測器係配置以感測至少一部分IR區域及/或至少一部分可見光區域,以及至少一部分IR區域中的輻射。在各種實施例中,配置於熱成像的相機感測器可感測包括介於至少約900至13,000 nm的範圍內的輻射。圖1H呈現各種相機感測器類型(包括針對近紅外靈敏度而調整的感測器)的光譜靈敏度範圍。
在某些實施例中,相機感測器是熱成像相機感測器。這樣的相機可產生具有至少一特徵的信號,其中該特徵係與熱相機的視野中的區域的溫度相關聯。在一些實施例中,熱成像相機係對於紅外光譜區的至少一部分內的輻射具靈敏性,其中不同的子範圍對應於用相機所獲取的像素化影像中的物件的不同溫度。熱成像相機有時也稱為熱圖相機(thermographic camera)、紅外線相機或熱像儀或熱成像器。
作為本文任何實施例的示例,相機感測器可被建構成電荷耦合裝置(CCD)或CMOS陣列。在某些實施例中,本文所使用的相機感測器具有至少約5兆像素或至少約12兆像素。
在某些實施例中,在本文的任何實施例中所使用的相機係配置具有快門。在一些實行例中,相機係配置以獲取製造工具中的電漿的影片數據。在某些實施例中,相機係配置以大約30fps~120fps的幀速率獲取製造工具中的電漿的影片數據。
在一些情況下,製造工具可包括照明系統,該照明系統係配置以照明工具內部的全部或其中一或更多部分。在一些實行例中,照明系統係配置以允許相機在關閉電漿時(例如,在操作範圍外,或在複數脈衝之間)拍攝經照明的影像。在一些實行例中,照明系統係使用一或更多LED或其他光源。這些光源可為單色、具有離散發射波長的多色,或是廣譜(broad spectrum)。光源可以是連續啟動的、與一或更多相機快門同步脈衝、與一或更多相機快門不同步脈衝,或是與其他處理參數(例如,RF產生器或氣體輸送閥)同步脈衝。在其他實行例中,將複數光源使用在腔室內或外的不同位置中。這些複數光源可連續或依序通電,伴隨著定時管理,從而使結構化照明能夠用於構建腔室內的特徵部的超解析度影像。
一些製造工具包括定格影像(still image)或影片顯示器。當相機感測器或光導管阻擋從工具外部對於視埠的存取時,可使用這種顯示器以允許工作人員檢視工具內部。 可與相機結合使用的其他感測器類型
在一些實施例中,除了相機感測器之外,製造工具還包括一或更多感測器。這樣的額外感測器可被配置以原位感測電漿或其他條件。此等感測器可包括但不限於機械限制感測器(mechanical limit sensor)、慣性感測器(例如,加速度計或陀螺儀)、紅外(IR)感測器、聲學感測器、質量流量感測器、壓力感測器(例如,壓力計)及溫度感測器(例如,熱電耦),這些感測器可位於處理氣體輸送系統、基座、卡盤等之中。與相機感測器相比,一些感測器可被配置成僅提供與單一點或小區域有關的資訊。額外感測器的具體示例包括電流感測器(例如,VI探針),其可被固定至一或更多結構性構件,例如,噴淋頭或基座;原位光譜感測器[例如,光學發射光譜感測器OES)],其係配置以獲取晶圓或反應器構件在UV、可見光及/或IR光譜中發射的輻射;以及原位光學計量工具,例如反射計。
額外感測器的一示例是具有相對高輸入阻抗的電容式電壓感測器。額外感測器的一例子是具有相對低輸入阻抗的電感式電流變壓器,該電感式電流變壓器係非定期或週期性地對於從RF信號產生器傳導的電流進行採樣,但不會造成任何顯著的電壓降。在一些實施例中,電流感測器或電壓感測器係串聯耦接在RF信號產生器與多站製造工具之間。 相機影像分析
影像分析邏輯係配置以接收輸入,該輸入包括來自製造工具上的一或更多相機感測器的感測值。在某些實施例中,感測值包括逐像素(pixel-by-pixel)強度值,其係作為可觀察參數(例如,波長、時間、偏振或其任何組合)的函數。在某些實施例中,來自相機感測器的輸入數據係以影像數據、影片數據、光譜值、時間序列數據、晶圓計量數據等形式提供。在一些實施例中,輸入數據係以波長、偏振等進行過濾。在一些實施例中,除相機感測器強度數據之外,分析邏輯係配置以接收額外輸入資訊並對其進行運作。這種額外的輸入資訊可包括與相機感測器及/或相關聯的相機構件有關的元數據(metadata)、基板計量信息、與製造工具有關的歷史資訊等。
分析邏輯可被配置以輸出製造工具中的構件的一或更多性質、製造工具中的處理條件及/或製造工具或其構件的狀態分類。一些性質示例已呈現於上。在一些實施例中,分析邏輯被配置作為診斷目的、預測目的或控制目的所用的分類器。診斷分類的示例包括故障偵測及異常條件偵測。預測分類的示例包括處理或機械性漂移(例如,噴淋頭或其他構件的不同形狀)及相關的預測維修(例如,透過回歸分析而產生)。更進一步的示例係提供於2020年11月12日提出申請的美國專利申請案第63/113,133號中,此申請案的整體內容係作為參考文獻而引進本文。控制分類的示例包括對設備或處理的建議修改。
相機感測器的分析邏輯可包括各種類型的分類器或模型的其中任何者,例如深度神經網路(例如,卷積神經網路、自動編碼器、UNet等)、傳統或經典的電腦視覺方法,例如邊緣檢測、影像修改(例如, 模糊法、對比度改變法)、強度閾值法(thresholding)、顏色通道閾值法等。
分析邏輯可被配置以執行影像處理例程,例如分割(segmentation)或其他邊緣找尋例程。該邏輯可使用基於閾值的方法、邊緣偵測方法等。在一些實施例中,工具構件的邊緣或工具構件內的子區域的邊界可使用例如下列的處理序列而加以確定:(a)數據縮減;(b)去噪化(例如,高斯模糊);以及(c)邊緣找尋/閾值法(例如,濾波器的Canny序列)。
在一些實施例中,邊緣找尋例程可使用來自下列序列的其中一或更多計算操作而實施。影像處理序列的示例係繪示於圖2中。
1、最初,相機感測器獲取製造工具的內部區域、製造工具的外部區域及/或製造工具的構件的熱影像。例如,請參照方格203。提供給分析邏輯的影像得以像素級解析度的以數字表示影像中的複數點的溫度。作為示例,熱影像可提供像素數據,以作為16位元值的熱值(例如,大約20~80°C)。此表示方式可被稱為熱調色板(thermal palette)。
2、為了減少被處理的數據量,一些影像分析例程可將表示像素溫度值的數據字的尺寸減小。例如,請參照方格205。舉例而言,例程可將16位元值映射成8位元值。
3、在一些實行例中,影像分析例程對影像進行預處理,從而將其進行去噪。例如,請參照方格207。此處理可刪除對基於熱影像的分析而言不重要的一些細節。去噪演算法的示例是高斯模糊演算法,其係藉由應用高斯函數來模糊影像。在各種實施例中,可使用各種濾波器的其中任何一或多者[例如作為內核(kernel)而實施]來代替高斯模糊函數,或是與高斯模糊函數一起使用。
4、在各種實施例中,邊緣找尋邏輯係配置以將運作在熱影像的經去噪表示上的例程使用於尋找工具構件或其他特徵部的邊緣。例如,請參照方格209。邊緣找尋例程的示例是Canny邊緣偵測器。Canny例程可使用下列序列: 找尋影像的強度梯度。 應用梯度幅度閾值法(gradient magnitude thresholding)或下限截止抑制(lower bound cut-off suppression)來消除對邊緣檢測的假訊號響應(spurious response)。 應用雙閾值來確定潛在的邊緣。 藉由遲滯現象(hysteresis)追蹤邊緣:藉由將弱且未連接於強邊緣的所有其他潛在邊緣進行抑制,以完成構件邊緣的偵測。 由邊緣所劃界的元素可被表示為進一步分析及處理的對象。
圖3繪示具有影像分析邏輯的系統301,其中該影像分析邏輯係被耦接或整合至控制製造工具所用的內部控制系統。組合式邏輯可實施前饋及/或回饋處理控制。
在所繪示的實施例中,方格305處所繪示的熱成像器係配置以獲取熱相機影像。其可用以取代習知系統中的熱電耦或其他以點為基礎的感測器。熱成像器可以是與本文其他處所述的製造工具整合在一起的相機感測器。
可藉由方格307所示的影像數據處理器對於來自成像器305的熱影像逐幀進行分析。影像數據處理器可代表控制系統301所使用的全部或部分影像分析邏輯。
來自成像器305的影像會獲取大範圍的熱資訊。這允許分析邏輯(例如,數據處理器307)以計算方式分析製造工具的區域中的複數點上方的組合式溫度值(例如,平均溫度)。額外或替代地,這允許分析邏輯以計算方式分析製造工具的區域上方的溫度分佈。因此,與基於點特定資訊(例如,來自熱電耦或其他基於點的偵測器的讀數)的分析相比,分析邏輯可更完整地評估熱條件。
數據處理器307可被配置以輸出與製造工具的區域及/或構件相關的溫度資訊。在一些實行例中,輸出溫度數據資訊是純量(scalar)的形式。在其他情況下,輸出數據是多維向量或其他形式。在一些實施例中,數據處理器307被配置成以例如即時(例如,以約27 Hz或約每40 ms一個值)且高速率輸出溫度資訊。
無論影像數據處理器307的形式為何,其所輸出的資訊可被提供給系統控制器309,而該系統控制器309係配置以將數據處理器307所提供的當前溫度值與當前正在進行的處理的配方所提供的溫度設定點進行比較。溫度設定點可由裝置模型所提供,或是基於該裝置模型而提供。
控制器309係配置以確定在影像數據處理器307所確定的當前溫度與設定點之間的差異的指標。控制器309還被配置以確定溫差指示器(如果有的話)是否需要對製造工具的處理控制輸入進行調整。控制器可被配置以維持及/或調整製造工具內的處理條件。
可經由一或更多製造工具輸入所用的控制器或控制子系統進行任何調整。作為示例,控制子系統可以是加熱器控制件,該加熱器控制件係配置以例如直接控制晶圓基座或其一部分的溫度。一般而言,控制器309會驅動相關聯的製造工具構件,以例如藉由閉迴路控制邏輯而實施處理條件或調整。
在一些實施例中,控制系統係使用對於閉迴路控制演算法提供額外控制層級的模型。該模型可能係針對特定配方(例如,經由ALD處理而從特定前驅物沉積矽氧化物)。在一些實行例中,複數配方特定模型可供控制系統使用。在一些控制系統中,系統架構方格303係負責用於配方設置、工具配置、系統UI、數據記錄器等。
在圖3的實施例中,系統架構303包含配方特定控制參數,這些控制參數可被提供給基於模型控制件以實施前饋控制方法,該前饋控制方法係預測條件的未來變化並提供預應調整(proactive adjustment)。可經由這樣的前饋系統而提供對設定點的調整或對設定點的超控(override)。作為示例,基於模型控制件的輸出係經由控制參數調整邏輯而與控制器307的輸出進行組合,從而向製造工具提供控制參數的最終值。
圖4呈現流程圖401,其繪示一操作序列,該操作序列可被用於控制及使用來自被配置以獲取製造工具的影像所用的一或更多個相機感測器的影像數據(例如,IR影像數據)。系統控制邏輯可被用以執行所繪示的各種操作。
最初,處理401在方格403時設置相機參數。這將相機參數應用於熱成像器405,例如本文所述的IR相機感測器。此時,系統準備好從熱成像器405採集及處理熱影像。主要處理流程經由方格407進入,其中控制邏輯從熱成像器405獲取一或更多熱影像或調色板影像。影像傳輸可經由API而達成。另外,如方格409所繪示,控制邏輯會處理、分析及/或保存影片幀。該處理的示例包括耗損壓縮例程(lossy compression routine)。處理後所得到的影像可具有標準格式,例如JPEG、MPEG(例如,mp4)、AVI或h284。這些影像可被儲存在儲存庫(例如,圖4所繪示的數據儲存部411)中。此外,如方格413所繪示,控制邏輯能夠將影像及/或影片顯示到螢幕或其他顯示裝置415,其中該螢幕或其他顯示裝置415可以是任何系統或計算裝置的一部分,所述計算裝置例如係工具上顯示器(on-tool display)或電腦 、平板電腦及/或虛擬實境或增強實境裝置。原始及/或經處理的影像/影片可經由例如網路瀏覽器、行動裝置的應用程式等軟體元件而提供至顯示裝置415。
更進一步,控制邏輯分析生產量或其他處理度量,並且提供適當的預測、診斷或控制參數。請參見方格417。用於實施此操作的控制邏輯可對應於本文別處所述的影像分析邏輯。在一些情況下,在方格417時,分析邏輯將從熱影像或調色板影像所獲取的資訊與設定點或預測進行比較,從而提供製造工具中的參數的處理控制。分析邏輯可被配置以使用熱影像的一或更多特性進行各種診斷、維修及/或控制功能的其中任何者。舉例而言,該特性可用於前饋或反饋處理控制,其中前饋或反饋處理控制涉及調整處理條件或工具組件以影響當前或將來基板的處理。
操作407、409、413及417的次序可與在所定時間或時間增量(time increment)時所獲取的一或更多熱影像相關聯。該過程重複進行多次,如處理401中的迴路419所示。
用於分析及/或使用熱影像的處理(例如,圖4的處理401)得以單執行緒或多執行緒的方式實施。舉例而言,當以多執行緒的方式實施時,邏輯可使用並行處理迴路以獲取熱影圖像,並處理/顯示此等影像。此等循環各自可包括一操作,用於分析熱影像以得出與各種診斷、維修及/或控制功能的其中任何者相關的結論。
在一些實行例中,各種機器學習技術可被應用以分析及/或處理熱影像。舉例而言,在一些實施例中,被配置為對輸入熱影像執行分割的經訓練機器學習模型(例如,卷積神經網路或其他類型的架構)可用於識別在該熱影像中存在的物件或構件(例如,噴淋頭、基座、升降銷、晶圓等)。這種機器學習模型可將熱影像作為輸入,並生成分割圖像作為輸出,該分割圖像係將熱影像的像素分類成屬於不同類別或分類,其中各類別或分類係與不同類型的構件或物件相關聯。在一些實行例中,生成分割熱影像所用的經訓練機器學習模型可以與分類演算法(例如,支持向量機、邏輯回歸、k-近鄰演算法等)結合使用,從而對該分割熱影像的像素團(pixel cluster)進行分類。應注意,分割熱影像可用於各種目的。舉例而言,在使用分割熱影像識別給定構件之後,可隨時間監測該構件的溫度,可隨時間監測該構件的高度或位置、可確定構件的面積等。在一些實施例中,可執行物件偵測(其可在未經分割的熱影像上執行)以偵測一或更多不同物件(例如,各種腔室構件)。
在一些實行例中,機器學習技術可被用於將模糊的熱影像銳化(例如,用以增加解析度)。接著,銳化後的熱影像可用於各種目的,例如監測系統的構件的溫度及/或位置。在一些實施例中,可使用生成對抗網路(GAN)來執行影像銳化。GAN 是一種機器學習架構,其包括經過訓練以生成假影像的生成器網路,以及經過訓練以分辨真實影像(例如,真實相機影像)與該生成器網路所生成的假影像的鑑別器網路。藉由聯合訓練生成器網路及鑑別器網路,該生成器網路在經過訓練後即可以備配置以生成非常逼真的假影像。應注意,在進行推測時,僅使用經過訓練的生成器網路來生成假影像。為了銳化模糊的影像,GAN可使用兩組影像進行訓練,其中一組係高解析度的熱影像(例如,使用相對高端的中波長IR相機或類似相機所獲取的熱影像),另一組係較低解析度的熱影像。較低解析度的熱影像可使用與可在現場使用(field use)期間部署的熱相機相同類型或相似類型的熱相機獲得,而較高解析度的影像可使用在現場使用期間未部署且通常係用於測試系統、訓練GAN或其他模型等的高端相機所獲得。GAN的生成器網路可被訓練成將較低解析度的影像作為輸入,並生成相應的較高解析度的影像作為輸出。因此,相對較低解析度的影像(例如,使用相對低端的相機而獲得的影像)在推測時間使用時可被提供作為訓練生成器網路的輸入。接著,經訓練的生成器網路可生成相應的高解析度影像,該高解析度影像例如比低解析度影像更清晰。接著,較高解析度的影像可用於監測不同構件的溫度、追蹤或監測不同構件的位置等。 使用情況及應用
經由相機影像所識別的熱區或冷區可指示偏差,而該偏差指示異常的處理條件或工具構件(例如,磨損構件或鬆動構件)的異常狀況。在一些實施例中,一或更多相機感測器及相關分析邏輯係配置以偵測一或更多腔室構件中的熱點或冷點。舉例而言,分析邏輯可被配置以識別熱點,其中該熱點具有指示電弧的特性。在另一示例中,分析邏輯可被配置以識別與構件中的裂縫相關聯的熱點或冷點。
構件中的裂縫或其他缺陷會導致構件滲漏。舉例而言,冷卻液體可能會從基座的裂縫滲漏,或者處理氣體可能會從噴淋頭的桿部中的裂縫滲漏。一些實施例係使用 IR 或溫度監測來偵測工具構件中的滲漏。舉例而言,相機感測器的分析邏輯可被配置成以偵測基座背側或噴淋頭背側上的滲漏的方式分析相機影像。在一些情況下,這種裂縫或滲漏無法使用視覺檢查或傳統感測技術進行偵測。舉例而言,典型的熱電耦僅提供局部測量,而該局部測量可能會錯過從遠處蔓延的裂縫,但是相機可提供熱點、冷點、滲漏等的二維地圖。
通過使用相機感測器,得以非阻礙/非侵入的方式實施基於IR的滲漏偵測。熱轉移(例如,溫度梯度的發生)可能會出現在裂縫或缺陷位置處的相機影像中。取決於處理條件及工具設計,裂縫或其他缺陷可能比預期更熱或更冷,或是與周圍或相鄰區域相比看起來更熱或更冷。在一些實行例中,可藉由將基線熱影像與當前熱影像進行比較,並且從基線影像識別趨勢或突然變化(隨時間)而偵測裂縫、滲漏或其他缺陷。若影像變化超過閾值量(例如,超過所界定的溫度或波長變化),分析邏輯會標記問題或實施緩解策略,例如關閉工具或訂購替代構件。若該變化是突然或急劇的,可能會導致即將發生的故障,而這可能需要採取急劇行動,例如終止操作。若該變化是漸進的,則它可能預示著未來會發生失靈,而這只需要較不急劇的反應,例如預防性維修,或是在下一次維修機會期間安排零件更換。
在製造工具中,像是氣體供應線、歧管或噴淋頭桿部的構件可能會被包裹在加熱器中,這可能會難以注意到該構件中的滲漏、裂縫或其他缺陷,特別是當唯一的感測器是例如熱電耦的點感測器時。為了偵測被包裹構件中的問題,熱相機感測器可提供該構件或構件部分的揭示圖(revealing view)。
在一些實行例中,分析邏輯係配置以分析在製造工具中待進行處理的基板的熱相機影像。分析邏輯可使用這樣的影像來識別及/或解譯在基板進入製造工具後、在處理期間的任何時間點,或是在處理過後基板的局部溫度層級及/或溫度分佈。在一些實施例中,在進行處理之前,分析邏輯對於從經加熱製造工具外部的晶圓搬運器中的晶圓所獲得的熱相機影像進行分析,使晶圓係合格以進行處理,或是判斷是否應該在將晶圓移入製造工具之前或在工具內進行處理之前執行預處理操作。作為進一步的示例,分析邏輯可判斷晶圓過冷而不能安全地被插置於經加熱工具中。
在一些情況下,對於製造工具內的晶圓或晶圓固持件進行瞄準的相機感測器會提供處理之前晶圓影像。相關聯的分析邏輯可被配置以確定晶圓的溫度(例如,平均溫度),並且根據該資訊以決定晶圓的「環境適應(soak)」時間。環境適應是指晶圓在進行處理之前,先在給定溫度下放置在腔室中的時間。經加熱製造工具中的正常處理可能需要根據所輸入基板的溫度而適應環境一段規定的時間量。晶圓溫度可根據各種情況而變。舉例而言,在晶圓可進入製造工具之前,其可能必須在傳輸模組中放置較長時間。當放置時,其溫度可能會下降至一個程度,而該程度會需要比起在進行處理之前的正常加熱量更高的加熱量。作為這種變化的結果,不同溫度的晶圓可能需要不同的環境適應時間,使任何給定的晶圓在處理開始進行時係處於正確的溫度。在一些實施例中,分析邏輯被配置以從晶圓的熱影像偵測晶圓的溫度,並使用該資訊來決定環境適應時間。舉例而言,若該邏輯確定晶圓的溫度係預期之外的低,則該邏輯可決定該晶圓的環境適應時間應該大約是正常或基線環境適應溫度的兩倍環境適應時間。
在可利用單獨預熱模組的一些實施例中,熱感測可允許晶圓傳輸機器人對晶圓移動進行動態調度,使得晶圓在晶圓傳輸模組上閒置而等待進入處理腔室所造成的熱量損失最小化。應注意,當必須將室溫晶圓移入進行熱處理的處理腔室時,有時會使用預熱模組。腔室中的預熱模組或單獨的預熱腔室可被配置以緩慢加熱晶圓,從而使熱衝擊最小化。
在一些實施例中,將偵測到的基板溫度分佈與預期溫度分佈進行比較,以評估當前處理是否正在產出預期層級的均勻性(或不均勻性)。基於這種比較,分析邏輯可標記或調整處理條件,或是識別製造工具構件的問題。在一些實施例中,晶圓的熱影像分析係用於補充或取代計量測量。
在一些實施例中,一或更多相機感測器及相關聯的影像分析邏輯被配置以映射製造工具中的氣體的流動圖案。這種氣體可從噴淋頭進入工具,並經由真空幫浦管線而離開。在工具中時,氣體的流動圖案可能會顯著影響基板的處理。流動圖案得以氣體的流動方向、流速等進行特徵化。分析邏輯可被配置以藉由分析一或更多個相機影像,從而任選地即時確定這些或其他氣流性質。在一些實行例中,分析邏輯係配置以接收影像,例如製造工具中,氣體在處理期間流動的一或更多區域(例如,在噴淋頭與晶圓基座之間的間隙中、在噴淋頭的周緣附近、真空出口管線附近等)的IR相機影像。替代地或額外地,該邏輯可被配置以接收工具構件的溫度受到流動圖案影響的工具構件影像。使用任何這種影像,分析邏輯可將工具內的氣體流動圖案特徵化。在一些實行例中,分析邏輯包括(或是被配置以將數據提供至)流動模擬模型,其中該流動模擬模型係經過訓練以使用相機影像或從相機影像衍生的數據來評估流動狀況。這種模型可解譯工具構件或流動路徑中的溫度特徵,並且將處理氣體的流動方向、速度及/或其他特性特徵化。在一些實施例中,相機感測器及相關聯的分析邏輯係配置以在製造操作期間即時做出這樣的評估。該分析邏輯可進一步配置以診斷或預測工具構件的問題;例如,幫浦通風口的部分堵塞。在一些實施例中,該模型是計算上相對簡單的降階模型(reduced order model),並且可在例如從影像被獲取或被提供至模型的時間起的毫秒數量級上評估流動條件。
圖5是可用於監測處理站或處理腔室的各種構件的溫度的熱影像的示例。如圖所示,熱影像指示噴淋頭502、基座504及聚焦環506的溫度,其中該聚焦環506係對應於晶圓位於基座504上時的位置。此外,熱影像指示升降銷(例如,升降銷508)的溫度。在一些情況下,各種構件的溫度可用於各種目的。例如,當晶圓存在時,可追蹤晶圓的溫度以監測該晶圓被放置在基座上時的溫度變化。
在一些實行例中,熱影像可用於監測構件的狀態,但無關於構件的溫度。舉例而言,熱影像可用於確定基座的高度。作為另一示例,熱影像可用於確定升降銷的位置或相對位置。舉例來說,若升降銷相對於彼此處於不同的高度,則晶圓可能會破裂。接續此示例,可使用熱影像來監測升降銷的相對位置,從而偵測導致升降銷相對於彼此在高度上漂移的系統中漂移,以防止晶圓破裂。
在一些實行例中,在處理氣體(或測試氣體)中包含顯跡劑(tracer),而流動中的顯跡劑的相機影像係被分析邏輯用於特徵化氣體的流動圖案。顯跡劑可具有可被相機感測器獲取的可偵測特徵,例如發射光譜、吸收特性及/或反射特性。在一些實施例中,相機感測器具備一或更多濾波器,而該濾波器係配置以偵測譜線或區域。
腔室清潔處理可涉及將膜從製造工具的腔室壁及/或內部構件蝕刻。這種蝕刻通常會產生熱,因此被清潔表面的溫度往往會升高。在一些應用中,腔室壁及/或構件在清潔期間的熱成像係被用於監測腔室清潔操作的進展及/或有效性。分析邏輯可被配置以接收正在清潔的製造工具的一或更多部分或構件的相機影像,並判斷該清潔是否在規格內執行。在一些實施例中,分析邏輯被配置以確定從被清潔的製造工具而得的影像的時間序列期間的溫度斜升降(ramp)。該邏輯可被額外配置以確定該溫度斜升降是否指出該清潔處理是可接受的,或是該清潔處理具有需要調整清潔處理、再次清潔或其他操作的問題。
在一些實行例中,一或更多相機感測器被定位及定向以獲取製造工具內的不同位置處的複數特徵部。舉例而言,相機感測器可被佈置以獲取至少十個這樣的特徵部。這些特徵部可以是工具內的特定構件,或是構件的特定部分(例如,邊緣、接縫、腔體等),或是構件上的特定點。影像分析邏輯可被配置以在不同時間點將這些特徵部集體特徵化。該邏輯可被配置以隨時間比較這些集體特徵,從而識別可能需要改變處理條件或工具構件的傾向。舉例而言,若分析邏輯偵測到一或更多特徵部中的顯著熱特性變化,則該邏輯可觸發診斷、控制及/或預測響應,而可導致維修、零件更換、處理條件/配方調整、使該工具停止服務等。
在一些實行例中,分析邏輯被配置以分析製造工具內部的複數關注區域。作為示例,分析邏輯被配置以分析介於晶圓支撐件與噴淋頭或其他氣體輸送構件之間的間隙。在一些實施例中,這些關注區域係沿著與基座或噴淋頭的實質平坦表面平行的水平軸而彼此分開。在一些實施例中,這些關注區域係沿著垂直軸而分佈,其中該垂直軸係位於間隙中且與基座或噴淋頭的實質平坦表面垂直。在其他示例中,分析邏輯被配置以識別製造工具內的一或更多特定構件上的複數關注區域。
在某些實施例中,分析邏輯從一或更多相機感測器接收影像的時間序列,並且至少部分地基於這些影像來確定製造工具內的當前狀態或條件變化。在一些情況下,分析邏輯被配置以比較跨越二或更多基板的處理的時間段內,或跨越二或更多批次的基板的處理的時間段內,或跨越製造工具在清潔或維修之前及之後的基板的處理的時間段內所獲得的相機感測器影像。在一些情況下,分析邏輯被配置以比較跨越單一基板的處理的一段時間內所獲得的相機感測器影像。
在一些實行例中,分析邏輯被配置以將當前影像或影像集與基線或「黃金」影像進行比較,其中該基線或「黃金」影像係針對基線處理條件及/或基線製造工具狀態及/或基線工具構件狀態所獲得,或是表示基線處理條件及/或基線製造工具狀態及/或基線工具構件狀態。基線可對應於已知狀態,例如處理及/或工具以可接受或最優化方式執行的狀態。若分析邏輯確定當前影像與基線影像的偏差超過所界定的層級,其可標記工具或操作以進行進一步分析及/或關閉處理或工具的操作。該偏差可基於本文所述的熱成像。可能導致顯著偏離基線的情況包括工具構件磨損或破損(例如,破裂)、氣體組成及/或流量特性偏離規格、電漿生成構件發生故障等。在一些應用中,相機感測器分析邏輯被配置以比較多站製造工具中的站與站之間的熱性質。在一些實行例中,相機感測器被定位及定向以獲取複數站的各者中的相同硬體構件(例如,通風口、閥、心軸等)的影像。分析邏輯被配置以比較橫跨複數站的感測器影像。若分析邏輯偵測到任何站中的熱變化,則該邏輯可觸發診斷、控制及/或預測響應,而這些響應可導致對表現出變化的站進行改變。該改變可涉及維修、零件更換、處理條件/配方調整等。
在各種應用中,一或更多相機感測器及相關聯的分析邏輯被配置以評估製造工具內的零件及/或多站製造工具內的站的溫度均勻性。在一些實施例中,相機感測器被定位及定向以獲取多站製造工具的個別站的熱影像。該邏輯可被配置以比較個別站中的溫度或溫度分佈,並評估是否有任何站係在超出規格或明顯偏離其他站的溫度或其他條件下運行。利用此資訊,分析邏輯可指示多站製造工具的站的改變。這種改變可包括站中的處理條件的變化,或是站中的一或更多構件的維修或更換。
相機感測器可位於製造工具上或周圍的各種位置的其中任何位置處,從而獲取以其他方式可能不容易觀察到的特徵部的影像。在一些實施例中,相機感測器係設置在製造工具的頂部處。這可提供包括噴淋頭的一部分(例如,噴淋頭桿部)的影像。在多站工具的情況下,一或更多相機感測器可被定位以獲取工具中的個別站的複數噴淋頭桿部的影像。舉例而言,一或二個相機感測器可位於四站工具的頂部,並配置以獲取所有四個噴淋頭的影像。在一些情況下,一個、兩個或更多相機係位於製造工具的底部,以獲取一或更多基板基座(例如,在多站製造工具的情況下的複數基座)的影像。這些影像可顯示基座桿部,或基座背側的其他特徵部。在一些情況下,相機感測器被配置及定向以獲取在佔據至少約四分之一個製造工具的視野(例如,當從上方或下方觀察時)中的影像。
在一些應用中,相機感測器分析邏輯被配置以從製造工具中執行的處理中的步驟與步驟之間監測或特徵化處理轉變。處理轉變期間的電漿狀態的相機影像可允許進行製造工具中的其他感測器(例如,非相機感測器,像是VI感測器)或感測器結果的校正或匹配。
圖6中顯示在電漿形成容器的各點監測溫度的示例性使用情況。如圖所示,相機602可被配置以獲取電漿形成容器604及周邊區域的影像。舉例而言,相機602可具有例如50度、60度、70度等的視野。這些影像可包括電漿形成容器602的表面的一部分、電漿形成容器602的表面附近的區域、RF外殼606附近的區域、線圈608等。
在一些情況下,電漿形成容器可由陶瓷製成,其中陶瓷溫度超過閾值溫度,或是陶瓷溫度的溫度變化速率大於閾值速率,則可能會容易破裂或其他類型的破損。因此,可將來自熱相機的影像用於監測電漿形成容器的溫度或溫度變化速率,以防止破裂或破損。
在一些實行例中,可將熱相機影像用以監測或確定溫度,其中係針對彎曲表面監測該溫度,該彎曲表面例如是圓頂形的電漿形成容器(如圖6所顯示)。由於曲率可能會以依賴曲率角及其他因素的方式影響溫度,因此可以執行校正程序,從而將從熱相機影像所獲得的測量值(例如,各種IR頻帶中的強度)轉換成溫度。校正程序可涉及使用例如熱電耦以對具有相似曲率的物件的溫度進行測量。可用於對圓頂形電漿形成容器執行曲率校正的物件示例是煮鍋(cooking pot)或其他類似形狀的物件。舉例來說,使用煮鍋的校正程序可涉及加熱該煮鍋,並在沸水冷卻時使用熱電耦測量沸水內的溫度。接著,熱電耦的測量值可用於校準同時進行的熱相機影像,從而校正監測電漿形成容器的溫度所用的熱分析邏輯。在一些實施例中,熱分析邏輯可利用餘弦函數或其他函數,其中該餘弦函數或其他函數可說明該容器的曲率及熱成像相機的視角。 感測分析邏輯
本文所述的包括製造工具的系統可以包括將製造工具中的電漿進行特徵化的邏輯。分析邏輯可被配置以藉由例如類比及/或數位輸入連接件從相機感測器接收信號。
分析邏輯得以各種方式的其中任何者而加以設計及實施。舉例而言,該邏輯可在硬體及/或軟體中實施。邏輯的硬體及軟體實施示例係在本章節及控制器章節中而呈現於下。以硬體實施的分析邏輯得以各種形式的其中任何者而提供,包括數位信號處理器、專用積體電路及具有作為硬體而實施的演算法的其他設備中的硬編碼邏輯。以軟體實施的分析邏輯得以各種形式的其中任何者而提供,包括被配置以在通用或專用處理器上執行的軟體或韌體指令。系統控制軟體可藉由在電腦可讀編程語言中進行「編程」而提供。
用於控制處理序列中的處理的電腦程式編碼可被編寫於任何習知的電腦可讀編程語言中:例如組合語言、C、C++、Pascal、Fortran等。編譯物件編碼或腳本係藉由處理器加以執行,以執行該程式中所認證的任務。另外,如上所述,該程式編碼可為經硬編碼的。
在分析邏輯中使用的積體電路可包括以韌體形式儲存程式指令的晶片、數位訊號處理器(DSP)、定義為特殊應用積體電路(ASIC)的晶片,及/或執行程式指令(例如,軟體)的一或更多微處理器或微控制器。程式指令可以是以各種獨立設定(或程式檔案)形式而進行傳送的指令,並且定義出用於執行特定分析(例如,影像分析)應用的操作參數。
在一些實行例中,影像分析邏輯係駐留在(並執行)在製造工具(從其獲取熱影像)的計算資源上,或是與該計算資源密切相關。作為示例,影像分析邏輯可在與製造工具相關聯的邊緣電腦(edge computer)上執行。在一些實行例中,影像分析邏輯係遠離製造工具(從其獲取熱影像)。舉例而言,分析邏輯可在基於雲端的資源上執行。
圖7是適合實施本揭示的一些實施例所用的計算裝置700的示例的方格圖。舉例而言,裝置700可適合用於實施本文所揭示的影像分析邏輯的其中一些或所有功能。
計算裝置700可包括直接或間接耦合下列裝置的匯流排702:記憶體704、一或更多中央處理單元(CPU)706、一或更多圖形處理單元(GPU)708、通信界面710、輸入/輸出 (I/O)端口712、輸入/輸出構件714、電源716及一或更多呈現構件718(例如,顯示器)。除了CPU 706及GPU 708之外,計算裝置700還可包括圖7中未顯示的額外邏輯裝置,例如但不限於影像信號處理器(ISP)、數位信號處理器(DSP)、ASIC、 FPGA等。
雖然圖7的各種方格被顯示以經由具有線路的匯流排702而進行連接,但其用意不在於限制,且僅係為了清楚起見。舉例而言,在一些實施例中,例如係顯示裝置的呈現構件718可被視為I/O構件714(例如,若顯示器是觸控螢幕)。作為另一示例,CPU 706及/或GPU 708可包括記憶體(例如,除了GPU 708、CPU 706及/或其他構件的記憶體之外,記憶體704還可以代表儲存裝置)。換言之,圖7的計算裝置僅為說明性的。不對於「工作站」、「伺服器」、「筆記本電腦」、「桌上型電腦」、「平板電腦」、「客戶端裝置」、「移動裝置」、「手持裝置」、「電子控制單元(ECU)」、「虛擬實境系統」及/或其他裝置或系統類型進行區分,因為這些全部都被考量在圖7的計算裝置的範圍內。
匯流排702可表示一或更多匯流排,例如定址匯流排、數據匯流排、控制匯流排或其組合。匯流排702可包括一或更多匯流排類型,例如工業標準結構(ISA)匯流排、擴展工業標準結構(EISA)匯流排、影像電子標準協定(VESA)匯流排、周邊構件互連(PCI)匯流排 、周邊構件互連高速(PCIe)匯流排及/或其他類型的匯流排。
記憶體704可包括各種電腦可讀媒體的其中任何者。電腦可讀媒體可以是計算裝置700可存取的任何可利用媒體。電腦可讀媒體可包括揮發性及非揮發性媒體,以及可移動及不可移動媒體。作為示例且非限制的目的,電腦可讀媒體可包括電腦儲存媒體及/或通信媒體。
電腦儲存媒體可包括以任何方法或技術實施以儲存像是電腦可讀指令、數據結構、程式模組及/或其他數據類型的資訊的揮發性及非揮發性媒體及/或移動及不可移動媒體。舉例而言,記憶體704可儲存電腦可讀指令,其例如代表程式及/或程式元素,例如操作系統。電腦儲存媒體可包括但不限於RAM、ROM、EEPROM、快閃記憶體或其他儲存技術、CD-ROM、數位多功能磁碟(DVD)或其他光碟儲存器、磁盒、磁帶、磁碟儲存器或其他磁性儲存裝置,或可用於儲存所欲資訊且可被計算裝置700存取的任何其他媒體。如本文所用,電腦儲存媒體不包含信號本身。
通信媒體可包含電腦可讀指令、數據結構、程式模組及/或在經調節數據信號中的其他數據類型(例如,載波或其他傳輸機制),且包括任何資訊傳輸媒體。術語「經調節數據信號」可指代一種信號,其特性的其中一或更多者係以將該信號中的資訊進行編碼的方式進行設定或改變。作為示例且非限制目的,通信媒體可包括像是經有線網路連接或直接線路連接的有線媒體,以及像是聲學、RF、紅外線及其他無線媒體的無線媒體。任何上述的組合也應被包括在電腦可讀媒體的範圍內。
CPU 706可被配置以執行電腦可讀指令,以控制計算裝置700的一或更多構件,從而執行本文所述的其中一或更多方法及/或處理。CPU 706可各自包括能夠同時處理複數軟體執行緒(software thread)的一或更多核心(例如,一個、兩個、四個、八個、二十八個、七十二個等)。CPU 706可包括任何類型的處理器,並且可包括不同類型的處理器,這取決於所實施的計算裝置700的類型(例如,針對行動裝置而具有較少核心的處理器,以及針對伺服器而具有較多核心的處理器)。舉例而言,取決於計算裝置700的類型,處理器可以是使用精簡指令集計算(RISC)而實施的ARM處理器,或是使用複雜指令集計算(CISC)而實施的x86處理器。除了一或更多微處理器或輔助處理器(例如,數學輔助處理器)之外,計算裝置700還可以包括一或更多CPU 706。
計算裝置700可使用GPU 708來渲染圖形(例如,3D圖形)。GPU 708可包括能夠同時處理許多軟體執行緒的複數個(例如,數十、數百或數千個)核心。GPU 708可響應於渲染命令(例如,經由主機界面所接收來自CPU 706的渲染命令)而生成用於輸出影像的像素數據。GPU 708可包括用於儲存像素數據的圖形記憶體,例如顯示記憶體。顯示記憶體可被包括作為記憶體704的一部分。一或更多GPU 708可包括二或更多並行運作(例如,經由鏈結)的GPU。各GPU 708在組合時可為輸出影像的不同部分或不同輸出影像生成像素數據(例如,第一GPU針對第一影像,而第二GPU針對第二影像)。各GPU可包括自己的記憶體,或是可與其他GPU共享記憶體。
在計算裝置700不包括GPU 708的示例中,可將CPU 706用於渲染圖形。
通信界面710可包括一或更多接收器、發射器及/或收發器,它們使計算裝置700能夠經由電子通信網路而與其他計算裝置通信,包括有線及/或無線通信。通信界面710可包括能夠在多種不同網路的其中任何者上進行通信的構件及功能,所述網路例如係無線網路(例如,Wi-Fi、Z-Wave、藍牙、藍牙LE、ZigBee等)、有線網路(例如,在以太網路上通信)、低功率廣域網路(例如,LoRaWAN、SigFox等)及/或網際網路。
I/O端口712 可使計算裝置700能夠邏輯耦接至包括I/O構件714、呈現構件718及/或其他構件的其他裝置,而I/O構件714、呈現構件718及/或其他構件的其中一些可被建構(例如,整合)在計算裝置700中。說明性的I/O構件714包括麥克風、滑鼠、鍵盤、搖桿、觸控板、衛星碟(satellite dish)、掃描器、影印機、無線裝置等。I/O構件714可提供自然使用者界面(NUI),該NUI處理使用者生成的空中手勢、語音或其他生理輸入。在某些情況下,可將輸入傳輸至適當的網路元件,以供進一步處理。NUI可實施與計算裝置700的顯示器相關聯的語音辨識、觸控筆辨識、臉部辨識、生物特徵辨識、螢幕上及螢幕附近的手勢辨識、空中手勢、頭部及眼部追蹤,以及觸碰辨識(如更詳細描述於下)的任意組合。
計算裝置700可包括深度相機,例如立體相機系統、紅外相機系統、RGB相機系統、觸控螢幕技術及其組合,以用於手勢偵測及辨識。另外,計算裝置700可包括能夠偵測運動的加速度計或陀螺儀[例如,作為慣性測量單元(IMU)的一部分]。在一些示例中,加速計或陀螺儀的輸出可被計算裝置500使用,以提供沉浸式擴增實境或虛擬實境。
電源716可包括固線(hard-wired)電源、電池電源或其組合。電源716可向計算裝置700提供功率,使計算裝置700的構件能夠運作。
呈現構件718可包括顯示器(例如,螢幕、觸控螢幕、電視螢幕、抬頭顯示器(HUD)、其他顯示器類型或其組合)、揚聲器及/或其他呈現構件。呈現構件718可從其他構件(例如,GPU 708、CPU 706等)接收數據,並且輸出該數據(例如,作為影像、影片、聲音等)。
本揭示可在電腦代碼或機器可使用指令的一般背景中描述,其中該電腦代碼或機器可使用指令包括由電腦或其他機器(例如,個人數據助理或其他手持裝置)所執行的電腦可執行指令,例如程式模組。一般而言,包括例程、程式、物件、構件、數據結構等的程式模組是指執行特定任務或實施特定抽像數據類型的代碼。本揭示可在各種系統配置(包括手持裝置、消費電子產品、通用電腦、更專用的電腦裝置等)中實行。本揭示還可在分散式計算環境中實行,其中任務係被通過通信網路鏈接的遠端處理裝置執行。 控制器實施例
在一些實行例中,「控制器」是包含本文所述的相機感測器的系統的一部分。這種系統包括具備相機感測器的製造工具。該系統可任選地與電子元件額外整合在一起,從而在進行基板處理之前、期間及之後控制其操作。控制器可利用上述的分析邏輯而實施,或是與該分析邏輯耦接。控制器可被實施為邏輯,例如具有一或更多積體電路、記憶體裝置及/或軟體的電子元件,以接收指令、發出指令、控制操作及/或啟用感測操作。
控制器可被配置以控制或驅使控制一或更多系統的各種構件或子部件。取決於處理需求及/或系統類型,可將控制器進行編程以控制在製造操作期間可被製造工具使用的任何處理,包括調整或保持處理氣體的輸送、溫度設定(例如,加熱及/或冷卻)包括基板溫度及腔室壁溫度、壓力設定包括真空設定、電漿設定、RF匹配電路設定,以及基板定位及操作設定,包括基板傳輸進出製造工具及/或負載鎖室。處理氣體參數包括處理氣體組成、流率、溫度及/或壓力。與所揭示的實施例特別相關的是,控制器參數可涉及電漿產生器功率、脈衝速率及/或RF頻率。
由控制器所控制的處理參數得以配方的形式提供,並且可以利用使用者界面而輸入。用於監測該處理的信號可藉由該系統控制器的類比及/或數位輸入連接件而加以提供。用於控制該處理的信號係輸出於該沉積設備的類比及數位輸出連接件上。
在一示例中,用於點燃或維持電漿的指令係以處理配方的提供。相關處理配方可依序編排,使得針對該處理的至少一些指令可同時執行。在一些實行例中,用於設定一或更多電漿參數的指令可被包括在電漿點燃處理之前的配方中。舉例而言,第一配方可包括用於第一時間延遲的指令、用於設定惰性氣體(例如,氦)及/或反應物氣體的流率的指令,以及用於將電漿產生器設定至第一功率設定點的指令。後續的第二配方可包括用於第二時間延遲的指令,以及用於啟動電漿產生器以在所界定的參數集下供應功率的指令。第三配方可包括用於該第三時間延遲的指令,以及用於停用電漿產生器的指令。將理解的是,這些配方可在本揭露的範圍內以任何合適的方式進一步細分及/或重複。在一些沉積處理中,電將點燃的持續時間可對應於數秒的持續時間,例如約3秒至約15秒,或是可涉及較長的持續時間,例如長達約30秒的持續時間。在本文所述的某些實行例中,可在處理循環期間應用較短的電漿點燃。這樣的電漿點燃持續時間可落在小於約50毫秒的量級,在特定示例中使用約25毫秒。如上所述,可將電漿進行脈衝。
在一些實施例中,控制器被配置以控制及/或管理RF信號產生器的操作。在某些實行例中,控制器被配置以確定待被傳輸至製造工具的RF信號功率的上閾值及/或下閾值、確定被傳輸至積體電路製造腔室的RF信號功率的實際(例如,即時)位準、RF信號功率啟動/停用時間、RF信號開/關持續時間、工作比、運作頻率等。
作為進一步的示例,控制器可被配置以控制各種操作的時機、氣體的混合、製造工具中的壓力、製造工具中的溫度、基板或基座的溫度、基座、卡盤及/或承受器的位置,以及在一或更多基板上執行的複數循環。
控制器可包括用於控制與製造工具相關聯的經設計子系統的一或更多程式或例程。這種程式或例程的示例包括基板定位程式、處理氣體控制程式、壓力控制程式、加熱器控制程式及電漿控制程式。基板定位程式可包括針對處理工具構件的程式編碼,其中所述處理工具構件係用以將基板裝載至基座上,以及控制該基板與製造工具的其他零件之間的間距。定位程式可包括將基板移入及移出反應腔室,從而在基板上沉積膜及清潔該腔室的指令。
處理氣體控制程式可包括編碼,用於控制氣體組成及流率,以及用於在沉積之前將氣體流入一或更多處理站中以穩定該處理站內之壓力。在一些實行例中,處理氣體控制程式包括用於在反應腔室中的基板上形成膜期間引進氣體的指令。這可包括為一批基板中的一或更多基板的引進氣體不同循環次數。壓力控制程式可包括編碼,用於藉由調節例如處理站的排氣系統中的節流閥、進入處理站的氣流等來控制處理站中的壓力。壓力控制程式可包括指令,用於在批次處理期間在一或更多基板上的不同沉積循環次數期間維持相同壓力。
加熱器控制程式可包括編碼,用於控制往加熱單元之電流,其中該加熱單元係用以加熱基板。或者,加熱器控制程式可控制熱傳輸氣體(例如,氦)往基板的傳輸。
在一些實施例中,可存在與控制器相關的使用者介面。使用者介面可包括顯示螢幕、設備及/或處理條件的圖像軟體顯示器,以及例如指向裝置、鍵盤、觸控螢幕、麥克風等的使用者輸入裝置。
在一些實行例中,控制器可為電腦的一部分,或是耦接至該電腦,所述電腦係整合並耦接至所述系統,或以其他方式與所述系統網路連接,或是其組合。例如,控制器可位於「雲端」,或是FAB主電腦系統的全部或一部分中而可允許基板處理的遠端存取。電腦可使對系統的遠端存取能夠監控加工操作的當前進程、檢視過去加工操作的歷史、檢視來自複數加工操作的趨勢或性能度量、變更當前處理的參數、設定當前處理之後的處理步驟,或是開始新的處理。在一些示例中,遠端電腦(例如,伺服器)可透過網路向系統提供處理配方,其中該網路可包括區域網路或網際網路。遠端電腦可包括使用者介面,而能夠對參數及/或設定進行輸入或編程,所述參數及/或設定則接著從遠端電腦傳送至系統。在一些示例中,控制器接收數據形式的指令,其中所述指令係指明一或更多操作期間待執行之各處理步驟所用的參數。應當理解的是,所述參數可特定於待執行的步驟類型,及控制器所配置以連接或控制的工具類型。因此,如上所述,控制器可例如藉由包括一或更多離散控制器而進行分佈,其中所述離散控制器係彼此以網路連接且朝向共同的目的(例如本文所述的步驟與控制)而運作。為此目的所分佈的控制器之示例將係位於腔室上的一或更多積體電路,其與遠端設置(例如,位於平台層或作為遠端電腦的一部分)且結合以控制腔室上之處理的一或更多積體電路連通。
系統軟體得以許多不同的方式組織,其可能具有不同的架構。舉例而言,根據所揭示的實施例,可將各種腔室構件子例程或控制物件進行編寫,從而控制執行沉積處理(及一些情況下的其他處理)所必需的腔室構件的操作。 額外考量
不具限制地,用於實施本文所述的熱影像獲取及/或處理的示例性系統可包括電漿蝕刻腔室或模組、沉積腔室或模組,例如化學氣相沉積(CVD)腔室或模組、電漿輔助化學氣相沉積(PECVD)腔室或模組、原子層沉積(ALD)腔室或模組、電漿輔助原子層沉積(PEALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、清潔腔室或模組、物理氣相沉積(PVD)腔室或模組、離子植入腔室或模組,以及可能有關於或使用於半導體晶圓之加工及/或製造中的任何其他半導體處理系統。
除非另有指明,否則本文所提供的電漿功率位準及相關參數係適合用於處理300 mm的晶圓基板。本發明所屬技術領域中具有通常知識者將能理解,可根據需要而針對其他尺寸的基板調整這些參數。
本文所述的設備/處理係可與微影圖案化工具或處理結合使用,以例如用於加工或製造電子裝置,包括半導體裝置、顯示器、LED、光電板等。一般而言,雖然並非必要,但將會在公共的製造設施中共同使用或執行這種工具/處理。膜的微影圖案化通常包括下列步驟的一些或全部,其中各步驟係由數種可行工具而提供:(1)使用旋轉塗佈或噴灑塗佈工具將光阻塗覆在工件(即,基板)上;(2)使用加熱板、爐膛或UV固化工具將光阻固化;(3)利用如晶圓步進器的工具將光阻暴露至可見光、UV光或X光;(4)將光阻顯影以選擇性地移除光阻,從而使用如濕式工作台的工具將光阻進行圖案化;(5)使用乾式或電漿輔助蝕刻工具以將光阻圖案轉移至下方膜或工件中;及(6)使用如RF或微波電漿光阻剝除器的工具將光阻移除。
在本說明書及隨附申請專利範圍中所使用的單數形式「一」及「該」係包括複數對象,除非內容及上下文另有規定。舉例而言,提及「一單元」時係包括二或更多這樣的單元的組合。除非另有指明,否則「或」的連接詞在其正確意義上用作布爾邏輯運算子,包括特徵的替代性選擇(A或B,其中A的選擇係與B互斥),以及特徵的結合性選擇(A或B,其中A及B都被選擇)。
應當理解,若在本文中使用短語「對於一或更多<項目>中的各<項目>」、「一或更多<項目>中的各<項目>」等,包括單一項目群組及複數項目群組,即短語「對於…各」的使用意義在於它在編程語言中用來指代所引用的任何項目群中的各項目。舉例而言,若所引用項目的總體是單一項目,則「各」將僅指代該單一項目(儘管事實上字典中對「各」的定義經常將該術語定義為指「二或更多物品中的每一者),並不意味著必須至少有兩個這樣的項目。類似地,術語「集合」或「子集」本身不應被視為必然包含複數項目;應當理解,集合或子集可以僅包含一個成員或多個成員(除非上下文另有指明)。
在本揭示及申請專利範圍中使用序數指標(若有的話),例如(a)、(b)、(c)…等,應被理解為不傳達任何特定的順序或次序,除非這樣的順序或次序係被明確指示的。例如,若有標記為(i)、(ii)及(iii)的三個步驟,應理解這些步驟可以任何順序進行(或者甚至同時進行,若沒有另外的限制),除非另有說明。例如,如果步驟(ii)涉及處理在步驟(i)中創建的元素,則步驟(ii)可被視為發生在步驟(i)之後的某個時間點。類似地,如果步驟(i)涉及處理在步驟(ii)中創建的元素,則應理解為相反。還應理解,本文中使用的序數指標「第一」(例如,「第一項」)不應被理解為暗示或固有地暗示必然存在「第二」實例,例如「第二項」。
包括處理器、記憶體、指令、例程、模型或其他構件的各種計算元件可被描述或聲稱為「配置以」執行一或更多任務。在此樣的背景中,短語「配置以」係使用於通過指示構件包括在運作期間執行一或更多任務的結構(例如,所儲存指令、電路系統等)來暗示該結構。因此,單元/電路/構件可以被說成配置已執行任務,即使所指定的構件當前不一定是可運作的(例如,未開啟)。
「配置以」執行操作的構件或邏輯可被配置以使用軟體或硬體來執行這樣的操作,例如,電路、儲存可執行以實施該操作的程式指令的記憶體等。此外,構件或邏輯可被「配置以」使用通用結構(例如,通用電路系統)來執行操作,其中該通用結構係由軟體及/或韌體(例如,FPGA或執行軟體的通用處理器)所操縱,而以能夠執行所述操作的方式進行操作。此外,「配置以」執行操作的構件或元件可以由一或更多記憶體或記憶體元件所配置,該記憶體或記憶體元件係儲存用於執行所述任務的電腦可執行指令。這樣的記憶體元件可以包括具有處理邏輯的電腦晶片上的記憶體。在某些情況下,「配置以」一詞指的是採用製造處理(例如,半導體製造設施)來製造設備(例如,積體電路)。
雖然前述實施例已為了清楚理解的目的而描述些許細節,但將顯而易知的是,可在隨附申請專利範圍的範疇內進行某些變更及修改。應注意的是,存在著許多實行所呈現實施例之處理、系統及設備的替代方法。因此,所呈現實施例係被視為說明性而非限制性的,且實施例並不受限於本文所給定的細節。
100:基板處理設備 101:氣體輸送系統 102:處理站 103:汽化點 104:混合容器 105:閥 106:噴淋頭 107:氣體容積 108:基板固持器/基座 111:相機感測器 112:基板 113:相機感測器 114:RF信號產生器 116:匹配網路 117:相機感測器 118:真空幫浦 120:混合容器入口閥 120A:閥 121,123,124,125,127:相機感測器 150:多站製造工具 151,152,153,154:處理站 161,162,163,164:邊緣 165:處理腔室 166:相機 167:處理腔室 168:視埠 169:保護外殼 170:處理腔室 171:腔室壁 172:噴淋頭 173:基座 174:相機 175:基板搬運機器人 176:窗部 177,178:邊緣 179:腔室壁 180:裝載端口 181:處理腔室 182:電子裝置製造系統 183a,183b,183c:FOUP 184:噴淋頭 185:前端晶圓搬運機器人 186:基座 187:負載鎖室 188,189:四站製造工具 190:系統控制器 190:第一晶圓搬運部 191:方格 191:第二負載鎖室 192:第二晶圓搬運部 193:四站製造工具 194,194':相機 195:四站製造工具 196,197,198:相機 199,199':窗部 203,205,207,209:方格 301:系統 303:系統架構方格 305:成像器 307:數據處理器 309:系統控制器 401:流程圖 403:方格 405:熱成像器 407:方格 409:方格 411:數據儲存部 413:方格 415:顯示裝置 417:方格 419:迴路 502:噴淋頭 504:基座 506:聚焦環 508:升降銷 602:相機 604:電漿形成容器 606:RF外殼 608:線圈 700:計算裝置 702:匯流排 704:記憶體 706:中央處理單元(CPU) 708:圖形處理單元(GPU) 710:通信界面 712:輸入/輸出 (I/O)端口 714:輸入/輸出構件 716:電源 718:呈現構件
圖1A示意性繪示製造工具,用於在基板上或上方沉積或蝕刻膜;該工具包括相機感測器。
圖1B示意性繪示多站處理工具的實行例;該工具包括四個相機感測器。
圖1C呈現電子裝置製造系統的俯視圖,該電子裝置製造系統具有四個多站製造工具,其中一者包括相機感測器。
圖1D示意性繪示具有相機的處理腔室,該相機被定向以沿著進入腔室內部的水平視線而獲取影像。
圖1E示意性繪示具有複數相機的處理腔室,該等相機被定向以沿著進入腔室內部的垂直視線而獲取影像。
圖1F繪示處理腔室,其具有瞄準腔室壁外側區域的一或更多相機感測器。
圖1F繪示一實施例,其中相機感測器係被安裝成及於(access)製造工具中的腔室壁的視埠或光學存取孔口。
圖1H呈現在相機感測器或相機感測器組合中的「標準」(可見光敏感)、IR敏感及UV敏感的感測器元件的光譜靈敏度範圍的示例。
圖2係一處理流程圖,其繪示用於偵測熱影像中的特徵部的示例方法。
圖3示意性繪示一示例控制系統,用於對製造工具實施回饋及任選前饋控制。
圖4係一流程圖,其繪示與控制製造工具中的處理而使用的熱影像獲取及影像分析相關聯的單執行續處理。
圖5係根據一些實施例的熱影像示例。
圖6係根據一些實施例的示例電漿形成容器的圖式,其中可使用熱影像監測該電漿形成容器。
圖7係適合實施本揭示的一些實施例的示例計算環境的方格圖。
301:系統
303:系統架構方格
305:成像器
307:數據處理器
309:系統控制器

Claims (56)

  1. 一種系統,包括: 裝置製造處理腔室,包括腔室壁及晶圓支撐件; 一或更多光學存取孔口,位於該處理腔室中; 一或更多相機感測器,與該一或更多光學存取孔口光學耦接;以及 分析邏輯,配置以處理來自該一或更多相機感測器的複數信號,以確定該處理腔室中的構件的一或更多熱特性。
  2. 如請求項1之系統,其中該一或更多光學存取孔口的其中至少一者係設置在該腔室壁中,且提供大致垂直的視線至該處理腔室中。
  3. 如請求項1之系統,其中該一或更多光學存取孔口的其中至少一者係配置以提供大致垂直的視線至該處理腔室中。
  4. 如請求項3之系統,其中該一或更多光學存取孔口的其中該至少一者係設置在該處理腔室的頂表面中,或是該處理腔室的底表面中。
  5. 如請求項1之系統,其中該處理腔室包括至少二站。
  6. 如請求項5之系統,其中該處理腔室中的該構件係位於該處理腔室的第一站中,且其中該分析邏輯係配置以解釋位於該處理腔室的第二站中的經成像特徵部,從而確定該處理腔室中的該構件的該一或更多熱特性,其中該第一站及該第二站係在該處理腔室的該至少二站之中(among)。
  7. 如請求項5之系統,其中該分析邏輯係配置以確定該構件的該一或更多熱特性,或是該處理腔室的第一站中的條件。
  8. 如請求項1之系統,更包括非相機感測器,且其中該分析邏輯係配置以使用來自該非相機感測器的信號而確定該處理腔室中的構件的該一或更多熱特性。
  9. 如請求項1之系統,其中該一或更多相機感測器包括熱成像相機感測器。
  10. 如請求項9之系統,其中該熱成像相機感測器包括高光譜相機感測器。
  11. 如請求項1之系統,其中該相機感測器係配置以獲取IR光譜範圍中的光學信號。
  12. 如請求項11之系統,其中該相機感測器係進一步配置以獲取UV光譜範圍及/或可見光譜範圍中的光學信號,以及在(a)該IR光譜範圍與(b)該UV光譜範圍及/或該可見光譜範圍中的光學信號之間進行分辨。
  13. 如請求項1之系統,其中該分析邏輯係配置以隨時間(temporally)確定該處理腔室中的該構件的該一或更多熱特性。
  14. 如請求項1之系統,其中該分析邏輯係配置以對於複數熱特性之間的差異進行空間解析的方式而確定該處理腔室中的該構件的該一或更多熱特性。
  15. 如請求項14之系統,其中該分析邏輯係配置以(a)處理來自該一或更多相機感測器在複數角度處所獲得的影像,以及(b)提供該構件的三維表示(representation)。
  16. 如請求項1之系統,更包括一或更多光纖及/或一或更多光管,其將該一或更多相機感測器光學耦接至該一或更多光學存取孔口。
  17. 如請求項1之系統,其中該分析邏輯係配置以處理來自該一或更多相機感測器的其中至少二者的多路複用信號(multiplexed signal)。
  18. 如請求項1之系統,其中該處理腔室中的該構件包括氣體供應線、噴淋頭、閥、通風口、基板基座、基板卡盤、冷卻劑線路、配置以對該處理腔室提供電漿功率的電極、感測器、排氣線路、電性連接件、累積器(accumulator)及/或該處理腔室的壁。
  19. 如請求項1之系統,其中該處理腔室中的該構件為加熱器。
  20. 如請求項19之系統,其中該加熱器係被連接至氣體線路、累積器容積、汽化器、安瓿、電性連接件、排氣線路或其任何組合。
  21. 如請求項1之系統,其中該分析邏輯係進一步配置以將來自該相機感測器的當前影像與基線影像進行比較。
  22. 如請求項21之系統,其中該分析邏輯係進一步配置以使用該當前影像與該基線影像的比較而識別該處理腔室中的該構件上的熱點或冷點。
  23. 如請求項22之系統,其中該分析邏輯係進一步配置以至少部分基於該熱點或該冷點而識別該構件中的裂縫、滲漏及/或磨損。
  24. 如請求項21之系統,其中該分析邏輯係進一步配置以響應於該當前影像與該基線影像的比較中的時間變化而調整處理條件及/或修改該處理腔室。
  25. 如請求項1之系統,其中該分析邏輯係進一步配置以確定該處理腔室中的晶圓的溫度。
  26. 如請求項25之系統,其中該晶圓的該溫度係在該晶圓上的溫度分佈。
  27. 如請求項25之系統,其中該分析邏輯係進一步配置以基於該晶圓的該溫度而確定該晶圓的環境適應(soak)時間。
  28. 如請求項1之系統,其中該分析邏輯係進一步配置以確定該處理腔室內的氣體的流動圖案。
  29. 如請求項28之系統,其中該氣體為處理氣體或冷卻劑氣體。
  30. 如請求項28之系統,其中該分析邏輯係配置以藉由確定該處理腔室中的該構件的熱條件而確定該處理腔室內的該氣體的該流動圖案,其中該構件係位於該氣體流動圖案的路徑中。
  31. 如請求項28之系統,其中該分析邏輯係配置以藉由確定該氣體中的顯跡劑(tracer)的位置而確定該處理腔室內的該氣體的該流動圖案。
  32. 如請求項1之系統,其中來自該一或更多相機感測器的該等信號係包括該處理腔室正在進行清潔時的熱影像,且其中該分析邏輯係配置以將歷經清潔的該處理腔室及/或清潔操作的條件進行特徵化(characterize)。
  33. 如請求項1之系統,其中該分析邏輯係進一步配置以確定該處理腔室中的該構件的一或更多邊緣。
  34. 如請求項33之系統,其中該分析邏輯係配置以藉由應用雜訊濾波器至該構件的熱影像,接著應用邊緣找尋例程於該熱影像,從而確定該處理腔室中的該構件的該一或更多邊緣。
  35. 如請求項1之系統,其中該構件的該一或更多熱特性包括該構件的標稱溫度(nominal temperature)。
  36. 如請求項1之系統,其中該構件的該一或更多熱特性包括該構件的溫度分佈。
  37. 如請求項1之系統,其中該分析邏輯係進一步配置以至少部分基於該構件的該等熱特性而確定該構件或該處理腔室中的處理條件是否超出在不進行變化的情況下續行操作的可接受範圍。
  38. 如請求項1之系統,其中該分析邏輯係進一步配置以至少部分基於該構件的該等熱特性而執行校正行為。
  39. 如請求項38之系統,其中該分析邏輯係進一步配置以將從該一或更多相機感測器的信號所獲得的資訊與參考數值進行比較,以及基於該資訊與該參考數值之間的差異幅度而確定該校正行為。
  40. 如請求項1之系統,其中該分析邏輯係進一步配置以隨時間監測該構件的該一或更多熱特性,以及基於該一或更多熱特性的變化速率而確定該構件或該處理腔室係處於故障狀態。
  41. 如請求項1之系統,其中該分析邏輯係進一步配置以隨時間監測該構件的該一或更多熱特性,以及基於該一或更多熱特性的變化速率而啟動或執行針對該構件或該處理腔室的校正行為。
  42. 如請求項1之系統,其中該相機感測器係安裝在晶圓上。
  43. 如請求項1之系統,其中該相機感測器設置在該處理腔室內的外殼中。
  44. 如請求項1之系統,其中該分析邏輯係進一步配置以確定該構件的一或更多尺寸及/或相對於該構件的一或更多距離。
  45. 如請求項44之系統,其中該分析邏輯係進一步配置以基於該一或更多尺寸及/或該一或更多距離而調整該構件或該處理腔室中的第二構件的位置。
  46. 如請求項44之系統,其中該分析邏輯係進一步配置以基於該一或更多尺寸及/或該一或更多距離而調整標稱噴淋頭-基座間隙及/或噴淋頭-基座傾角(tilt)。
  47. 如請求項44之系統,其中該分析邏輯係進一步配置以使用該構件的該一或更多熱特性、視差(parallax)及/或前縮(foreshortening)影像資訊而確定或校正該處理腔室中的尺寸或距離。
  48. 如請求項47之系統,其中該尺寸或該距離係該處理腔室中的經測量尺寸或距離。
  49. 如請求項1之系統,其中為了處理來自該一或更多相機感測器的該等信號,該分析邏輯係配置以對於該等信號執行分割(segmentation),從而識別該裝置製造處理腔室的一或更多構件。
  50. 如請求項49之系統,其中該一或更多構件包括下列至少一者:該晶圓支撐件;噴淋頭;或陶瓷圓頂。
  51. 如請求項49之系統,其中為了對於該等信號執行該分割,該分析邏輯係配置以使用經訓練的機器學習模型。
  52. 如請求項1之系統,其中為了處理來自該一或更多相機感測器的該等信號,該分析邏輯係配置以使用經訓練的機器學習模型而將與來自該一或更多相機感測器的該等信號相關聯的影像銳化。
  53. 如請求項52之系統,其中該經訓練的機器學習模型包括生成對抗網路(GAN)的至少一部份。
  54. 一種系統,包括: 處理腔室,包括腔室壁及晶圓支撐件; 一或更多光學存取孔口,位於該腔室壁中; 一或更多相機感測器,以一方式與該一或更多光學存取孔口光學耦接,其中該方式係獲取位於該處理腔室內的構件的一或更多特徵部的二維影像或三維影像;及 分析邏輯,配置以處理來自該一或更多相機感測器的複數信號,從而(i)將該處理腔室內的第一關注區域處的該構件的一或更多性質特徵化,以及(ii)將該處理腔室內的第二關注區域處的該構件的該一或更多性質特徵化。
  55. 一種系統,包括: 處理腔室,包括腔室壁及晶圓支撐件; 光學存取孔口,位於該腔室壁中; 相機感測器,與該光學存取孔口光學耦接; 輔助感測器,配置以感測該處理腔室中的熱條件、光學條件及/或電性條件,其中該輔助感測器不是相機感測器,以及 分析邏輯,配置以處理來自該相機感測器及該輔助感測器的信號,以將該處理腔室中的構件的一或更多熱性質特徵化。
  56. 一種方法,包括: 接收來自一或更多相機感測器的複數信號,該一或更多相機感測器係與裝置製造處理腔室的一或更多光學存取孔口光學耦接,該裝置製造處理腔室包括腔室壁及晶圓支撐件;以及 從該等信號確定該處理腔室中的構件的一或更多熱特性。
TW111140840A 2021-10-28 2022-10-27 用於裝置製造工具之分析的熱成像 TW202347554A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202163263232P 2021-10-28 2021-10-28
US63/263,232 2021-10-28

Publications (1)

Publication Number Publication Date
TW202347554A true TW202347554A (zh) 2023-12-01

Family

ID=86158735

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111140840A TW202347554A (zh) 2021-10-28 2022-10-27 用於裝置製造工具之分析的熱成像

Country Status (3)

Country Link
CN (1) CN117897795A (zh)
TW (1) TW202347554A (zh)
WO (1) WO2023077006A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10895539B2 (en) * 2017-10-20 2021-01-19 Lam Research Corporation In-situ chamber clean end point detection systems and methods using computer vision systems
KR101931969B1 (ko) * 2018-10-15 2018-12-24 안종팔 반도체 웨이퍼 세정장치에서 웨이퍼 표면 온도 측정을 위한 온도센서 설치 위치 조정장치 및 그 방법
JP7202138B2 (ja) * 2018-10-22 2023-01-11 株式会社Screenホールディングス 基板処理装置および基板処理方法
KR20220003151A (ko) * 2019-06-03 2022-01-07 어플라이드 머티어리얼스, 인코포레이티드 비-접촉식 낮은 기판 온도 측정을 위한 방법
JP2023517095A (ja) * 2020-03-10 2023-04-21 東京エレクトロン株式会社 トラックシステムに統合するための長波赤外線熱センサ

Also Published As

Publication number Publication date
CN117897795A (zh) 2024-04-16
WO2023077006A1 (en) 2023-05-04

Similar Documents

Publication Publication Date Title
US10579041B2 (en) Semiconductor process control method
US9959610B2 (en) System and method to detect substrate and/or substrate support misalignment using imaging
US11353364B2 (en) Thermal imaging for within wafer variability feedforward or feedback information
CN103493192B (zh) 确定处理腔室清洁工艺的终点的设备及方法
US9435692B2 (en) Calculating power input to an array of thermal control elements to achieve a two-dimensional temperature output
US10895539B2 (en) In-situ chamber clean end point detection systems and methods using computer vision systems
CN102136412B (zh) 半导体制造装置和半导体器件制造方法
US20240096713A1 (en) Machine-learning in multi-step semiconductor fabrication processes
US11726438B2 (en) Treatment condition setting method, storage medium, and substrate treatment system
US11862441B2 (en) Plasma processing method and plasma processing apparatus
TW200401179A (en) Method of predicting processing device condition or processed result
TW202015093A (zh) 電漿處理機台上基於影像的電漿鞘輪廓偵測
TW202123353A (zh) 用於基板處理系統的自動化控制及檢測之整合式硬體軟體電腦視覺系統
US10481199B2 (en) Data analytics and computational analytics for semiconductor process control
US10579769B2 (en) Using design proximity index and effect-to-design proximity ratio to control semiconductor processes and achieve enhanced yield
KR20200028759A (ko) 플라즈마 센싱 장치, 이를 포함하는 플라즈마 모니토링 시스템 및 플라즈마 공정 제어 방법
TW202347554A (zh) 用於裝置製造工具之分析的熱成像
CN106527527A (zh) 监测和控制foup中的半导体衬底的温度的系统和方法
KR20240093970A (ko) 디바이스 제조 툴들의 분석을 위한 열적 이미징 (thermal imaging)
US20240234112A1 (en) Image analysis of plasma conditions
US20190164852A1 (en) System and method for in-line processing control
WO2023279081A1 (en) Image analysis of plasma conditions
US20210143039A1 (en) Systems and methods for controlling non-uniformity
TW202226038A (zh) 多尺度物理蝕刻模型化及其方法
TW202347393A (zh) 使用電腦視覺的電漿均勻性評估