TW202338066A - 鉬的蝕刻方法 - Google Patents

鉬的蝕刻方法 Download PDF

Info

Publication number
TW202338066A
TW202338066A TW111146930A TW111146930A TW202338066A TW 202338066 A TW202338066 A TW 202338066A TW 111146930 A TW111146930 A TW 111146930A TW 111146930 A TW111146930 A TW 111146930A TW 202338066 A TW202338066 A TW 202338066A
Authority
TW
Taiwan
Prior art keywords
etch
substrate
layer
gas
plasma
Prior art date
Application number
TW111146930A
Other languages
English (en)
Inventor
蘊 韓
彼得 洛威爾 喬治 凡特薩克
帕索斯 羅伯托 隆戈
艾洛克 蘭傑
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202338066A publication Critical patent/TW202338066A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一種基板處理之方法,包括:提供一基板,該基板包含在一凹陷特徵部中的一金屬鉬層上方之一蝕刻遮罩;將該基板暴露於一電漿激發沉積氣體,該電漿激發沉積氣體在該凹陷特徵部的一側壁上形成一蝕刻保護層;及將該基板暴露於一電漿激發蝕刻氣體,該電漿激發蝕刻氣體依據該蝕刻遮罩而蝕刻該金屬鉬層,其中該等暴露步驟交替進行複數次。

Description

鉬的蝕刻方法
[共同申請案之交互參照]本申請案主張美國臨時專利申請案第63/287,371號(申請於2021年12月8日)的優先權,該等申請案乃藉由參考文獻方式合併於此。
本發明大致關於製造半導體裝置的方法,且在具體實施例中,關於乾式蝕刻鉬的方法。
半導體積體電路(IC)是透過依序沉積和圖案化諸多材料層以在基板上形成單片結構而構建的電子元件網路。在每個新的技術節點,元件密度大約變為雙倍以降低IC的單位成本。由於圖案化的進步,數百個電晶體可封裝在1μm 2的區域中並透過半間距小於15 nm的金屬線連接到訊號和電源。如此窄線的電阻主要取決於邊緣附近的傳導。用作金屬擴散阻擋層的襯墊和沿著金屬邊緣的隨機電子散射產生窄寬度效應(narrow width effect,NWE),其中電阻隨著寬度減小而急遽上升。因此,對於次5奈米節點,金屬鉬在氧化矽中具有低擴散率(消除了對擴散阻擋層的需要)、低本體(bulk)平均自由路徑(較少的邊緣散射)、高熔點、和低熱膨脹係數,是一種令人感興趣的金屬,用於最高密度互連級別的電線。雖然鉬具備有前途的特性,但將鉬整合到大批量IC製造中需要乾式蝕刻方法,該方法能夠很好地控制蝕刻輪廓、蝕刻速率、和對遮罩材料與下伏層的蝕刻選擇性。因此,需要進一步創新金屬鉬的乾式蝕刻處理。
一種基板處理之方法,包括:提供一基板,該基板包含在一凹陷特徵部中的一金屬鉬層上方之一蝕刻遮罩;將該基板暴露於一電漿激發沉積氣體,該電漿激發沉積氣體在該凹陷特徵部的一側壁上形成一蝕刻保護層;及將該基板暴露於一電漿激發蝕刻氣體,該電漿激發蝕刻氣體依據該蝕刻遮罩而蝕刻該金屬鉬層,其中該等暴露步驟交替進行複數次。
一種基板處理之方法,包括:提供一基板,該基板包含在一凹陷特徵部中的一金屬鉬層上方之一蝕刻遮罩;在該凹陷特徵部中沉積一蝕刻保護層,其中該蝕刻保護層包括氧化物層;執行一穿透蝕刻步驟,該穿透蝕刻步驟蝕刻穿過在該凹陷特徵部之底部的該蝕刻保護層;及將該基板暴露於一電漿激發蝕刻氣體,該電漿激發蝕刻氣體依據該蝕刻遮罩而蝕刻該金屬鉬層。
一種基板處理之方法,包括:提供一基板,該基板包含在一凹陷特徵部中的一金屬鉬層上方之一蝕刻遮罩;在該蝕刻遮罩的一側壁上沉積一蝕刻保護層,其中該蝕刻保護層包括氧化物層;及將該基板暴露於一電漿激發蝕刻氣體,該電漿激發蝕刻氣體依據該蝕刻遮罩而蝕刻該金屬鉬層,其中該沉積與該暴露交替進行複數次。 【圖示簡單說明】
為了更完整地理解本發明及其優勢,現在參考以下結合附隨圖式所做的描述,其中:
圖1A繪示根據若干實施例的總結用於圖案化鉬層的處理流程之流程圖;
圖1B-1D繪示在圖1A中所示流程圖中總結之處理流程的各個中間步驟處的半導體裝置之橫截面圖;
圖2A和2B繪示半導體裝置的橫截面圖,顯示由於使用具有不足的處理窗口的各向異性乾式蝕刻處理來圖案化金屬鉬層而導致的非所欲蝕刻特性和缺陷;
圖3A繪示根據若干實施例的總結用於圖案化金屬鉬層之氣體脈衝循環蝕刻技術的流程圖;
圖3B-3F繪示在使用圖3A所示流程圖中總結的氣體脈衝循環蝕刻技術來圖案化金屬鉬層的各個中間階段之半導體裝置的諸多橫截面圖;
圖4繪示根據若干實施例的總結用於圖案化金屬鉬層的基於ALD的循環蝕刻技術的流程圖;及
圖5繪示根據若干實施例的循環蝕刻技術的單個循環之流程圖。
在本揭露中描述了用於減式蝕刻金屬鉬之乾式蝕刻方法的實施例。在本揭露中,金屬鉬是指元素鉬及其合金,包括含有少量雜質和摻雜劑的鉬。在金屬的減式蝕刻中,在金屬層上方形成圖案化的蝕刻遮罩,並且透過直接蝕刻延伸穿過金屬的凹陷特徵部以形成金屬線的圖案而將圖案轉移到金屬層。通常,使用例如反應離子蝕刻(RIE)的乾式蝕刻處理。在形成蝕刻遮罩前,金屬層均勻地形成在層間介電質(ILD)層的平坦表面上。在透過減式蝕刻對金屬進行圖案化之後,可形成另一個ILD層來填充凹陷特徵部並覆蓋金屬線以進行絕緣。傳統銅互連使用更複雜的鑲嵌處理流程,其中使用圖案化的蝕刻遮罩將用於金屬線的溝槽蝕刻到ILD層中並用金屬過度填充。使用例如化學機械研磨(CMP)來去除過度填充材料,包括沉積在溝槽之間的介電質表面上的所有金屬,以形成鑲嵌在ILD中的金屬線。如本領域技術人員所知,在平坦表面上沉積金屬比用無空隙金屬填充10 nm至20 nm寬的溝槽更簡單。此外,CMP步驟是昂貴的,因此增加了製造成本。
如果蝕刻處理滿足適當的製造標準,則透過減式蝕刻來將金屬圖案化更簡單且便宜,優於鑲嵌蝕刻。例如,蝕刻處理必須滿足蝕刻速率、蝕刻選擇性、側壁輪廓、線邊緣粗糙度(LER)、和缺陷密度(例如,橋接和線斷裂缺陷)的規格,並具有良好的控制以實現穩健的製造。在本公開中描述了用於對包含鉬的金屬層進行圖案化的乾式蝕刻處理,其提供若干優點,例如,抑制橫向蝕刻以減少底切、低LER、和更垂直的邊緣輪廓,以及改進的蝕刻處理速率和蝕刻選擇性。
ILD層通常是基於氧化矽的介電質。銅是一種容易在氧化矽中擴散的汙染物,因此在用銅填充溝槽之前需要在溝槽內襯有連續擴散阻擋層。通常,形成足夠厚(例如,1.5 nm至3 nm)的襯墊以確保在邊緣和拐角處沒有孔或斷裂,銅可能通過這些孔或斷裂洩漏到ILD中並降低互連結構的時依性介電層崩潰(time-dependent dielectric breakdown,TDDB)壽命。襯墊可主要透過兩種方式增加金屬線的電阻。低電阻率核心金屬可由包含較高電阻率金屬或絕緣體的襯墊材料替代。此外,在核心金屬和襯墊的介面處之較高的擴散電子散射率可進一步增加線路電阻。沿著邊緣的擴散散射在金屬中更佔主導地位,在該金屬中電子的本體平均自由路徑(λ)比線路的橫截面尺寸長。幾何效應(減小的橫截面積)和散射效應(在介面處之較高的散射率),作為邊緣效應,導致隨著 W降低的金屬線電阻而上升得比1/ W更快。此處, W是指包括襯墊的線寬。例如,在鑲嵌處理中, W將是溝槽寬度。這意味著有效電阻率ρ( W)隨著 W的減小而增加,這是一種在本揭露中稱為窄寬度效應(NWE)的非所欲現象。有效電阻率      ρ( W)是針對 L/( Wt)標準化的線路電阻,其中 L是線路的長度, t是金屬的厚度。由於NWE導致增加的ρ( W)之非所欲後果包括更大的歐姆壓降(降低電路速度)以及更多的焦耳加熱導致在較高溫度下的加速電遷移(EM)。需要擴散阻擋襯墊的銅,展現強的NWE。例如,當 W減小到10 nm時,ρ( W)可能比其本體電阻率(ρ ο=16.8 Ω-nm)升高一個數量級。
銅線的強NWE是縮放銅互連的一個問題,該問題刺激了用合適的金屬替代銅的研究(至少在放置最密集堆積金屬線的較低金屬層級)。如在先前技術段落提到的,由於其在氧化矽中的低擴散率,鉬不需要擴散阻擋層。此外,由於其短λ= 11.2 nm,沿鉬線邊緣的擴散散射不如沿銅線邊緣的擴散散射顯著,其中λ= 39.9 nm。當 W下降到襯墊厚度的大約10倍以下時,幾何效應(減小的橫截面積)變得顯著,且當 W減小到低於λ時,散射效應(在介面處之較高的散射率)對ρ( W)有嚴重的影響。實際上,當比較不同的金屬時,ρ ο×λ通常被用作NWE的散射效應分量大小的指標。對於銅,度量ρ ο×λ是670 Ω-nm 2。相比之下,儘管具有更高的ρ ο= 53.4 Ω-nm,鉬的ρ ο×λ是600 Ω- nm 2。因此,相對於銅,鉬的NWE不太嚴重。對於這個和其他吸引人的特性,例如合理的低的ρ ο、低熱膨脹係數(5×10 -6每 ºC)、高熔點(良好的EM可靠性之指標)、以及與氧化矽的穩定介面,金屬鉬正被考慮用於取代銅。
在IC設計中,使用減式蝕刻圖案化的無阻擋金屬線代替鑲嵌銅以實現IC設計中最緊密的間距佈線位準,是滿足性能、功率、面積、和成本(PPAC)可能迫切需要的幾種架構變化之一技術擴展的目標。如上所述,金屬鉬有望用於此目的。將鉬互連整合到IC製造流程中需要以下處理:在基板上方形成包含金屬鉬的金屬層、在金屬層上方形成圖案化蝕刻遮罩、及透過蝕刻延伸穿過金屬層的凹陷特徵部之圖案將圖案轉移到金屬層。在此揭露中,我們描述了用於蝕刻延伸穿過金屬鉬層的凹陷特徵部之圖案並暴露下伏層的主要表面之一部分的方法之實施例。此方法採用循環處理來將控制垂直前進的蝕刻前沿與控制側壁輪廓分開,以實現改進的處理優化。例如,循環處理的每個週期可包括使用一組優化而用於沉積鈍化固體副產物的處理參數和氣體以保護側壁的第一部分,以及使用不同的一組優化而用於選擇性地去除金屬鉬的處理參數和氣體以垂直延伸凹陷特徵部。循環蝕刻處理的沉積和蝕刻步驟的時間分離允許改進的優化,其提供更寬的處理窗口(process window)以在製造期間實現具有可忽略的底切和底腳缺陷之光滑的垂直側壁。
圖1A繪示總結使用減式蝕刻圖案化金屬鉬層的處理流程100的流程圖。處理流程100可為半導體裝置110的製造流程之一部分,其中已使用本揭露中描述的實施例整合了包括金屬鉬的金屬線層。處理流程100中的基本處理步驟參考圖1B-1D中示意性描繪的半導體裝置110的橫截面圖來描述。
如圖1A中的流程圖中之步驟102所示,處理流程100包含提供基板,該基板具有形成在下伏層上方的金屬鉬層和在金屬鉬層上方圖案化的蝕刻遮罩。在步驟104,通過圖案化蝕刻遮罩執行減式蝕刻處理以形成包含金屬鉬的金屬線之圖案。減式蝕刻處理包含循環蝕刻處理。循環蝕刻處理的每個循環包括在金屬鉬層中的凹陷特徵部中沉積蝕刻保護層和各向異性的蝕刻金屬鉬。在形成金屬線之後,可去除蝕刻遮罩的任何剩餘部分,如步驟106所示。
圖1B繪示在下伏層114上方形成金屬鉬層116並且在金屬鉬層116上方圖案化蝕刻遮罩122之後的半導體裝置110之橫截面圖,如圖1A中的流程圖中的步驟104所示。下伏層114可包含介電層,例如,包含低k氧化矽的ILD層或在該ILD層中的絕緣蝕刻停止層。可使用合適的膜生長技術來形成金屬鉬層116,例如電漿增強化學氣相沉積(PECVD)。在形成金屬鉬層116之前,可任選地將薄黏合層(圖未示出)(例如TiN或TaN)沉積在下伏層114上。除了幫助黏附,黏附層還可幫助改善膜生長期間金屬鉬層116的形態。下伏層114下方的各種其他層共同顯示為基板層112。
蝕刻遮罩122是凹陷特徵部的第一圖案,其中每個凹陷特徵部124具有垂直側壁和暴露金屬鉬層116的主要表面之一部分的底部。在圖1B中的例示實施例中,蝕刻遮罩122包含圖案化硬遮罩層118和圖案化光阻層120。圖案化硬遮罩層118可透過在金屬鉬層116上沉積硬遮罩材料並且隨後使用圖案化光阻層120作為蝕刻遮罩而蝕刻硬遮罩材料來形成。圖案化光阻層120可使用諸如極紫外光(EUV)微影的合適微影技術形成。圖案化光阻層中的最小半間距(臨界尺寸)可從約5 nm到約15 nm。硬遮罩材料的範例包括氧化矽、氮化矽、氮化鈦等、或多層的組合。在若干其他實施例中,圖案化硬遮罩層118可從蝕刻遮罩122中省略。
在圖1C中,通過圖案化蝕刻遮罩122執行減式蝕刻處理以各向異性去除金屬鉬層116,俾以進一步延伸圖1B中的凹陷特徵部124來形成凹陷特徵部的第二圖案,其中每個凹陷特徵部126具有垂直側壁和暴露下伏層114的主要表面之一部分的底部。根據蝕刻化學的選擇性,蝕刻遮罩122的特定部分被腐蝕,如圖1C中所示。如上所述,本揭露中描述的蝕刻處理之實施例採用循環處理來實現圖1C中示意性描繪的垂直側壁。在循環蝕刻處理的每個循環中,處理在側壁鈍化步驟和垂直去除金屬鉬的蝕刻步驟之間切換。下面進一步詳細描述這種用於蝕刻金屬鉬的方法之數個不同實施例。金屬厚度在約50 nm到約200 nm之間且圖案中的最小半間距為約5 nm到約15 nm,凹陷特徵部126的深寬比在一範圍內,在該範圍中必須提供對側壁輪廓的良好控制使處理為可製造的。
如圖1D所示,在完成減式蝕刻處理後,可去除蝕刻遮罩122的任何殘留部分。通常,在圖案化金屬鉬層116上方形成覆蓋層128,例如另一ILD層,覆蓋金屬線並填充線之間的空間。
圖2A和2B顯示了在參考圖1C描述的蝕刻步驟期間,用於蝕刻金屬鉬層116中的凹陷特徵部126之各向異性乾式蝕刻處理(例如,常規RIE處理)的處理窗口不足所導致的非所欲蝕刻特性和缺陷。
通常,基板在處理腔室中暴露於電漿,其中使用基於鹵素(例如,氯或氟)的化學物質來蝕刻鉬。由電漿激發的鹵素自由基與鉬反應形成揮發性副產物,這些副產物可能會被幫浦排出腔室。在常規的RIE處理中,各向異性是透過向基板施加偏壓訊號(例如,DC偏壓或射頻(RF)偏壓),以及透過使用電漿激發的氣體混合物來實現的,該氣體混合物除鹵素外還含有諸如碳、氫、和氧等元素以引起形成固體副產物(例如,氧化物和聚合物)的化學反應。固體副產物選擇性地沉積在垂直表面上以保護凹陷特徵部的側壁,此技術被稱為側壁鈍化。透過用電漿激發並由偏壓訊號垂直引導的高能離子(例如,氬離子)從凹陷特徵部的底部濺射掉固體副產物,從而在側壁上選擇性地形成鈍化層。此外,用離子轟擊表面通過破壞鉬原子之間的鍵結而提高該處的反應速率,因此促進與鹵素自由基的鍵結。因此,在底表面處的離子轟擊提高了垂直蝕刻速率,而側壁鈍化降低了橫向蝕刻速率。
必須在鈍化速率和去除速率之間取得精細的平衡,以便為穩健製造提供足夠寬的處理窗口。隨著橫向尺寸的積極縮放,凹陷特徵部126的頂部開口變得更小,且凹陷特徵部126的深寬比變得更高。因此,用常規的RIE方法打開足夠寬的處理窗口變得越來越困難。圖2A繪示當使用貧鹵素化學物質在金屬鉬層中形成的底切或橫向蝕刻的範例。貧鹵素化學物質是指氣體混合物,其中相對鹵素含量增加,因此去除更多,鈍化更少。如圖2A中所示意地描繪的,側壁鈍化不足導致橫向蝕刻過多。橫向蝕刻和窄線寬的組合甚至可能導致斷線缺陷。圖2B繪示一個不同的實施例,其中當調整蝕刻化學物質以透過在側壁上沉積固體副產物保護層來促進側壁鈍化時,形成錐形側壁輪廓和底部基腳缺陷。在圖2B所示的範例中,基腳嚴重到足以導致橋接缺陷。
還應注意,較差的側壁輪廓控制會增加沿側壁的表面粗糙度。此加劇了蝕刻圖案中金屬線的LER,超過由微影處理引入的圖案化蝕刻遮罩122中的線之LER。由於線電阻取決於線寬( W),增加的LER會增加短金屬線電阻的方差和平均值,即使對於固定電阻率ρ也是。有NWE,即ρ=ρ ( W),LER對線路電阻的影響加劇。此外,LER的高頻分量(即,約1 nm或更短的導線長度上的粗糙度)透過以隨機角度反射電子而增加漫射邊緣散射,與入射角無關,此與反射角等於入射角的鏡面邊緣散射相反。鏡面散射在電流方向上保存電子動量,而擴散散射使動量隨機化,此增加了ρ( W),從而非所欲地增加NWE。下面描述的本發明之實施例在調整側壁鈍化和材料去除方面提供了更大的靈活性,因此改進了側壁輪廓和側壁輪廓控制。
參考圖3A-3F描述使用氣體脈衝循環蝕刻技術300實施處理流程100的實施例。圖3A繪示總結氣體脈衝循環蝕刻技術300的流程圖,且圖3B-3F繪示在使用氣體脈衝循環蝕刻技術300對金屬鉬層進行圖案化的諸多中間階段之半導體裝置320的諸多橫截面圖。
如圖3A中繪示的流程圖之步驟302所示和圖3B中的半導體裝置320的橫截面圖所顯示,氣體脈衝循環蝕刻技術300接收進入的基板,其類似於上文參照圖1B所描述的基板。該基板包含下伏層324,及形成在下伏層324上方的是金屬鉬層326。在金屬鉬層326上方形成圖案化蝕刻遮罩332。圖案包括凹陷特徵部334,其具有金屬鉬層326的暴露表面作為每個凹陷特徵部的底部。在一實施例中,圖案化的蝕刻遮罩332包括圖案化光阻層330和硬遮罩層328,例如,原矽酸四乙酯(TEOS)硬遮罩層328。硬遮罩層328已使用光阻層330作為遮罩層而被圖案化。在若干其他實施例中,圖案化光阻層330可能不存在於進入的基板上,其已在將硬遮罩層328圖案化的處理期間被移除。
在若干實施例中,氣體脈衝循環蝕刻技術300包括初始蝕刻步驟(圖3A中的步驟304),其各向異性地蝕刻金屬鉬層326的一部分以延伸凹陷特徵部334,從而形成延伸凹陷特徵部336,如見於圖3C繪示的半導體裝置320的橫截面圖。在初始蝕刻步驟(步驟304)完成形成延伸凹陷特徵部336後,可執行氣體脈衝循環蝕刻技術300中的氣體脈衝循環。
如圖3A中的流程圖所示,每個氣體脈衝循環312包括兩部分:第一部分是沉積步驟(步驟306和圖3D),第二部分是蝕刻步驟(步驟310和圖3F)。在若干實施例中,氣體脈衝循環蝕刻技術300可具有插入在步驟306和蝕刻步驟310之間的可選的穿透蝕刻步驟(步驟308和圖3E)以暴露鉬表面,該鉬表面位於沉積在延伸凹陷特徵部336的底部上之材料的垂直下方。
在氣體脈衝循環蝕刻技術300的沉積步驟(圖3A-步驟306和圖3D)期間,基板暴露於電漿激發沉積氣體。如圖3D中的半導體裝置320之橫截面圖所示,暴露於電漿激發沉積氣體使得沿著凹陷特徵部336的側壁和底部形成蝕刻保護層340。用於氣體脈衝循環蝕刻技術300的步驟306中的沉積步驟之一組電漿處理參數和氣體可被優化以沉積用於側壁保護的鈍化固體副產物。
在若干實施例中,沉積氣體包括碳氟化合物(C xF y)或氫氟碳化合物(C xH yF z),其碳原子與氟原子的比率大於1/4且小於或等於1。碳氟化合物的非限制性範例可為包括C 2F 4、C 2F 6、C 4F 8、和C 4F 6的沉積氣體。氫氟碳化合物的非限制性範例可為包括CHF 3、CH 2F 2、和CH 3F的沉積氣體。可包含在沉積氣體中的額外氣體為H 2、O 2、及稀釋惰性氣體(例如,氬氣、氦氣或氮氣)。電漿處理參數包括約30 mTorr至約300 mTorr的腔室壓力、在約25 MHz至約60 MHz之頻率下約50 W至約800 W的RF源功率、以及在約0.1 kHz至約100 kHz之頻率下約0 W(無 RF偏壓)至約100 W的脈衝RF偏壓功率。基板溫度控制在約0℃至約60℃之間。在這些實施例中,形成的蝕刻保護層340(圖3D中所示)是包含C和H原子的有機膜,例如,由CH 3F電漿解離產生的化學物質的沉積產生的有機膜。
在若干其他實施例中,沉積氣體包括含硫氣體,例如二氧化硫(SO 2)、硫化羰(COS)等。在諸多實施例中,沉積氣體包含SO 2或COS,即僅包含SO 2或COS或者包含SO 2或COS兩者。可包含在沉積氣體中的額外氣體為H 2、O 2、及稀釋惰性氣體(例如,氬氣、氦氣或氮氣)。電漿處理參數包括約30 mTorr至約300 mTorr的腔室壓力、在約25 MHz至約60 MHz之頻率下約50 W至約800 W的RF源功率、以及在約0.1 kHz至約100 kHz之頻率下約0 W(無 RF偏壓)至約100 W的脈衝RF偏壓功率。基板溫度控制在約0℃至約60℃之間。在此實施例中,所形成的蝕刻保護層340(如圖3D所示)可為硫化物或具有高度氧化的硫之基團,例如磺酸基和硫酸鹽,其由SO 2/O 2或SO 2/O 2電漿解離產生的化學物質之沉積而產生。
在諸多實施例中,蝕刻保護層340的沉積厚度可在大約一個材料單層的厚度到大約若干單層的厚度之間。換言之,厚度範圍可為0.3 nm至約2 nm。
在蝕刻步驟 (圖3A-步驟310與圖3F)期間,基板暴露於電漿激發的蝕刻氣體。通常,貧鹵素化學物質(氯或氟基化學物質)用於選擇性地去除金屬鉬以垂直延伸凹陷特徵部。例如,凹陷特徵部336進一步延伸以形成凹陷特徵部342,如圖3F所示。可為蝕刻氣體的含氟氣體之非限制範例包括F 2、CF 4、NF 3、和SF 6。可為蝕刻氣體的含氯氣體之非限制範例包括Cl 2、CCl 4、和BCl 3。在若干實施例中,氣態混合物還可包括氧氣。在若干實施例中,氣態混合物還可包括惰性氣體,例如氬氣。金屬鉬被各向異性地去除,去除優先從水平表面上方發生。各向異性是透過施加到基板的垂直偏壓訊號和透過在垂直側壁上沉積鈍化蝕刻保護層來實現的。如上所述,偏壓使離子(例如,氬離子)垂直加速並與鉬原子碰撞。碰撞增強了凹陷特徵部(例如,凹陷特徵部342)的底表面處的蝕刻速率。RF偏壓訊號可為DC或RF或脈衝RF訊號。在若干實施例中,可以使用在約0.1 kHz至約100 kHz之頻率下約300 W至約1200 W的脈衝RF偏壓功率。其他電漿處理參數包括約5 mTorr至約100 mTorr的腔室壓力、在約25 MHz至約60 MHz之頻率下約100 W至約800 W的RF源功率。基板溫度控制在約 0℃至約 60℃之間。
在初始蝕刻步驟(步驟304和圖3C)可使用類似於在氣體脈衝循環312期間使用的蝕刻步驟(步驟310)的處理來執行。初始蝕刻步驟是非沉積步驟,例如使用不含碳的電漿激發蝕刻氣體,例如Cl 2
如圖3D中的橫截面圖所示,延伸凹陷特徵部336的底部被沉積的固體副產物覆蓋以形成蝕刻保護層340。在參照圖3A-3F描述的氣體脈衝循環蝕刻技術300的例示實施例中,可選的穿透蝕刻步驟(步驟308和圖3E)已被插入步驟306中的沉積步驟和步驟310中的蝕刻步驟(參見圖3A的流程圖)以暴露凹陷特徵部336垂直下方的鉬表面。
在圖3E中,已執行可選的穿透蝕刻步驟(步驟308),並且金屬鉬層326的表面之一部分再次暴露於環境。在此例示實施例中,步驟308中的穿透蝕刻是使用例如高能氬離子的濺射蝕刻處理。通常,離子被電漿鞘中的垂直電場加速,始可透過施加偏壓訊號來增強,例如脈衝RF偏壓訊號。在若干實施例中,可將在約0.1 kHz至約100 kHz之頻率下約50 W至約1200 W的脈衝RF偏壓功率施加至基板。
雖然例示實施例使用可選的穿透蝕刻步驟(步驟308)來去除在凹陷特徵部336底部的水平表面上方形成的蝕刻保護層340之部分,但是,在若干其他實施例中,可調整每個氣體脈衝循環312的步驟310中之蝕刻步驟的電漿參數以穿透蝕刻保護層340。例如,可增加氧含量及RF偏壓功率以幫助從水平表面移除蝕刻保護層340。注意,蝕刻保護層340中的若干有機聚合物可被氧自由基氧化。因此,必須注意防止氧氣從沿著側壁的表面部分將蝕刻保護層340灰化掉,從而導致失去對側壁輪廓的控制。一旦覆蓋凹陷特徵部336底部的蝕刻保護層340被去除,步驟310中的蝕刻步驟可繼續以進一步向下延伸凹陷特徵部336俾以形成圖3F中的凹陷特徵部342。
在上述氣體脈衝循環技術300的描述中,每個氣體脈衝循環312中的各個步驟,即步驟306中的沉積步驟和步驟310中的蝕刻步驟在時間上是不同的。暫時分開這兩個步驟不僅提供獨立優化處理的鈍化部分和材料去除部分之處理參數的優點,而且還提供具有新蝕刻保護層的優點,該新蝕刻保護層將蝕刻的金屬鉬層之新形成的側壁部分鈍化。
在若干實施例中,氣體脈衝循環312的數量可為處理配方中預定的固定數量。在若干其他實施例中,在接收到指示下層324已經暴露的端點訊號後,處理可由處理控制器終止。在若干實施例中,可在已執行氣體脈衝循環蝕刻技術300之後執行過蝕刻步驟。
在實施處理流程100的另一實施例中,沉積-蝕刻循環蝕刻技術使用原子層沉積(ALD)處理來形成蝕刻保護層。基於ALD的循環蝕刻技術400總結在圖4所示的流程圖中。基於ALD的循環蝕刻技術400類似於氣體脈衝循環蝕刻技術300,不同之處在於形成蝕刻保護層的方法。此外,可選的穿透蝕刻步驟在基於ALD的循環蝕刻技術400中不是可選的。這些變化在圖4的流程圖中以粗體字表示。
在基於ALD的循環蝕刻技術400之每個沉積-蝕刻循環412中的沉積步驟(步驟406)中使用ALD技術形成蝕刻保護層。蝕刻保護層是包含氧化物的薄氧化物層,該氧化物對含有鹵素自由基(例如,氯和氟自由基)的電漿具有高蝕刻抗性。在一個範例中,氧化物層包括氧化矽(SiO 2)。如本領域技術人員所知,在ALD技術中,沉積反應作為兩個自限性半反應而進行。在一範例中,可藉由有或沒有電漿激發的情況下將基板暴露於矽前驅物(例如,SiCl 4或SiF 4),隨後暴露於氧化劑(例如,電漿激發的氧氣)來沉積氧化矽層。
蝕刻保護層可共形地形成在基板的整個暴露表面上,包括金屬鉬層的水平表面。因此,穿透蝕刻步驟(步驟408)可能不是可選步驟。基於ALD的循環蝕刻技術400中的其他步驟已在上文參照圖3A-3F的氣體脈衝循環蝕刻技術300的上下文中進行描述。
儘管在上述循環蝕刻技術中的沉積和蝕刻步驟可在單獨的處理腔室中執行,但如此的處理是耗時且昂貴的。在本揭露的實施例中,循環蝕刻技術,即氣體脈衝循環蝕刻技術300和基於ALD的循環蝕刻技術400可在處理流程中結合適當的腔室調節步驟之單個處理腔室中進行。
圖5示出循環蝕刻處理的一個循環500的流程圖,例如(氣體脈衝循環蝕刻技術300的)氣體脈衝循環312和(基於ALD的循環蝕刻技術400的)沉積-蝕刻循環技術412。每個循環500具有時間上分開的沉積步驟502和蝕刻步驟508。為了減少處理中的變數,沉積步驟502和蝕刻步驟508包括功率穩定和氣流穩定期,如由處理控制器所判定的。可採取若干其他行動來進一步降低處理引起的變數,如圖5中的流程圖所示。可在執行每個沉積步驟502之後執行氣體沖淨步驟504以完全去除汙染物和氣態反應副產物。同樣地,可在執行每個蝕刻步驟508之後執行另一個氣體沖淨步驟510。在若干實施例中,可在蝕刻步驟508之前執行腔室預塗步驟506以確保每次執行蝕刻步驟508時處理腔室的初始狀態相同。腔室預塗步驟在處理腔室的壁上沉積塗層。塗層可包含使用電漿處理沉積的矽、氧化物(例如,氧化矽)或碳。腔室預塗步驟506用覆蓋卡盤(基板固持器)的晶圓執行以避免在卡盤上沉積。例如,可在腔室預塗步驟506之前將空白晶圓放置在卡盤上。這些額外的預防措施有助於滿足將金屬鉬整合到大批量IC製造中所需的嚴格處理控制規範。
如上所述,透過將鈍化部分與材料去除部分分開,使用圖案化金屬鉬的循環蝕刻處理的所述實施例可獲得若干優點。該等優點包括減少底切、低LER、垂直邊緣輪廓、以及蝕刻速率和蝕刻選擇性的良好控制。然而,應理解,本公開中描述的方法允許沉積和蝕刻之間的部分時間重疊。(在包括步驟308中之可選的穿透蝕刻步驟之氣體脈衝循環312的實施例中,蝕刻是指步驟308中的穿透蝕刻步驟) 。
在此總結本發明的例示實施例。其他實施例亦可由本說明書整體以及在此提出的申請專利範圍而理解。
範例1、一種基板處理之方法,包括:提供一基板,該基板包含在一凹陷特徵部中的一金屬鉬層上方之一蝕刻遮罩;將該基板暴露於一電漿激發沉積氣體,該電漿激發沉積氣體在該凹陷特徵部的一側壁上形成一蝕刻保護層;將該基板暴露於一電漿激發蝕刻氣體,該電漿激發蝕刻氣體依據該蝕刻遮罩而蝕刻該金屬鉬層,其中該等暴露步驟交替進行複數次。
範例2、如範例1之方法,其中該電漿激發沉積氣體包括碳氟化合物(C xF y)或氫氟碳化合物(C xH yF z),其中碳與氟原子的比例大於1/4且小於或等於1。
範例3、如範例1或2之方法,其中該電漿激發沉積氣體包括含硫氣體。
範例4、如範例1至3之方法,其中該含硫氣體包括SO 2和COS氣體。
範例5、如範例1至4之方法,其中該電漿激發蝕刻氣體包括含鹵氣體。
範例6、如範例1至5之方法,其中該含鹵氣體包括F 2、CF 4、NF 3、SF 6、Cl 2、CCl 4、或BCl 3氣體。
範例7、如範例1至6之方法,其中該電漿激發蝕刻氣體更包括含氧氣體。
範例8、如範例1至7之方法,其中該電漿激發蝕刻氣體更包括氬氣。
範例9、如範例1至8之方法,更包括:執行一穿透蝕刻步驟,該穿透蝕刻步驟蝕刻穿過在該凹陷特徵部之底部的該蝕刻保護層。
範例10、如範例1至9之方法,其中將該基板暴露於該電漿激發蝕刻氣體更包括向該基板施加一偏壓訊號。
範例11、一種基板處理之方法,包括:提供一基板,該基板包含在一凹陷特徵部中的一金屬鉬層上方之一蝕刻遮罩;在該凹陷特徵部中沉積一蝕刻保護層,其中該蝕刻保護層包括氧化物層;執行一穿透蝕刻步驟,該穿透蝕刻步驟蝕刻穿過在該凹陷特徵部之底部的該蝕刻保護層;及將該基板暴露於一電漿激發蝕刻氣體,該電漿激發蝕刻氣體依據該蝕刻遮罩而蝕刻該金屬鉬層。
範例12、如範例11之方法,其中沉積該蝕刻保護層包括將該基板暴露於含矽前驅物和氧化劑以形成氧化矽層。
範例13、如範例11或12之方法,其中沉積該蝕刻保護層包括將基板交替地暴露於含矽前驅物和氧化劑以形成氧化矽層。
範例14、如範例11至13之方法,其中該電漿激發蝕刻氣體包括含鹵氣體。
範例15、如範例11至14之方法,其中該含鹵氣體包括F 2、CF 4、NF 3、SF 6、Cl 2、CCl 4、或BCl 3氣體。
範例16、如範例11至15之方法,其中該電漿激發蝕刻氣體更包括含氧氣體。
範例17、一種基板處理之方法,包括:提供一基板,該基板包含在一凹陷特徵部中的一金屬鉬層上方之一蝕刻遮罩;在該蝕刻遮罩的一側壁上沉積一蝕刻保護層,其中該蝕刻保護層包括氧化物層;及將該基板暴露於一電漿激發蝕刻氣體,該電漿激發蝕刻氣體依據該蝕刻遮罩而蝕刻該金屬鉬層,其中該沉積與該暴露交替進行複數次。
範例18、如範例17之方法,其中將該基板暴露於該電漿激發蝕刻氣體更包括向該基板施加一偏壓訊號。
範例19、如範例17或18之方法,其中沉積該蝕刻保護層包括將該基板暴露於含矽前驅物和氧化劑以形成氧化矽層。
範例20、如範例17至19之方法,其中沉積該蝕刻保護層包括將基板交替地暴露於含矽前驅物和氧化劑以形成氧化矽層。
範例21、如範例17至20之方法,其中該電漿激發蝕刻氣體包括含鹵氣體。
範例22、如範例17至21之方法,其中該含鹵氣體包括F 2、CF 4、NF 3、SF 6、Cl 2、CCl 4、或BCl 3氣體。
範例23、如範例17至22之方法,其中該電漿激發蝕刻氣體更包括含氧氣體。
範例24、如範例17至23之方法,更包括: 執行一穿透蝕刻步驟,該穿透蝕刻步驟蝕刻穿過在該凹陷特徵部之底部的該蝕刻保護層。
範例25、如範例17至24之方法,其中該沉積與該暴露兩者皆在一處理腔室中執行,且其中該方法更包括:在該沉積之後與在該暴露之前,執行一腔室預塗步驟,該腔室預塗步驟將一塗層沉積在該處理腔室的該等壁上。
雖然本發明已藉由參考說明性實施例加以敘述,此實施方法章節不旨在被理解為限制性的意義。說明性實施例的各種不同修飾及組合,以及本發明的其他實施例,藉由參考本實施方法章節,對本技術領域中通常知識者將係顯而易見的。因此,附隨的申請專利範圍旨在涵蓋任何此類的修飾或實施例。
100:處理流程 102-106:步驟 110:半導體裝置110 112:基板層 114:下伏層 116:金屬鉬層 118:圖案化硬遮罩層 120:圖案化光阻層 122:蝕刻遮罩 124:凹陷特徵部 126:凹陷特徵部 128:覆蓋層 300:氣體脈衝循環蝕刻技術 302-312:步驟 320:半導體裝置 322:圖案化蝕刻遮罩 324:下伏層 326:金屬鉬層 328:硬遮罩層 330:圖案化光阻層 332:圖案化蝕刻遮罩 334:凹陷特徵部 336:延伸凹陷特徵部 340:蝕刻保護層 342:凹陷特徵部 400:基於ALD的循環蝕刻技術 406-408:步驟 412:沉積-蝕刻循環 500:循環 502:沉積步驟 504:氣體沖淨步驟 506:預塗步驟 508:蝕刻步驟 510:氣體沖淨步驟
320:半導體裝置
322:圖案化蝕刻遮罩
324:下伏層
326:金屬鉬層
328:硬遮罩層
330:圖案化光阻層
332:圖案化蝕刻遮罩
336:延伸凹陷特徵部
340:蝕刻保護層

Claims (20)

  1. 一種基板處理之方法,包括: 提供一基板,該基板包含在一凹陷特徵部中的一金屬鉬層上方之一蝕刻遮罩; 將該基板暴露於一電漿激發沉積氣體,該電漿激發沉積氣體在該凹陷特徵部的一側壁上形成一蝕刻保護層;及 將該基板暴露於一電漿激發蝕刻氣體,該電漿激發蝕刻氣體依據該蝕刻遮罩而蝕刻該金屬鉬層,其中該等暴露步驟交替進行複數次。
  2. 如請求項1之方法,其中該電漿激發沉積氣體包括碳氟化合物(C xF y)或氫氟碳化合物(C xH yF z),其中碳與氟原子的比例大於1/4且小於或等於1。
  3. 如請求項1之方法,其中該電漿激發沉積氣體包括含硫氣體。
  4. 如請求項3之方法,其中該含硫氣體包括SO 2或COS氣體。
  5. 如請求項1之方法,其中該電漿激發蝕刻氣體包括含鹵氣體。
  6. 如請求項5之方法,其中該含鹵氣體包括F 2、CF 4、NF 3、SF 6、Cl 2、CCl 4、或BCl 3氣體。
  7. 如請求項5之方法,其中該電漿激發蝕刻氣體更包括含氧氣體。
  8. 如請求項5之方法,其中該電漿激發蝕刻氣體更包括氬氣。
  9. 一種基板處理之方法,包括: 提供一基板,該基板包含在一凹陷特徵部中的一金屬鉬層上方之一蝕刻遮罩; 在該凹陷特徵部中沉積一蝕刻保護層,其中該蝕刻保護層包括氧化物層; 執行一穿透蝕刻步驟,該穿透蝕刻步驟蝕刻穿過在該凹陷特徵部之底部的該蝕刻保護層;及 將該基板暴露於一電漿激發蝕刻氣體,該電漿激發蝕刻氣體依據該蝕刻遮罩而蝕刻該金屬鉬層。
  10. 如請求項9之方法,其中沉積該蝕刻保護層包括將該基板暴露於含矽前驅物和氧化劑以形成氧化矽層。
  11. 如請求項9之方法,其中沉積該蝕刻保護層包括將該基板交替地暴露於含矽前驅物和氧化劑以形成氧化矽層。
  12. 如請求項9之方法,其中該電漿激發蝕刻氣體包括含鹵氣體。
  13. 如請求項12之方法,其中該含鹵氣體包括F 2、CF 4、NF 3、SF 6、Cl 2、CCl 4、或BCl 3氣體。
  14. 一種基板處理之方法,包括: 提供一基板,該基板包含在一凹陷特徵部中的一金屬鉬層上方之一蝕刻遮罩; 在該蝕刻遮罩的一側壁上沉積一蝕刻保護層,其中該蝕刻保護層包括氧化物層;及 將該基板暴露於一電漿激發蝕刻氣體,該電漿激發蝕刻氣體依據該蝕刻遮罩而蝕刻該金屬鉬層,其中該沉積與該暴露交替進行複數次。
  15. 如請求項14之方法,其中將該基板暴露於該電漿激發蝕刻氣體更包括向該基板施加一偏壓訊號。
  16. 如請求項14之方法,其中沉積該蝕刻保護層包括將該基板暴露於含矽前驅物和氧化劑以形成氧化矽層。
  17. 如請求項14之方法,其中沉積該蝕刻保護層包括將該基板交替地暴露於含矽前驅物和氧化劑以形成氧化矽層。
  18. 如請求項14之方法,其中該電漿激發蝕刻氣體包括含鹵氣體。
  19. 如請求項14之方法,更包括: 執行一穿透蝕刻步驟,該穿透蝕刻步驟蝕刻穿過在該凹陷特徵部之底部的該蝕刻保護層。
  20. 如請求項14之方法, 其中該沉積與該暴露兩者皆在一處理腔室中執行,且 其中該方法更包括: 在該沉積之後與在該暴露之前,執行一腔室預塗步驟,該腔室預塗步驟將一塗層沉積在該處理腔室的壁上。
TW111146930A 2021-12-08 2022-12-07 鉬的蝕刻方法 TW202338066A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202163287371P 2021-12-08 2021-12-08
US63/287,371 2021-12-08

Publications (1)

Publication Number Publication Date
TW202338066A true TW202338066A (zh) 2023-10-01

Family

ID=86731106

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111146930A TW202338066A (zh) 2021-12-08 2022-12-07 鉬的蝕刻方法

Country Status (2)

Country Link
TW (1) TW202338066A (zh)
WO (1) WO2023107492A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102417156B (zh) * 2011-11-15 2015-02-04 苏州含光微纳科技有限公司 一种刻蚀金属钼材料的方法
US10692880B2 (en) * 2016-12-27 2020-06-23 Applied Materials, Inc. 3D NAND high aspect ratio structure etch
US10269559B2 (en) * 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
WO2020041213A1 (en) * 2018-08-24 2020-02-27 Lam Research Corporation Metal-containing passivation for high aspect ratio etch
CN115244666A (zh) * 2020-03-06 2022-10-25 朗姆研究公司 钼的原子层蚀刻

Also Published As

Publication number Publication date
WO2023107492A1 (en) 2023-06-15

Similar Documents

Publication Publication Date Title
US7125792B2 (en) Dual damascene structure and method
TWI352387B (en) Etch methods to form anisotropic features for high
JP4235066B2 (ja) 薄膜形成方法
JP4579611B2 (ja) ドライエッチング方法
KR101158205B1 (ko) 고종횡비 콘택트를 에칭하는 방법
JP5932599B2 (ja) プラズマエッチング方法
JP5122106B2 (ja) 炭素含有膜エッチング方法及びこれを利用した半導体素子の製造方法
US10062602B2 (en) Method of etching a porous dielectric material
US6232209B1 (en) Semiconductor device and manufacturing method thereof
JP7314293B2 (ja) リソグラフィ応用のための膜積層体
US20020142598A1 (en) Plasma dielectric etch process using a long fluorocarbon
JP5671253B2 (ja) 半導体装置の製造方法
US7541281B2 (en) Method for manufacturing electronic device
JP2001517868A (ja) フルオロプロペンまたはフルオロプロピレンを用いた酸化物の選択的エッチングプラズマ処理
JP2002525840A (ja) 特に銅デュアルダマシーンに有用な原位置統合酸化物エッチングプロセス
JP2007235135A (ja) 高アスペクト比用途の異方性フィーチャを形成するためのエッチング方法
CN107564888B (zh) 互连结构及其制造方法
EP1667216A2 (en) Dielectric etch method with high density and low bombardment energy plasma providing high etch rates
JP2001358218A (ja) 有機膜のエッチング方法及び素子の製造方法
CN101764081B (zh) 连接孔的制造方法
US7091612B2 (en) Dual damascene structure and method
JP3760843B2 (ja) 半導体装置の製造方法
JPH11330051A (ja) 酸化バナジウム膜のプラズマエッチング方法
TW202338066A (zh) 鉬的蝕刻方法
US20240186149A1 (en) Methods for Etching Molybdenum