TW202328799A - 整合遮罩製作效應及晶圓微影效應之遮罩合成 - Google Patents

整合遮罩製作效應及晶圓微影效應之遮罩合成 Download PDF

Info

Publication number
TW202328799A
TW202328799A TW111144079A TW111144079A TW202328799A TW 202328799 A TW202328799 A TW 202328799A TW 111144079 A TW111144079 A TW 111144079A TW 111144079 A TW111144079 A TW 111144079A TW 202328799 A TW202328799 A TW 202328799A
Authority
TW
Taiwan
Prior art keywords
mask
lithography
wafer
masking
model
Prior art date
Application number
TW111144079A
Other languages
English (en)
Inventor
鵬 劉
Original Assignee
美商賽諾西斯公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商賽諾西斯公司 filed Critical 美商賽諾西斯公司
Publication of TW202328799A publication Critical patent/TW202328799A/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • G03F1/78Patterning of masks by imaging by charged particle beam [CPB], e.g. electron beam patterning of masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions

Abstract

在一些態樣中,一種整合式模型考量來自遮罩製作程序及晶圓微影程序兩者之效應。使用一整合式三維遮罩(M3D)模型估計入射於晶圓上之空中影像、印刷於該晶圓上之圖案及/或前述之量測如下。將遮罩製作描述中之形狀分割成特徵影像。各特徵影像與一對應M3D濾波器卷積。該M3D濾波器表示該晶圓微影程序中該特徵影像之一電磁散射效應,且該特徵影像及/或M3D濾波器考量來自該遮罩製作程序對佈局幾何形狀之效應。此係在不估計印刷於微影遮罩上之遮罩圖案之情況下完成。基於經估計微影結果與對應目標結果之間之差異修改該遮罩製作描述。

Description

整合遮罩製作效應及晶圓微影效應之遮罩合成
本發明係關於遮罩合成,包含遮罩製作及晶圓微影兩者之效應。
半導體晶圓之製造涉及晶圓微影及遮罩製作。晶圓微影係指將裝置圖案自一微影遮罩轉印至一晶圓上之程序。其亦可被稱為晶圓程序或微影程序。其包含一系列複雜程序步驟,諸如使用一光阻劑膜塗佈晶圓,使用微影遮罩以透過一光學投影系統曝光光阻劑,烘烤及顯影光阻劑,及接著蝕刻未由剩餘光阻劑覆蓋之晶圓膜。歸因於各種程序效應(例如,光學近接效應),晶圓上產生之實際圖案(經印刷晶圓圖案)變形且形狀與印刷於微影遮罩上之圖案不同。
遮罩製作或遮罩程序係指製作微影遮罩之程序。至遮罩製作裝置之輸入通常係含有一佈局設計之一檔案且結果係一成品實體微影遮罩。遮罩製作涉及類似於晶圓微影中之程序步驟之程序步驟。一個差異係遮罩製作可使用雷射或電子束以將圖案直接寫入至塗佈於遮罩坯料上之一光阻劑膜而非光學投影。類似於晶圓微影,歸因於各種程序效應,在實體遮罩上產生之圖案(經印刷遮罩圖案)可偏離輸入至電子束寫入器之遮罩設計之描述。
在一些態樣中,一種整合式模型考量來自遮罩製作程序及晶圓微影程序兩者之效應。一遮罩製作描述定義表示輸入至一遮罩製作程序之一微影遮罩之一佈局幾何形狀之形狀。遮罩製作程序係用於基於遮罩製作描述製作微影遮罩之一程序。一晶圓微影程序係用於藉由使用經製作微影遮罩而圖案化一晶圓之一程序。
使用一整合式三維遮罩(M3D)模型估計入射於晶圓上之空中影像、印刷於晶圓上之圖案及/或前述之量測如下。將遮罩製作描述中之形狀分割成特徵影像。各特徵影像與一對應M3D濾波器卷積。M3D濾波器表示晶圓微影程序中之該特徵影像之一電磁散射效應,且特徵影像及/或M3D濾波器考量來自遮罩製作程序對佈局幾何形狀之效應。此係在不估計印刷於微影遮罩上之遮罩圖案之情況下完成。基於經估計微影結果與對應目標(所要)結果之間之差異修改遮罩製作描述。
其他態樣包含組件、裝置、系統、改良、方法、程序、應用、電腦可讀媒體及與以上之任何者相關之其他技術。
相關申請案
本申請案根據35 U.S.C. § 119(e)規定主張2021年11月18日申請之「Mask Synthesis Integrating Both Mask Fabrication and Wafer Lithography Effects」之美國臨時專利申請案第63/280,841號之優先權。前述之全部之標的物之全文以引用的方式併入本文中。
本發明之態樣係關於整合遮罩製作及晶圓微影效應兩者之微影遮罩之合成。本文中描述之方法在一單一運算流程中針對遮罩製作及晶圓微影效應兩者校正輸入至遮罩製作程序之遮罩設計,且不估計經印刷遮罩圖案。
傳統上,分開校正晶圓微影及遮罩製作之效應。相對於晶圓微影,遮罩合成(MS)係指用於修改微影遮罩之佈局作為至晶圓微影程序之輸入以考量晶圓微影程序之效應之一程序。此通常係經由其中使用晶圓微影程序之一模型以預測自應用至晶圓微影程序之一給定遮罩圖案(遮罩佈局)產生之經印刷晶圓圖案但忽略遮罩製作程序之效應之一運算流程完成。接著反覆地修改此遮罩佈局以最小化經預測晶圓圖案與目標晶圓圖案之間之差異。方法包含光學近接校正(OPC)及逆微影技術(ILT)。此程序亦可添加子解析度輔助特徵(SRAF)以改良對程序變動之穩健性。
關於遮罩製作,由上文之程序產生之所要遮罩佈局未用作至遮罩製作程序之輸入。實情係,對其進行進一步修改以在被輸入至遮罩寫入器之前補償遮罩製作程序效應。此修改程序被稱為遮罩程序校正(MPC)或遮罩誤差校正(MEC)。其通常係經由其中使用遮罩製作程序之一模型以自至遮罩製作程序之輸入資料預測經印刷遮罩圖案之一運算流程完成。反覆地修改輸入資料以減少經預測遮罩圖案與目標遮罩圖案(即,針對晶圓微影效應校正之遮罩圖案)之間之差異。除形狀修改之外,MPC/MEC亦可進行劑量調整以改良程序窗及邊緣放置準確度。
傳統上,MS及MEC係用於準備遮罩寫入器之輸入資料之兩個單獨且獨立的運算操作。MS僅負責設計一目標遮罩佈局,晶圓微影程序將印刷該目標遮罩佈局以產生具有良好保真度之目標晶圓圖案。MEC僅負責產生用於遮罩製作程序之一輸入以使用具有良好保真度之目標遮罩圖案產生一微影遮罩。MS與MEC之間之交接係藉由MS程序產生之全晶片遮罩佈局。
相比之下,在本文中描述之方法中,將MS及MEC整合至一單一運算流程中,其中針對遮罩製作及晶圓微影效應兩者校正至遮罩製作程序之輸入資料以改良最終經印刷晶圓之品質。此外,整合式模型替換用於MS及MEC之單獨模型之至少一些模型。例如,含有MS與MEC之間之全晶片遮罩佈局之檔案可藉由使用一整合式模型消除,該整合式模型包含在微影遮罩之製作期間發生之遮罩製作程序及由於使用經製作微影遮罩而發生之晶圓微影程序。作為一個實例,一整合式模型可採用用於遮罩製作程序之輸入資料且自此預測由對應微影遮罩產生之電磁場,但不預測微影遮罩自身之圖案化佈局。
本發明之技術優點可包含(但不限於)以下。一起模擬遮罩製作程序及晶圓微影程序可產生更佳結果,此係因為可更佳模型化且考量兩個程序之間之相互作用。另外,使用不預測微影遮罩自身之圖案化佈局之一整合式模型消除寫出遮罩佈局檔案且接著再次將其讀入所需之檔案I/O額外附擔。此可係一顯著額外附擔,此係因為一完整晶片之遮罩佈局可覆蓋由非常小的特徵填入之一大區域。整合式方法亦可降低所需CPU處理能力且改良總循環時間及設計轉迴時間。
更詳細言之,圖1A描繪用於自定義遮罩設計之輸入資料製作一微影遮罩之一程序,且圖1B描繪使用經製作微影遮罩之一極紫外光(EUV)晶圓微影程序。在圖1A中,描述一所要微影遮罩之資料102用於控制一電子束(e-beam)寫入器104。一遮罩坯料108塗佈有電子束光阻劑。為了產生一EUV微影遮罩,遮罩坯料通常係塗佈有Mo及Si之交替層(其等形成一布拉格(Bragg)反射器)及接著一吸收器之一基板。吸收器之實例係Ta之化合物,例如,某一形式之TaBON。亦可存在封蓋層,諸如Ru。
電子束寫入器104控制一電子束105以根據遮罩製作輸入資料102使光阻劑曝光。使光阻劑顯影,從而產生遮罩坯料108上之光阻劑之一圖案。接著處理下方坯料108之材料。例如,針對EUV遮罩,下方坯料可含有由一吸收層覆蓋之一多層反射器。在已移除光阻劑之情況下,曝露吸收材料且可將其蝕刻掉以曝露下方反射器,因此產生一圖案化反射性EUV微影遮罩。
接著將所得遮罩用作圖1B中展示之晶圓微影程序中之微影遮罩130。在此系統中,一源110產生EUV光,該EUV光由集光/照明光學器件120收集且引導以照明微影遮罩130。投影光學器件140將由經照明遮罩產生之圖案中繼至一晶圓150上,從而根據照明圖案使晶圓上之光阻劑曝光。接著使經曝光光阻劑顯影,從而在晶圓上產生圖案化光阻劑。此用於(例如)透過沈積、摻雜、蝕刻或其他程序製作晶圓上之圖案化結構。
在圖1B中,光在約13.5 nm或在13.3 nm至13.7 nm之範圍中之EUV波長範圍中。在此等波長下,組件通常係反射性而非透射性的。遮罩130係一反射性遮罩且光學器件120、140亦係反射性且偏軸的。此僅係一實例。亦可使用其他類型之微影系統,包含在其他波長下,使用透射性遮罩及/或光學器件,及使用正或負光阻劑。
應注意,存在圖1A及圖1B中之兩個不同製作程序。圖1A之遮罩製作程序以遮罩製作輸入資料102開始且產生一實體微影遮罩。接著在圖1B之晶圓微影程序中使用微影遮罩130以產生圖案化晶圓150。
圖2係圖1A及圖1B中展示之實體程序之一方塊圖。遮罩製作描述202對應於輸入資料102。描述202係如輸入至遮罩製作程序之佈局幾何形狀之一描述(相對於輸入至晶圓微影程序之佈局之一描述)。遮罩製作描述202可不與遮罩製作輸入資料102完全相同。例如,其可不呈控制一電子束寫入器所需之特定格式。遮罩製作描述202定義表示輸入至遮罩製作程序及電子束寫入器中之佈局幾何形狀之形狀。遮罩製作程序210對應於圖1A中展示之程序,且所得經印刷遮罩圖案230係所得微影遮罩(圖1B中之130)之佈局幾何形狀。在一高層級下,經印刷遮罩圖案230係至晶圓微影程序240之一輸入,晶圓微影程序240對應於圖1B中展示之程序。此程序240之結果係對應於圖1B中之晶圓150之經印刷晶圓圖案250。
更詳細言之,晶圓微影程序240含有以下子程序。源照明241考量圖1B之源110及照明光學器件120且產生入射於微影遮罩上之照明。遮罩效應242係微影遮罩130對入射照明之效應。所得場被稱為遮罩場243。其可由一遮罩函數表示,如下文更詳細描述。投影光學器件244對應於圖1B中之投影光學器件140。其將遮罩場243投影至晶圓150上。此刻之場被稱為空中影像245。框246係晶圓之處理,其包含藉由空中影像曝光,使光阻劑顯影且可能進行植入、蝕刻或其他處理。結果係對應於圖1B中之實體晶圓150之經印刷晶圓圖案250。
圖3係一整合式遮罩設計流程之一流程圖。流程圖使用虛線來指示模擬或運算微影,而圖2中之實線指示實體程序。圖3之流程係用於設計至遮罩製作程序之輸入202之一程序。應注意,此係在遮罩製作程序210之開始時遮罩設計之一描述,而非在晶圓微影程序240之開始時遮罩設計之一描述。此流程採用遮罩製作描述302且估計所得經印刷晶圓圖案350。在380處,比較經估計圖案與理想(目標)圖案。在382處,基於比較修改預製作遮罩設計302。
此例示性流程使用三個模型:一整合式Mask3D模型320、一光學成像模型344及一光阻劑/程序模型346。模型320係一整合式模型,此係因為其包含來自遮罩製作程序210之效應及亦包含源照明241之微影遮罩自身之效應242。因而,整合式模型320採用遮罩製作描述302作為輸入且產生經估計遮罩場343,而無需估計實際經印刷遮罩圖案230作為一中間步驟。跳過經印刷遮罩圖案230可顯著減少資料處置量及亦減少運算量。若估計經印刷遮罩圖案230,則一個模型將必須執行計算以估計經印刷遮罩圖案且寫出結果。尤其若需要圖案之一高解析度版本來充分模型化晶圓微影程序,則經印刷遮罩圖案可為一非常大的檔案。圖案可含有非常小的特徵及/或彎曲特徵。此檔案將接著必須藉由一第二模型讀回並處理。使用一單一整合式模型320避免具有全部所需資料處置之此中間步驟。
整合式模型320可組合來自遮罩製作程序210、源照明241及微影遮罩自身242之效應。若遮罩製作程序210係基於一電子束程序,則整合式模型320可考量遮罩坯料上之光阻劑之電子束曝光、處理經曝光光阻劑以形成圖案化光阻劑及/或蝕刻具有圖案化光阻劑之遮罩坯料上之層。在遮罩製作期間遇到之其他效應可包含反向散射電子、長距離蝕刻效應、蝕刻偏差及微負載。相對於源照明241,整合式模型320可考量源自源110自身(包含源遮罩)及/或照明光學器件120之效應。遮罩效應242可包含源自與標稱偏離之遮罩結構(諸如非垂直側壁及具有稍微不同厚度或光學性質(例如,折射率)之材料之堆疊)之效應。遮罩效應242亦可包含遮罩線性度效應或遮罩近接效應。自標稱之此等偏離由短距離近接效應引起且取決於遮罩特徵。
光學成像模型344考量投影光學器件244之效應。其估計入射於晶圓上之由遮罩場343產生之空中影像345。(若干)剩餘模型346可包含諸如以下之效應:光阻劑自空中影像之曝光、化學顯影及藉由蝕刻或其他程序之後續移除。其亦可包含後續處理,例如,蝕刻、沈積、摻雜、植入等。結果係晶圓上之結構之一估計,其被稱為經印刷晶圓圖案350。經印刷晶圓圖案350可為光阻劑中之圖案,或被轉印至晶圓自身之圖案。
比較380可基於不同微影結果。其可為經估計光阻劑結構相較於所要(目標)光阻劑結構,或晶圓上之經估計結構相較於目標結構或空中影像相較於目標空中影像之一比較。比較亦可基於以下之此等數量之各種度量:輪廓、最小或關鍵尺寸、線離距、線寬度等。
在382處,使用比較380之結果以修改遮罩設計302。例如,可添加子解析度輔助特徵(SRAF)以改良對程序變動之穩健性。亦可使用來自光學近接校正(OPC)及/或逆微影技術(ILT)之額外方法。由於完整流程包含遮罩製作程序及晶圓微影程序兩者,故校正亦可包含遮罩程序校正(MPC)或遮罩錯誤校正(MEC)之態樣。
在一些情況中,整合式模型320係基於將描述302中之形狀分割成特徵影像,且接著將各特徵影像與一對應M3D濾波器卷積,如在圖4至圖6中更詳細描述。聚合遮罩場(或遮罩函數) 343等於來自各特徵影像之貢獻之總和: (1) 其中I i係特徵影像,K i係對應M3D濾波器, 係卷積運算子,且N係特徵影像之數目。MF係聚合遮罩函數343。
以方程式1表達之M3D模型係一整合式模型320,此係因為卷積運算考量來自遮罩製作程序210及晶圓微影程序240兩者之效應。例如,M3D濾波器K i可表示晶圓微影程序240中之不同特徵影像之電磁散射效應。可藉由調整特徵影像I i及/或M3D濾波器K i而考量來自遮罩製作程序210對佈局幾何形狀之效應。應注意,方程式1採用特徵影像I i作為輸入,且其等係自用作至遮罩製作程序之輸入之遮罩形狀之描述302 (即,非經印刷標記圖案230)導出。自此等輸入,方程式1估計遮罩函數343,但不產生經印刷遮罩圖案230之一估計。
圖4係用於自一遮罩之一描述402估計一遮罩函數443之一流程圖。圖4之程序使用一程式庫420來判定遮罩之遮罩函數443。程式庫含有特徵影像422 (例如,預定義特徵影像)及對應濾波器429,其等將被稱為遮罩3D (M3D)濾波器,此係因為其等表示針對一給定源照明來自該類型之特徵影像對整體遮罩函數之貢獻。M3D濾波器429包含遮罩製作程序之效應及晶圓微影程序之效應。
如圖4中展示,在440處,基於來自程式庫420之特徵影像422將如由資料402定義之遮罩之佈局幾何形狀分割成特徵影像442。在444處,藉由卷積特徵影像442與對應M3D濾波器429而計算來自各特徵影像442之遮罩函數(MF)貢獻。在446處,藉由組合(例如,加總)來自個別特徵影像之MF貢獻而判定遮罩之聚合遮罩函數及給定源照明。
圖5描繪將一遮罩佈局幾何形狀分割成特徵影像。圖5展示兩個形狀510及520且將形狀510分割成特徵影像。形狀510被分割成以下特徵影像:一個區域影像、六個邊緣影像、六個角隅影像及兩個邊緣至邊緣(E2E)影像。可基於用於識別存在於遮罩佈局中之不同特徵之規則將形狀510分割成特徵影像。在此實例中,多邊形形狀510之內部區域及其對遮罩函數之貢獻由區域1特徵影像表示。此定義遮罩之哪些區域係不透明的,而非透射性或反射性的。邊緣特徵影像(邊緣1至邊緣6)考量電磁波在邊緣處之繞射及散射。
剩餘特徵影像係基於兩個邊緣之組合,其中將存在兩個邊緣之間之相互作用。角隅特徵影像(角隅1至角隅6)考量角隅處之相互作用,其超出僅兩個邊緣之個別貢獻。應注意,在圖5中,角隅包含內角及外角兩者。邊緣至邊緣(E2E)特徵影像考量平行邊緣之間之相互作用。E2E 1考量邊緣1與3之間之相互作用。E2E 2考量邊緣2與形狀520之左邊緣之間之相互作用。
各特徵影像係一影像。例如,區域影像可為形狀510之多邊形。各邊緣影像可為相關邊緣之一經濾波版本。在一些情況中,應用柵格化濾波器以產生特徵影像。
佈局幾何形狀之分割使用來自程式庫420之特徵影像422。可基於散射之一理解及何類型之幾何特徵貢獻於散射而選擇程式庫中之特徵影像。
圖6描繪一程式庫中之特徵影像之一些實例。根據特徵影像中之邊緣之數目對圖6中之特徵影像分類。頂部列中之特徵影像具有0個邊緣,下一列中之特徵影像具有1個邊緣,且接著2個邊緣,且接著3+個邊緣。此等僅係實例且非窮舉性的。
在頂部列中,區域特徵影像判定遮罩之哪些區域係不透明的,而非透射性或反射性的。取決於遮罩上之形狀之幾何佈局,區域特徵影像之實際例項可具有不同形狀、大小及位置。假定一無限大區域,對應於區域特徵影像之M3D濾波器表示由區域中之各點產生之散射,即,來自幾何佈局之一大塊區域內之各點對遮罩函數之貢獻忽略任何邊緣效應。因此,M3D濾波器與區域特徵影像之一例項(例如,圖5中之區域1)之卷積產生來自遮罩中之該形狀之大塊區域之MF貢獻。
在第二列中,邊緣特徵影像係另一類別之特徵影像,此係因為電磁波之繞射或散射發生在邊緣處。圖6展示一個邊緣特徵影像,但程式庫可具有許多類型之邊緣影像。針對僅具有曼哈頓(Manhattan)幾何形狀之一遮罩,程式庫中包含對應於曼哈頓幾何形狀中之一邊緣之四個可能定向之四個邊緣特徵影像。一些遮罩亦可容許45度之倍數或甚至任意角度之邊緣。假定一無限長邊緣,對應於邊緣特徵影像之M3D濾波器表示由沿著邊緣之各點產生之散射。
第三列展示另一重要類別之特徵影像,其等係兩個邊緣之組合。當兩個邊緣變得足夠接近時,將存在兩個邊緣之間之相互作用。在圖6中展示若干實例。在前兩個實例中,兩個邊緣係平行的。此通常被稱為邊緣至邊緣(在圖5中標示為E2E)。圖6展示兩個不同極性,其等取決於兩個邊緣之間之區域是否由遮罩材料填充。除兩個不同極性之外,程式庫亦可含有具有邊緣之間之不同離距及具有以不同角度(水平、垂直、成45度之倍數等)定向之邊緣之邊緣至邊緣特徵影像。
在第三列之後兩個實例中,兩個邊緣彼此垂直。此等係角隅特徵影像:取決於極性,一內角及一外角。程式庫可含有以不同角度定向之角隅。其他雙邊緣特徵影像亦係可行的。例如,兩個邊緣可彼此成不同角度。兩個邊緣可分離但彼此不平行。因此,兩個邊緣將緩慢地會聚或發散。成除90度之外之角度之角隅亦係可行的。
底部列展示具有三個或更多個邊緣之特徵影像。前兩個實例係具有兩個極性之尖端。程式庫可含有具有不同寬度且呈不同角度定向之版本。下兩個實例係具有兩個極性之孔或通孔。不同版本可具有不同寬度、高度及角度定向。
各特徵影像具有用於產生來自特徵影像之MF貢獻之一對應M3D濾波器。亦即,如來自遮罩製作程序之效應,特徵影像之散射效應由M3D濾波器擷取。
可基於現有僅微影M3D模型判定一整合式M3D模型之特徵影像I i及/或M3D濾波器K i。僅模型化源照明241及遮罩效應242之M3D模型可能已經存在。此等模型被稱為僅微影M3D模型而非整合式M3D模型,此係因為其等僅考量晶圓微影程序之效應且不考量遮罩製作程序之效應。然而,可藉由修改現有模型以亦考量遮罩製作程序之效應而將其等開發成整合式M3D模型。
在一個方法中,調諧已經存在於僅微影Mask3D模型中之項及/或參數以擷取遮罩製作程序之效應。此方法具有低運行時間之優點以便修改僅微影Mask3D模型。在另一方法中,將額外模型項及/或參數添加至現有僅微影Mask3D模型中,例如以擷取無法藉由現有項充分模型化之遮罩製作效應。
用於OPC/ILT中之一僅微影Mask3D模型之模型形式可比用於MEC中之模型之形式更全面。因此,可預期整合式M3D模型比現有MEC模型更能夠擷取遮罩製作效應。
若整合式Mask3D模型係一參數化模型,則其可使用來自遮罩製作及/或晶圓微影程序之經驗資料及經模擬資料之不同組合校準。圖7至圖10展示不同實例。在此等實例中,左手側係產生校準資料之一流程且右手側係產生相同校準資料之一估計之運算微影。比較校準資料與估計並使用其等以校準整合式模型。在此等圖中,實線係實體程序且虛線係模擬,使用如圖2及圖3中展示之相同程序。
圖7係使用遮罩度量衡資料進行整合式模型校準之一流程圖。在此模型校準方案中,製作一實體微影遮罩230。量測此遮罩。例如,可量測經印刷標記圖案230之輪廓或尺寸。一僅微影Mask3D模型342用於估計經印刷遮罩之遮罩函數343A。整合式Mask3D模型320用於自輸入測試圖案702預測遮罩函數343B。調諧780、782整合式模型320以減少兩個遮罩函數343A、343B之間之差異。
圖8係使用遮罩及晶圓度量衡資料兩者進行整合式模型校準之一流程圖。其包含來自圖7之校準且添加基於經製作晶圓之量測250A之額外校準。在右手側,完整運算流程亦產生經印刷晶圓之估計350B。在此模型校準方案中,晶圓度量衡資料250A (諸如晶圓上之經印刷圖案之輪廓或尺寸)用於形成在一所要方向上驅動模型調諧程序880、882之初級成本函數項。遮罩度量衡資料230及其對應遮罩函數343A用於形成正規化模型調諧程序780、782從而將設計限於一特定範圍內之一次級成本函數項。尤其針對晶圓圖案預測,此方法可改良整體模型準確度。
圖9係僅使用模擬之整合式模型校準之一流程圖。此類似於圖7,但實體微影遮罩之製作由模擬替換。左手側使用僅遮罩製作程序之一模型310,接著為一僅微影M3D模型342。遮罩製作模型310預測經印刷遮罩圖案330。自此,僅微影M3D模型342估計遮罩函數343A。在右手側,整合式模型320亦估計遮罩函數343B。比較980兩個預測343A、343B並使用其等以調諧982整合式模型320。
圖10係組合圖8及圖9之整合式模型校準之一流程圖。如在圖9中,模擬遮罩場343A、343B之遮罩度量衡及比較。如在圖8中,經印刷晶圓圖案250A、350b之晶圓度量衡及比較係基於經驗量測。在此方法中,不需要經製作遮罩之量測。
圖11繪示在一製品(諸如一積體電路)之設計、驗證及製作期間使用以變換並驗證表示積體電路之設計資料及指令之一組例示性程序1100。此等程序之各者可經結構化且經啟用作為多個模組或操作。術語「EDA」表示術語「電子設計自動化」。此等程序開始於使用藉由一設計者供應之資訊產生一產品理念1110,該資訊經變換以使用一組EDA程序1112產生一製品。當完成設計時,對設計進行成品出廠驗證1134,當該設計係積體電路之原圖(即,幾何圖案)時,將其發送至一製作工廠以製造遮罩集,接著使用該遮罩集以製造積體電路。在成品出廠驗證之後,製作1136一半導體晶粒且執行封裝及組裝程序1138以產生成品積體電路1140。
一電路或電子結構之規格可在低階電晶體材料佈局至高階描述語言之範圍中。可使用一高階表示以使用一硬體描述語言(「HDL」) (諸如VHDL、Verilog、SystemVerilog、SystemC、MyHDL或OpenVera)設計電路及系統。可將HDL描述變換為一邏輯級暫存器轉移層級(「RTL」)描述、一閘級描述、一佈局級描述或一遮罩級描述。各較低表示層級(其係一更詳細描述)將更有用細節添加至設計描述中(例如,包含描述之模組之更多細節)。較低層級之表示(其係更詳細描述)可藉由一電腦產生、自一設計程式庫導出,或藉由另一設計自動化程序產生。用於指定更詳細描述之一較低層級之表示語言下之一規格語言之一實例係SPICE,其用於具有許多類比組件之電路之詳細描述。啟用在各層級表示下之描述以藉由該層之對應工具(例如,一正式驗證工具)使用。一設計程序可使用圖11中描繪之一序列。所描述程序可由EDA產品(或工具)啟用。
在系統設計1114期間,指定待製造之一積體電路之功能性。可針對諸如功率消耗、效能、面積(實體及/或程式碼行數)及成本降低等之所要特性最佳化設計。可在此階段發生將設計分割成不同類型之模組或組件。
在邏輯設計及功能驗證1116期間,以一或多個描述語言指定電路中之模組或組件且針對功能準確性檢查規格。例如,可驗證電路之組件以產生匹配經設計之電路或系統之規格之要求之輸出。功能驗證可使用模擬器及其他程式,諸如測試台產生器、靜態HDL檢查器及正式驗證器。在一些實施例中,使用稱為「仿真器」或「原型系統」之組件之特殊系統以加速功能驗證。
在測試之合成及設計1118期間,將HDL程式碼變換為一接線對照表。在一些實施例中,一接線對照表可係一圖表結構,其中圖表結構之邊緣表示一電路之組件且其中圖表結構之節點表示組件如何互連。HDL程式碼及接線對照表兩者係可由一EDA產品使用以驗證積體電路在經製造時根據指定設計執行之階層式製品。可針對一目標半導體製造技術最佳化接線對照表。另外,可測試成品積體電路以驗證積體電路滿足規格之要求。
在接線對照表驗證1120期間,針對與時序約束之相符性及針對與HDL程式碼之對應性檢查接線對照表。在設計規劃1122期間,針對時序及頂層繞線建構及分析積體電路之一整體平面佈置圖。
在佈局或實體實施1124期間,發生實體放置(諸如電晶體或電容器之電路組件之定位)及繞線(電路組件藉由多個導體之連接),且可執行自一程式庫選擇胞元以啟用特定邏輯功能。如本文中使用,術語「胞元」可指定提供一布林(Boolean)邏輯功能(例如,AND、OR、NOT、XOR)或一儲存功能(諸如一正反器或鎖存器)之一組電晶體、其他組件及互連。如本文中使用,一電路「區塊」可係指兩個或兩個以上胞元。一胞元及一電路區塊兩者可稱為一模組或組件且作為實體結構及在模擬中兩者啟用。針對選定胞元(基於「標準胞元」)指定參數(諸如大小)且使其等可在一資料庫中存取以供EDA產品使用。
在分析及提取1126期間,在允許佈局設計之細化之佈局層級驗證電路功能。在實體驗證1128期間,檢查佈局設計以確保製造約束(諸如DRC約束、電約束、微影約束)正確,且電路功能匹配HDL設計規格。在解析度增強1130期間,變換佈局之幾何形狀以改良如何製造電路設計。
在成品出廠驗證期間,產生資料以(在視需要應用微影增強之後)用於微影遮罩之產生。在遮罩資料準備1132期間,使用「成品出廠驗證」資料以產生用於產生成品積體電路之微影遮罩。
可使用一電腦系統(諸如圖12之電腦系統1200)之一儲存子系統以儲存藉由本文中描述之一些或全部EDA產品使用之程式及資料結構,及用於開發程式庫之胞元及使用程式庫之實體及邏輯設計之產品。
圖12繪示一電腦系統1200之一例示性機器,在其內可執行用於引起機器執行本文中論述之任何一或多種方法論之一指令集。在替代實施方案中,機器可連接(例如,網路連結)至一LAN、一內部網路、一外部網路及/或網際網路中之其他機器。該機器可在用戶端-伺服器網路環境中以一伺服器或一用戶端機器之身份操作,在一同級間(或分散式)網路環境中作為一同級機器操作,或在一雲端運算基礎設施或環境中作為一伺服器或一用戶端機器操作。
機器可為一個人電腦(PC)、一平板PC、一機上盒(STB)、一個人數位助理(PDA)、一蜂巢式電話、一網路器具、一伺服器、一網路路由器、一交換器或橋接器,或能夠執行指定藉由該機器採取之行動之一指令集(循序或以其他方式)之任何機器。此外,雖然繪示一單個機器,但術語「機器」亦應被視為包含個別或聯合執行一(或多個)指令集以執行本文中所論述之方法論之任一或多者之機器之任何集合。
例示性電腦系統1200包含一處理裝置1202、一主記憶體1204 (例如,唯讀記憶體(ROM)、快閃記憶體、動態隨機存取記憶體(DRAM),諸如同步DRAM (SDRAM))、一靜態記憶體1206 (例如,快閃記憶體、靜態隨機存取記憶體(SRAM)等)及一資料儲存裝置1218,其等經由一匯流排1230彼此通信。
處理裝置1202表示一或多個處理器,諸如一微處理器、一中央處理單元或類似者。更特定言之,處理裝置可為複雜指令集運算(CISC)微處理器、精簡指令集運算(RISC)微處理器、超長指令字(VLIW)微處理器,或實施其他指令集之一處理器,或實施指令集之一組合之處理器。處理裝置1202亦可為一或多個專用處理裝置,諸如一特定應用積體電路(ASIC)、一場可程式化閘陣列(FPGA)、一數位信號處理器(DSP)、網路處理器或類似者。處理裝置1202可經組態以執行用於執行本文中描述之操作及步驟之指令1226。
電腦系統1200可進一步包含經由網路1220通信之一網路介面裝置1208。電腦系統1200亦可包含一視訊顯示單元1210 (例如,一液晶顯示器(LCD)或一陰極射線管(CRT))、一文數字輸入裝置1212 (例如,一鍵盤)、一游標控制裝置1214 (例如,一滑鼠)、一圖形處理單元1222、一信號產生裝置1216 (例如,一揚聲器)、圖形處理單元1222、視訊處理單元1228及音訊處理單元1232。
資料儲存裝置1218可包含其上儲存體現本文中所描述之方法論或功能之任一或多者之一或多個指令集1226或軟體之一機器可讀儲存媒體1224 (亦被稱為一非暫時性電腦可讀媒體)。指令1226在其由電腦系統1200執行期間亦可完全或至少部分駐留於主記憶體1204內及/或處理裝置1202內,主記憶體1204及處理裝置1202亦構成機器可讀儲存媒體。
在一些實施方案中,指令1226包含實施對應於本發明之功能性之指令。雖然機器可讀儲存媒體1224在一例示性實施方案中被展示為一單個媒體,但術語「機器可讀儲存媒體」應被視為包含儲存一或多個指令集之一單個媒體或多個媒體(例如,一集中式或分散式資料庫,及/或相關聯快取區及伺服器)。術語「機器可讀儲存媒體」亦應被視為包含能夠儲存或編碼藉由機器執行且引起機器及處理裝置1202執行本發明之方法論之任一或多者之一指令集之任何媒體。術語「機器可讀儲存媒體」應相應地被視為包含(但不限於)固態記憶體、光學媒體及磁性媒體。
已依據對一電腦記憶體內之資料位元之操作之演算法及符號表示呈現前文詳細描述之一些部分。此等演算法描述及表示係由熟習資料處理技術者用於更有效地向其他熟習此項技術者傳達其等工作之主旨之方式。一演算法可為導致一所要結果之一操作序列。該等操作係需要實體操縱物理量之操作。此等量可採用能夠被儲存、組合、比較及以其他方式操縱之電信號或磁信號之形式。此等信號可被稱為位元、值、元件、符號、字元、項、數字或類似者。
然而,應牢記,所有此等及類似術語應與適當物理量相關聯且僅為應用於此等量之方便標示。除非明確說明,否則如自本發明明白,應瞭解,貫穿描述之特定術語係指將表示為電腦系統之暫存器及記憶體內之物理(電子)量之資料操縱且變換成類似地表示為電腦系統記憶體或暫存器或其他此等資訊儲存裝置內之物理量之其他資料之電腦系統或類似電子運算裝置之動作及程序。
本發明亦係關於用於執行本文中之操作之一設備。此設備可專門為預期目的而建構,或其可包含藉由儲存於電腦中之一電腦程式選擇性啟動或重新組態之一電腦。此一電腦程式可儲存於一電腦可讀儲存媒體中,諸如(但不限於)任何類型之碟片(包含軟碟、光碟、CD-ROM及磁光碟)、唯讀記憶體(ROM)、隨機存取記憶體(RAM)、EPROM、EEPROM、磁卡或光卡,或適於儲存電子指令之任何類型之媒體,上述各者耦合至一電腦系統匯流排。
本文中呈現之演算法及顯示並非固有地與任何特定電腦或其他設備有關。各種其他系統可根據本文中之教示搭配程式使用,或其可證實建構一更專門設備來執行方法係方便的。另外,本發明並非參考任何特定程式設計語言描述。將瞭解,各種程式設計語言可用於實施如本文中所描述之本發明之教示。
本發明可被提供為可包含其上儲存有指令之一機器可讀媒體之一電腦程式產品或軟體,該等指令可用於程式化一電腦系統(或其他電子裝置)以執行根據本發明之一程序。一機器可讀媒體包含用於儲存呈可藉由一機器(例如,一電腦)讀取之一形式之資訊之任何機構。例如,一機器可讀(例如,電腦可讀)媒體包含一機器(例如,一電腦)可讀儲存媒體,諸如一唯讀記憶體(「ROM」)、隨機存取記憶體(「RAM」)、磁碟儲存媒體、光學儲存媒體、快閃記憶體裝置等。
在前文揭示內容中,本發明之實施方案已參考其之特定例示性實施方案進行描述。將顯而易見的是,可在不脫離如在以下發明申請專利範圍中所闡述之本發明之實施方案之更寬廣精神及範疇之情況下對本發明進行各種修改。在本發明以單數時態指代一些元件之情況下,在圖中可描繪一個以上元件且用相同數字標示相同元件。因此,本發明及圖式應被視為具闡釋性意義而非限制性意義。
102: 遮罩製作輸入資料 104: 電子束寫入器 105: 電子束 108: 遮罩坯料 110: 源 120: 集光/照明光學器件 130: 微影遮罩 140: 投影光學器件 150: 晶圓 202: 遮罩製作描述 210: 遮罩製作程序 230: 經印刷遮罩圖案 240: 晶圓微影程序 241: 源照明 242: 遮罩效應 243: 遮罩場 244: 投影光學器件 245: 空中影像 246: 框 250: 經印刷晶圓圖案 250A: 晶圓度量衡資料/經印刷晶圓圖案 302: 遮罩製作描述 310: 遮罩製作模型 320: 整合式Mask3D模型 330: 經印刷遮罩圖案 342: 僅微影Mask3D模型 343: 經估計遮罩場 343A: 遮罩函數 343B: 遮罩函數 344: 光學成像模型 345: 空中影像 346: 光阻劑/程序模型 350: 經印刷晶圓圖案 350B: 經印刷晶圓圖案 380: 比較 382: 步驟 402: 資料 420: 程式庫 422: 特徵影像 429: 遮罩3D (M3D)濾波器 440: 步驟 442: 特徵影像 443: 遮罩函數 444: 步驟 446: 步驟 510: 形狀 520: 形狀 702: 輸入測試圖案 780: 調諧/模型調諧程序 782: 調諧/模型調諧程序 880: 模型調諧程序 882: 模型調諧程序 980: 比較 982: 調諧 1100: 程序 1110: 產品理念 1112: EDA程序 1114: 系統設計 1116: 邏輯設計及功能驗證 1118: 測試之合成及設計 1120: 接線對照表驗證 1122: 設計規劃 1124: 佈局或實體實施 1126: 分析及提取 1128: 實體驗證 1130: 解析度增強 1132: 遮罩資料準備 1134: 成品出廠驗證 1136: 製作 1138: 封裝及組裝程序 1140: 成品積體電路 1200: 電腦系統 1202: 處理裝置 1204: 主記憶體 1206: 靜態記憶體 1208: 網路介面裝置 1210: 視訊顯示單元 1212: 文數字輸入裝置 1214: 游標控制裝置 1216: 信號產生裝置 1218: 資料儲存裝置 1220: 網路 1222: 圖形處理單元 1224: 機器可讀儲存媒體 1226: 指令集 1228: 視訊處理單元 1230: 匯流排 1232: 音訊處理單元
自下文給出之詳細描述且自本發明之實施例之附圖將更完全理解本發明。圖用於提供本發明之實施例之知識及理解且不將本發明之範疇限於此等特定實施例。此外,圖不需要按比例繪製。
圖1A描繪適合與本發明之實施例一起使用之一遮罩製作程序。
圖1B描繪適合與本發明之實施例一起使用之一極紫外光(EUV)晶圓微影程序。
圖2係圖1A及圖1B中展示之實體程序之一方塊圖。
圖3係根據本發明之實施例之一整合式遮罩設計流程之一流程圖。
圖4係根據本發明之實施例之用於自一遮罩之一描述估計一遮罩函數之一流程圖。
圖5描繪將一遮罩佈局幾何形狀分割成特徵影像。
圖6描繪根據本發明之實施例之一程式庫中之特徵影像。
圖7係根據本發明之實施例之使用遮罩度量衡資料進行整合式模型校準之一流程圖。
圖8係根據本發明之實施例之使用遮罩及晶圓度量衡資料兩者進行整合式模型校準之一流程圖。
圖9係根據本發明之實施例之使用經模擬資料進行整合式模型校準之一流程圖。
圖10係根據本發明之實施例之使用經模擬資料及晶圓度量衡資料進行整合式模型校準之一流程圖。
圖11描繪根據本發明之一些實施例之在一積體電路之設計及製造期間使用之各種程序之一流程圖。
圖12描繪本發明之實施例可在其中操作之一例示性電腦系統之一圖式。
302:遮罩製作描述
320:整合式Mask3D模型
343:經估計遮罩場
344:光學成像模型
345:空中影像
346:光阻劑/程序模型
350:經印刷晶圓圖案
380:比較
382:步驟

Claims (20)

  1. 一種方法,其包括: 存取定義表示輸入至一遮罩製作程序之一微影遮罩之一佈局幾何形狀之複數個形狀之一遮罩製作描述; 藉由一處理裝置估計由該遮罩製作程序接著為一晶圓微影程序產生之一微影結果,其中: 該遮罩製作程序係用於基於該遮罩製作描述製作該微影遮罩; 該晶圓微影程序係用於藉由在該晶圓微影程序中使用該微影遮罩而圖案化一晶圓; 該微影結果包括入射於該晶圓上之一空中影像、印刷於該晶圓上之一圖案或該空中影像或該經印刷圖案之一量測之至少一者;及 使用一整合式三維遮罩(M3D)模型基於特徵影像及對應M3D濾波器估計該微影結果,其包括: 將該遮罩製作描述中之該等形狀分割成特徵影像;及 將各特徵影像與該對應M3D濾波器卷積; 其中該M3D濾波器表示該晶圓微影程序中該特徵影像之一電磁散射效應,且該特徵影像及/或M3D濾波器考量來自該遮罩製作程序對該佈局幾何形狀之效應而不估計印刷於該微影遮罩上之一遮罩圖案;及 基於該經估計微影結果與一對應目標結果之間之差異修改該遮罩製作描述。
  2. 如請求項1之方法,其中該整合式M3D模型包括一僅微影M3D模型之一經修改版本,其中該僅微影M3D模型考量該晶圓微影程序中該特徵影像之電磁散射效應但不考量來自該遮罩製作程序對該佈局幾何形狀之效應。
  3. 如請求項1之方法,其中該整合式M3D模型包括一僅微影M3D模型加上經添加參數以考量來自該遮罩製作程序對該佈局幾何形狀之效應,其中該僅微影M3D模型考量該晶圓微影程序中該特徵影像之電磁散射效應但不考量來自該遮罩製作程序對該佈局幾何形狀之效應。
  4. 如請求項1之方法,其中該整合式M3D模型包括具有經修改以考量來自該遮罩製作程序對該佈局幾何形狀之效應之參數的一僅微影M3D模型,其中該僅微影M3D模型考量該晶圓微影程序中該特徵影像之電磁散射效應但不考量來自該遮罩製作程序對該佈局幾何形狀之效應。
  5. 如請求項1之方法,其中該整合式M3D模型自該遮罩製作描述估計一遮罩函數。
  6. 如請求項5之方法,其中該遮罩製作程序對該佈局幾何形狀之效應係在該遮罩函數中擷取且非藉由估計印刷於該微影遮罩上之一遮罩圖案而得。
  7. 如請求項1之方法,其中估計該等微影結果進一步包括:在該整合式M3D模型之後之一光學成像模型,及在該光學成像模型之後之一光阻劑/蝕刻模型。
  8. 一種系統,其包括: 一記憶體,其儲存基於一遮罩製作程序及/或一晶圓微影程序之指令及校準資料,其中 該遮罩製作程序用於基於一遮罩製作描述製作一微影遮罩;且 該晶圓微影程序使用該微影遮罩以圖案化一晶圓;及 一處理裝置,其與該記憶體耦合且執行該等指令,該等指令在經執行時引起該處理裝置: 估計源自該遮罩製作描述之該校準資料,其中該遮罩製作描述定義表示輸入至該遮罩製作程序之該微影遮罩之一佈局幾何形狀之複數個形狀,且該估計使用基於特徵影像及對應M3D濾波器之一整合式三維遮罩(M3D)模型,其包括: 將該等形狀分解成特徵影像;及 將各特徵影像與該對應M3D濾波器卷積; 其中該M3D濾波器表示該晶圓微影程序中該特徵影像之一電磁散射效應,且該特徵影像及/或M3D濾波器考量來自該遮罩製作程序對該佈局幾何形狀之效應,但不估計印刷於該微影遮罩上之一遮罩圖案;及 基於該經儲存校準資料與該經估計校準資料之間之差異校準該M3D模型。
  9. 如請求項8之系統,其中該經儲存校準資料係基於該經製作微影遮罩之量測。
  10. 如請求項9系統,其中該經儲存校準資料係基於量測該經製作微影遮罩接著為考量該晶圓微影程序中該特徵影像之電磁散射效應但不考量來自該遮罩製作程序對該佈局幾何形狀之效應之一僅微影M3D模型。
  11. 如請求項8之系統,其中該經儲存校準資料係基於該圖案化晶圓之量測。
  12. 如請求項11之系統,其中估計該校準資料進一步包括:在該整合式M3D模型之後之一成像模型,及在該成像模型之後之一光阻劑/蝕刻模型。
  13. 如請求項8之系統,其中該經儲存校準資料包括基於該經製作微影遮罩之量測之第一校準資料及基於該圖案化晶圓之量測之第二校準資料。
  14. 如請求項13之系統,其中校準該M3D模型係藉由該第二校準資料驅動且藉由該第一校準資料正規化。
  15. 如請求項8之系統,其中該經儲存校準資料係基於該經製作微影遮罩及/或該圖案化晶圓之量測及該晶圓微影程序之模擬的一組合。
  16. 一種非暫時性電腦可讀媒體,其包括經儲存指令,該等指令在藉由一處理裝置執行時引起該處理裝置: 存取一遮罩製作描述; 藉由該處理裝置估計由一遮罩製作程序接著為一晶圓微影程序產生之一微影結果,其中估計該微影結果使用一整合式模型,該整合式模型組合來自該遮罩製作程序及來自該晶圓微影程序之效應而不估計印刷於該微影遮罩上之一遮罩圖案;且 基於該經估計微影結果與一對應目標結果之間之差異修改該遮罩製作描述。
  17. 如請求項16之非暫時性電腦可讀媒體,其中修改該遮罩製作描述包括添加子解析度輔助特徵。
  18. 如請求項16之非暫時性電腦可讀媒體,其中修改該遮罩製作描述包括光學近接校正或逆微影技術。
  19. 如請求項16之非暫時性電腦可讀媒體,其中修改該遮罩製作描述包括遮罩近接校正或遮罩錯誤校正。
  20. 如請求項16之非暫時性電腦可讀媒體,其中該遮罩製作程序包括一電子束遮罩寫入程序,且該晶圓微影程序包括一極紫外光晶圓微影程序。
TW111144079A 2021-11-18 2022-11-18 整合遮罩製作效應及晶圓微影效應之遮罩合成 TW202328799A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163280841P 2021-11-18 2021-11-18
US63/280,841 2021-11-18
US17/985,008 2022-11-10
US17/985,008 US20230152683A1 (en) 2021-11-18 2022-11-10 Mask Synthesis Integrating Mask Fabrication Effects and Wafer Lithography Effects

Publications (1)

Publication Number Publication Date
TW202328799A true TW202328799A (zh) 2023-07-16

Family

ID=86324535

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111144079A TW202328799A (zh) 2021-11-18 2022-11-18 整合遮罩製作效應及晶圓微影效應之遮罩合成

Country Status (2)

Country Link
US (1) US20230152683A1 (zh)
TW (1) TW202328799A (zh)

Also Published As

Publication number Publication date
US20230152683A1 (en) 2023-05-18

Similar Documents

Publication Publication Date Title
US9009647B2 (en) Methods and systems for lithography calibration using a mathematical model for a lithographic process
US9292627B2 (en) System and method for modifying a data set of a photomask
US9390206B2 (en) Methods and systems for lithography process window simulation
US20100269084A1 (en) Visibility and Transport Kernels for Variable Etch Bias Modeling of Optical Lithography
US20100023916A1 (en) Model Based Hint Generation For Lithographic Friendly Design
US11080458B2 (en) Lithography simulation method
US20120054694A1 (en) Aerial Image Signatures
TW202121062A (zh) 基於缺陷機率分佈和臨界尺寸變異的微影技術改進
US10732499B2 (en) Method and system for cross-tile OPC consistency
US11468222B2 (en) Stochastic signal prediction in compact modeling
TW202235999A (zh) 用於遮罩合成之隨機感知微影模型
US20090210838A1 (en) Interpolation distance for layout desing data correction model
US9798226B2 (en) Pattern optical similarity determination
US8539391B2 (en) Edge fragment correlation determination for optical proximity correction
TWI795687B (zh) 用於改良微影光罩之設計之方法、系統及非暫時性電腦可讀媒體
US20230408901A1 (en) Optical proximity correction for free form shapes
US20100082313A1 (en) Optical Lithographic Process Model Calibration
TW202328799A (zh) 整合遮罩製作效應及晶圓微影效應之遮罩合成
KR20220092598A (ko) 리소그래피 마스크들의 보정에서의 마스크 제조 모델들의 사용
US8516401B2 (en) Mask model calibration technologies involving etch effect and exposure effect
US20230104510A1 (en) Mask fabrication effects in three-dimensional mask simulations using feature images
TW202332981A (zh) 在三維遮罩模擬中使用特徵影像之遮罩製造效應
CN118020022A (zh) 使用特征图像进行三维掩模仿真中的掩模制造效应
CN116137899A (zh) 基于特征图像的三维掩模仿真