TW202332981A - 在三維遮罩模擬中使用特徵影像之遮罩製造效應 - Google Patents

在三維遮罩模擬中使用特徵影像之遮罩製造效應 Download PDF

Info

Publication number
TW202332981A
TW202332981A TW111137552A TW111137552A TW202332981A TW 202332981 A TW202332981 A TW 202332981A TW 111137552 A TW111137552 A TW 111137552A TW 111137552 A TW111137552 A TW 111137552A TW 202332981 A TW202332981 A TW 202332981A
Authority
TW
Taiwan
Prior art keywords
mask
filter
feature
image
filters
Prior art date
Application number
TW111137552A
Other languages
English (en)
Inventor
鵬 劉
Original Assignee
美商賽諾西斯公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/956,550 external-priority patent/US20230104510A1/en
Application filed by 美商賽諾西斯公司 filed Critical 美商賽諾西斯公司
Publication of TW202332981A publication Critical patent/TW202332981A/zh

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Image Processing (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

接收表示一微影遮罩之一佈局幾何結構之特徵影像。藉由將個別特徵影像與一對應三維遮罩(M3D)濾波器進行卷積來計算來自該等特徵影像之遮罩函數(MF)貢獻。該等M3D濾波器表示該特徵影像之一電磁散射效應。至少一個M3D濾波器亦考量由該微影遮罩之一製造程序產生之效應。

Description

在三維遮罩模擬中使用特徵影像之遮罩製造效應
本發明係關於微影遮罩模擬,包含全晶片或大規模運算微影應用。
製造半導體晶圓時之一個步驟涉及微影術。在一典型微影程序中,一源產生光,該光由集光/照明光學器件收集及引導以照明一微影遮罩。投影光學器件將由經照明遮罩產生之圖案中繼至一晶圓上,而根據照明圖案曝光晶圓上之光阻劑。接著,在一程序中使用經圖案化光阻劑以製造晶圓上之結構。
各種技術係關於改良微影程序,包含微影遮罩之設計。在運算微影術中,微影遮罩設計被用作至一三維遮罩模型之一輸入,該三維遮罩模型用於運算描述由光源照明之遮罩之電磁場散射特性的一遮罩函數。接著,遮罩函數可被用作至一光學成像模型(例如,阿貝(Abbe)成像模型或霍普金斯(Hopkins)成像模型)之一輸入以預測光阻劑中之印刷圖案。可期望三維遮罩模型係準確的以及快速的。
在特定態樣中,接收表示一微影遮罩之一佈局幾何結構之特徵影像。藉由將個別特徵影像與一對應三維遮罩(M3D)濾波器進行卷積(convolving)來計算來自該等特徵影像之遮罩函數(MF)貢獻。該等M3D濾波器表示該特徵影像之一電磁散射效應。至少一個M3D濾波器係亦考量由該微影遮罩之一製造程序產生之效應的一M3D濾波器。
其他態樣包含組件、裝置、系統、改良、方法、程序、應用程式、電腦可讀媒體及與上述之任何者有關之其他技術。
相關申請案
本申請案根據35 U.S.C. § 119(e)規定主張2021年10月1日申請之美國臨時專利申請案序號63/251,477之「Mask Fabrication Effects in Lithography Simulations」,及2022年9月29日申請之美國專利申請案序號17/956,550之「Mask Fabrication Effects in Three-Dimensional Mask Simulations Using Feature Images」之優先權。所有前述申請案之標的物以全文引用的方式併入本文中。
本發明之態樣係關於基於特徵影像之三維遮罩模擬。曝光一晶圓上之光阻劑之照明圖案取決於微影遮罩之幾何佈局及源照明以及其他因素。微影程序之模擬取決於對由入射於微影遮罩上之源照明產生之電磁場的一準確預測。考量繞射及散射效應,可使用馬克士威(Maxwell)方程式之嚴格三維模擬來預測此場。然而,此等模擬係運算密集的且具有長運行時間。因此,在許多情況中,禁止對覆蓋一整個晶片之遮罩區域運行嚴格三維模擬。
本發明之態樣係關於藉由使用一組特徵影像(亦稱為特徵向量)及對應濾波器(稱為遮罩三維(3D)或M3D濾波器)來計算如由一遮罩函數(MF)表示之電磁場繞射及散射特性,修改一些該等濾波器以考量來自遮罩製造程序之效應。特徵影像表示可存在於遮罩中之基本幾何結構,且對應M3D濾波器表示由特徵影像導致之散射效應。可基於特徵影像在給定源照明下之散射效應之嚴格電磁模擬來判定M3D濾波器。
在一個方法中,從預定義特徵影像及其等之對應預計算遮罩3D (M3D)濾波器之一庫選擇特徵影像。庫中之特徵影像包含但不限於以下: ˙ 0邊緣特徵影像:本體(bulk)區域(無邊緣) ˙ 1邊緣特徵影像:具有不同定向之邊緣 ˙ 2邊緣特徵影像:具有相對於彼此之不同定向及空間關係之兩個邊緣之組合 ˙ 3+邊緣特徵影像:三個或更多個邊緣之組合(例如,多邊形形狀)
在許多情況下,微影遮罩之實際佈局幾何結構將包含由遮罩製造程序導致之效應。例如,某些遮罩特徵可為由材料層構造之反射堆疊。堆疊可能不具有完全垂直之側壁。層之厚度可能不與標稱設計值完全匹配。材料性質亦可能不與標稱設計值完全匹配。
然而,在一些微影模擬中,微影遮罩之形貌可由未考量遮罩製造程序之限制及所得效應之一理想化模型來表示。因此,假定理想化形貌之微影模擬可能不如所期望準確。
在其他模擬方法中,此等效應之準確估計需要複雜的模型,例如遮罩特徵之詳細三維模型及來自特徵之散射之馬克士威方程式之完全嚴格三維解。然而,此可在運算上複雜,需要大量運算資源及長運行時間。
在本文中所描述之方法中,修改M3D濾波器以考量由遮罩製造程序產生之效應。M3D濾波器可經參數化以提供額外自由度以考量此等效應。參數之實例包含M3D濾波器之一空間偏移或偏差,以及濾波器中之項之加法及乘法常數。在一些情況下,此等參數之值係基於使用微影遮罩製造之晶圓之量測來判定,其中遮罩係使用遮罩製造程序來製造。
在本發明之額外態樣中,運算經修改M3D濾波器(其將被稱為經遮罩校正之M3D濾波器)且將其保存為查找表(LUT)或其他資料結構。此等LUT在3D遮罩模擬中重複使用,因此考量遮罩製造效應。藉由使用與對應經遮罩校正之M3D濾波器卷積之一組特徵影像來計算如由一遮罩函數(MF)表示之電磁場繞射及散射特性。
本發明之額外技術優點包含但不限於以下。此方法不會產生額外佈局以供後續模擬流處理,且因此與替代方法相比,改良模擬運行時間。其可更適於與機器學習(ML)及非ML架構兩者一起使用以及用於圖形處理單元(GPU)。與完全嚴格模擬相比,該方法針對模型創建、訓練及校準在運算上更高效,且亦減少運行時間,同時仍為遮罩函數產生準確結果。所得遮罩函數亦可在霍普金斯及阿貝成像模型兩者(其等可為微影模擬中之接下來的步驟)中高效地使用。
更詳細地,圖1A描繪適於與本發明之實施例一起使用之一EUV微影程序。在此系統中,一源102產生EUV光,該EUV光由集光/照明光學器件104收集及引導以照明一遮罩110。投影光學器件116將由經照明遮罩110產生之圖案中繼至一晶圓118上,而根據照明圖案曝光晶圓上之光阻劑。接著,使經曝光光阻劑顯影,而產生晶圓上之經圖案化光阻劑。此用於製造晶圓上之結構,例如透過沈積、摻雜、蝕刻或其他程序。
在圖1A中,光係在EUV波長範圍內,約為13.5 nm或在範圍13.3 nm至13.7 nm內。在此等波長下,組件可為反射的而非透射的。遮罩110係一反射遮罩,其可實施為不同材料之一堆疊,且光學器件104、116亦為反射且偏軸的。此僅為一實例。亦可使用其他類型之微影系統,包含在其他波長(包含深紫外線(DUV))下使用透射遮罩及/或光學器件及使用正或負光阻劑。
圖1B係用於計算來自一遮罩110之散射之一流程圖,其考量來自遮罩製造程序之效應。來自遮罩110之繞射及散射係由一遮罩函數(MF) 150表示。圖1B之程序使用遮罩之一描述115及一庫120以判定遮罩之遮罩函數150。庫含有特徵影像122 (例如,預定義特徵影像)及對應濾波器129,濾波器129將被稱為遮罩3D (M3D)濾波器,此係因為其等表示針對一給定源照明,該類型之特徵影像對總體遮罩函數之貢獻。M3D濾波器129包含源照明之效應,且已經調整以考量遮罩製造效應,如本文中更詳細描述。
如圖1B中所展示,在130,接收遮罩之佈局幾何結構,且在140,基於來自庫120之特徵影像122將該佈局幾何結構分割為特徵影像142。142藉由在144將特徵影像142與對應M3D濾波器129進行卷積來計算來自各特徵影像142之遮罩函數(MF)貢獻。藉由在146組合(例如,加總)來自個別特徵影像之MF貢獻來判定遮罩及給定源照明之聚合遮罩函數。
接著,遮罩函數可用於各種設計流程中。遮罩函數可用於估計一微影程序之一結果,諸如由微影遮罩產生之一空中影像或一印刷遮罩圖案。接著,可基於經估計結果將遮罩校正應用於微影遮罩之設計。遮罩校正之實例包含光學近接校正、次解析度輔助特徵、相移遮罩、反向微影(inverse lithography)技術及源遮罩最佳化。
圖1C係用於使經遮罩校正之M3D濾波器129顯影之一流程圖。未校正之M3D濾波器可如下文在圖2至圖4中所描述般進行顯影。此等濾波器可經參數化以添加更多自由度以考量遮罩製造效應。在圖1C之127,藉由比較在125之模擬之預測與在128之實際晶圓之量測來判定參數之值。例如,可使用微影遮罩來製造晶圓,其中遮罩係藉由遮罩製造程序來製造。可進行各種量測,例如印刷於晶圓上之特徵之寬度或間距。M3D濾波器可用於模擬中以預測相同量測126。可在127基於減小經預測量測126與實際量測128之間的差異來判定參數,而導致在129之經遮罩校正之M3D濾波器。在此實例中,晶圓量測128被用作實況以直接校準經參數化之M3D濾波器124。
在一替代方法中,晶圓量測128可用於產生一實況,該實況接著用於校準經參數化之M3D濾波器124。例如,可量測晶圓上之特徵之三維輪廓,而非直接量測線寬或其他實體度量。接著,此等可用作至預測線寬之一準確模擬之輸入。替代地,晶圓上之經量測三維輪廓可用於使用與該兩者有關之一模型來直接校準經參數化之M3D濾波器124。亦可使用微影遮罩本身之量測,補充或代替晶圓之量測。
圖1D係根據本發明之實施例之用於調整M3D濾波器以考量遮罩製造效應之另一流程圖。右手側展示基於一測試遮罩設計115之實體晶圓164之製造。左手側展示相同程序之模擬。在實體製造流程中,首先在遮罩製造程序161中使用測試遮罩設計115以產生一印刷遮罩(實體遮罩) 162。接著在一微影程序163中使用實體微影遮罩來製造印刷晶圓(實體晶圓) 164。接著可在165量測晶圓之各種度量166,諸如各種特徵之尺寸。
在模擬流程中,測試遮罩設計115被用作至一模擬之一輸入,該模擬預測在實體製造流程中量測之晶圓特性。可調諧M3D模型171使用特徵影像及經參數化之M3D濾波器來預測繞射遮罩場或遮罩函數172。此步驟考量源照明及遮罩製造效應。此結果係透過一透鏡成像模型173傳播,以預測晶圓上之光阻劑中之空中影像174。一光阻劑及蝕刻模型175用於預測經製造晶圓176,可從經製造晶圓176估計相同晶圓度量177。
在180,使用經量測晶圓度量166與相同度量177之模擬預測的比較來調諧182 M3D濾波器之參數。此回饋亦可用於調整模擬流程中之其他模型。
圖2至圖4首先描述未校正遮罩製造效應之特徵影像之使用。圖2描繪將一遮罩佈局幾何結構分割為特徵影像。圖2展示兩個形狀210及220以及將形狀210分割為特徵影像。形狀210被分割為以下特徵影像:一個區域影像、六個邊緣影像、六個隅角影像及兩個邊緣對邊緣(E2E)影像。形狀210可基於規則被分割為特徵影像以識別存在於遮罩佈局中之不同特徵。在此實例中,多邊形形狀210之內部區域及其對遮罩函數之貢獻係由區域1特徵影像表示。此定義遮罩之哪些區域係不透明的,對比哪些區域係透射的或反射的。邊緣特徵影像(邊緣1至邊緣6)考量電磁波在邊緣處之繞射及散射。
其餘特徵影像係基於兩個邊緣之組合,其中兩個邊緣之間將存在相互作用。隅角特徵影像(隅角1至隅角6)考量隅角處之相互作用,此不僅僅為兩個邊緣之個別貢獻。應注意,在圖2中,隅角包含內隅角及外隅角兩者。邊緣對邊緣(E2E)特徵影像考量平行邊緣之間的相互作用。E2E 1考量邊緣1與3之間的相互作用。E2E 2考量邊緣2與形狀220之左邊緣之間的相互作用。
特徵影像之各者係一影像。例如,區域影像可為形狀210之多邊形。邊緣影像之各者可為相關邊緣之一經過濾版本。在一些情況中,應用光柵化濾波器以產生特徵影像。
佈局幾何結構之分割使用來自庫120之特徵影像122。可基於對散射之一理解及什麼類型之幾何特徵貢獻散射來選擇庫中之特徵影像。
圖3描繪一庫中之特徵影像之一些實例。圖3中之特徵影像係根據特徵影像中之邊緣數目進行分類。頂部列中之特徵影像具有0個邊緣,下一列中之特徵影像具有1個邊緣,及接著2個邊緣,及接著3+個邊緣。此等僅為實例且並非窮舉性的。
在頂部列中,區域特徵影像判定遮罩之哪些區域係不透明的對比哪些區域係透射的或反射的。取決於遮罩上之形狀之幾何佈局,區域特徵影像之實際例項可具有不同形狀、大小及位置。對應於區域特徵影像之M3D濾波器表示由假定一無限大區域之區域中之各點產生之散射,即,幾何佈局之一本體區域內之各點對遮罩函數之貢獻忽略任何邊緣效應。因此,M3D濾波器與區域特徵影像之一例項(例如,圖2中之區域1)之卷積產生來自遮罩中具有該形狀之本體區域之MF貢獻。
在第二列中,邊緣特徵影像係另一類別特徵影像,此係因為電磁波之繞射或散射發生在邊緣處。圖3展示一個邊緣特徵影像,但庫可具有許多類型之邊緣影像。對於僅具有曼哈頓(Manhattan)幾何結構之一遮罩,庫中包含四個邊緣特徵影像,其等對應於曼哈頓幾何結構中之一邊緣之四個可能定向。一些遮罩亦可容許成45度之倍數或甚至成任意角度之邊緣。對應於邊緣特徵影像之M3D濾波器表示由沿著假定一無限長邊緣之邊緣之各點產生之散射。
第三列展示另一重要類別之特徵影像,其等係兩個邊緣之組合。當兩個邊緣變得足夠近時,兩個邊緣之間將存在相互作用。在圖3中展示數個實例。在前兩個實例中,兩個邊緣係平行的。此大體上被稱為邊緣對邊緣(在圖2中標記為E2E)。圖3展示兩種不同極性,此取決於兩個邊緣之間的區域是否由遮罩材料填充。除兩種不同極性之外,庫亦可含有在邊緣之間具有不同分隔且具有以不同角度(水平、垂直、45度之倍數等)定向之邊緣的邊緣對邊緣特徵影像。
在第三列之後兩個實例中,兩個邊緣彼此垂直。此等係隅角特徵影像:一內隅角及一外隅角,此取決於極性。庫可含有以不同角度定向之隅角。其他兩邊緣特徵影像亦為可能的。例如,兩個邊緣可彼此成不同角度。兩個邊緣可分隔但彼此不平行。因此,兩個邊緣將緩慢會聚或發散。成除90度外之角度之隅角亦為可能的。
底部列展示具有三個或更多個邊緣之特徵影像。前兩個實例係具有兩種極性之末端。庫可含有具有不同寬度及成不同角定向之版本。接下來的兩個實例係具有兩種極性之孔或通孔。不同版本可具有不同寬度、高度及角定向。
特徵影像之各者具有用於產生來自特徵影像之MF貢獻之一對應M3D濾波器。即,由M3D濾波器捕捉特徵影像之散射效應。在一個方法中,對特徵影像執行嚴格模擬,且使用嚴格結果來判定M3D濾波器。
可藉由從較低階效應開始來計算M3D濾波器。一區域影像(0階特徵影像)之效應僅取決於所討論區域之透射或反射。在一嚴格模擬中,此特徵影像之遮罩結構係一定值平面。M3D濾波器係等於從嚴格模擬運算之透射或反射之一常數。
下一個考量一邊緣特徵影像。佈局幾何結構中之一邊緣被分割為一區域特徵影像外加一邊緣特徵影像。接著,藉由來自區域特徵影像之MF貢獻加上來自邊緣特徵影像之MF貢獻來模型化邊緣散射之嚴格模擬。已判定來自區域特徵影像之MF貢獻,因此接著可判定來自邊緣特徵影像之遮罩函數貢獻及對應M3D濾波器。
在考量全部單邊緣特徵影像之後,接著考量包含兩個邊緣之特徵影像。圖4A係用於計算特徵影像之M3D濾波器之一流程圖,從較低階特徵影像進展至較高階特徵影像。圖4B描繪圖3中所展示之兩邊緣間隙特徵影像之一例示性M3D濾波器計算。
在圖4B之實例中,已計算0邊緣及1邊緣特徵影像之M3D濾波器,且程序移動405至更複雜特徵影像:兩邊緣特徵影像。在410下一個考量具有一特定間距∆之間隙特徵影像。在420,將間隙特徵影像之遮罩結構判定為分隔開一間距∆之兩個邊緣。可針對此遮罩結構執行430三維模擬,從而產生此遮罩結構之遮罩函數。
亦在440將此遮罩結構之幾何佈局分割為較低階特徵影像:一區域特徵影像+兩個邊緣特徵影像+所關注間隙特徵影像。此在圖4B之頂部列中以圖示展示。藉由嚴格電磁模擬計算之聚合遮罩函數等於來自各特徵影像之MF貢獻之總和: (1) 其中I i係特徵影像,K i係對應M3D濾波器, 係卷積算子,且N係特徵影像之數目。MF係遮罩函數,在此情況中,其係從嚴格模擬已知的。可使用較低階特徵影像之先前計算之M3D濾波器來計算450該等影像之MF貢獻。此在方程式1中留下一個未知項,在圖4B之底部處以圖示展示。其係接著可被計算460之間隙特徵影像之M3D濾波器。在一些情況下,此可被用作庫120中之M3D濾波器122,或作為圖1C中之經參數化之M3D濾波器124之基礎。
特徵影像可為特徵之灰階表示,其等容許對影像之稀疏取樣。例如,一邊緣具有無限頻率分量且將需要無限頻寬以依100%保真度表示。然而,代替性地,其可由邊緣之一低通濾波版本表示,此就像一灰階模糊邊緣。可使用一低通光柵化函數對遮罩中之多邊形形狀進行光柵化。此移除特徵之高頻分量,而僅保留低頻分量。此係可接受的,因為投影光學器件實際上為一低通系統,因此其將自然地濾除高(空間)頻率分量。為了使其更緊湊且因此在光柵化操作中更快,與一sinc或類sinc函數之均勻回應相比,低通光柵化濾波器經設計以在頻率通帶中具有一非均勻回應。就低通光柵化函數在其頻率通帶中具有一非均勻回應而言,可添加一等化濾波器470以補償非均勻回應。如此,M3D濾波器490係電磁散射及等化之一組合。
可針對具有不同間距∆ (例如,以1 nm之增量)之間隙特徵影像重複上文描述之方法。其亦可針對不同定向及極性重複。其亦可針對其他2邊緣及更複雜特徵影像重複。
方程式1可使用直接卷積在空間域中計算及求解。然而,其亦可在空間頻域中進行處理。數量轉換至空間頻域,且卷積變為一乘積。如此,等效方程式為 (2) 其中FT{}係傅立葉(Fourier)變換。
現在考量遮罩製造程序之效應,使用圖5至圖9中所展示之實例。此等實例係基於方程式1之以下表達: (3) 其中 係區域特徵影像,且 表示本體區域中之前景及背景光反射率/透射率,此係對應之區域M3D濾波器之一表達 。第一加總係用於邊緣影像,其中 係邊緣特徵影像,且 係對應M3D濾波器。第二加總係用於邊緣對邊緣(E2E)影像,其中 係E2E特徵影像(其具有平行邊緣)且 係對應M3D濾波器。方程式3之經參數化版本由以下表示 (4) 其中上撇號'指示濾波器係方程式3之原始濾波器之經參數化版本。
以下係一些可能的參數化。區域濾波器可由乘法常數 來參數化: (5A) 替代地,可使用加法常數: (5B) 邊緣及E2E濾波器可由一乘法常數C及空間偏移或偏差b來參數化: (6) (7) 在此實例中,偏移處於座標 x,但偏移方向將取決於特徵影像之定向及M3D濾波器。
較高階特徵之變化亦可影響較低階濾波器。圖5展示使用具有寬度w之一脊狀特徵之一實例。在此實例中,遮罩製造效應導致表現得更像具有一寬度(w+∆)之一脊狀特徵之一特徵。假定此特徵被分割為四個特徵影像:一區域影像、一左邊緣影像、一右邊緣影像及一E2E影像,如圖5之頂部列中所展示。此等影像未改變。然而,對應M3D濾波器550A至550D經調整以考量差異。例如,區域M3D濾波器550A將按比例調整,使得當其應用於具有寬度w之區域影像時,其產生具有寬度(w+∆)之一區域影像之散射預測。對兩個邊緣濾波器550B、550C及E2E濾波器550D進行類似調整。應注意,應用於此等濾波器之偏移b可不同。來自所有特徵影像之經遮罩校正之貢獻經加總以產生脊狀特徵之遮罩函數,如圖5之底部列中所展示。
圖6至圖9展示使用四種不同遮罩製造效應之實例。圖6A至圖6C考量遮罩側壁角之變動。在理想模擬中,可假定遮罩特徵之側壁角完全垂直(側壁角為90度),如圖6A之頂部遮罩輪廓中所展示。當製造完成時,側壁可為傾斜的,如圖6A之底部遮罩輪廓中所展示。應注意,圖6A中之遮罩輪廓係以晶圓級展示,其大小係實際遮罩尺寸之1/4。此側壁變動引起來自遮罩之繞射之變化。一個可能效應係關於陰影。此類型之遮罩特徵引起陰影,但非垂直側壁將減少陰影量,尤其是對於偏軸照明。此將使特徵看起來比具有垂直側壁之相同特徵小。所展示之遮罩特徵可被分割為一區域影像、兩個邊緣影像及一E2E影像。在一種方法中,可藉由對對應之邊緣及E2E濾波器應用一空間偏移來考量非垂直側壁之效應。區域濾波器可受影響或可能不受影響,此取決於成角度之側壁是否影響特徵之總光反射/透射。
圖6B及圖6C展示此方法之有效性。在此實例中,標稱遮罩特徵具有垂直側壁,且實際遮罩特徵具有從垂直偏離2度(88度角)之側壁。圖6B標繪由88度側壁之一模擬預測之空中影像(AI)對比如由本文中所描述之方法預測之空中影像(AI)之間的差異。x軸係以nm為單位之空間偏移或偏差b,且y軸係空中影像之間的差異之經正規化RMS值。b = -0.3 nm之一偏差產生與更嚴格模擬所預測之結果之良好匹配。負偏差意謂吸收體看起來比標稱小,此與預期一致。圖6C係一類似標繪圖,但其針對臨界尺寸(CD)之間的差異之RMS值。再次,一偏差b = -0.3 nm產生與更嚴格模擬之良好匹配。b = 0之一偏差對應於使用未校正之M3D濾波器之預測。
圖7A至圖7C考量遮罩堆疊厚度之變動。遮罩特徵可實施為具有標稱厚度之一或多種材料之一堆疊。實際厚度可大於或小於標稱值。若堆疊係吸收性的,則較薄之堆疊可具有一降低之陰影效應且因此看起來比標稱版本小。厚度變動亦可影響總體透射或反射之幅度及相位。此等效應可藉由使基於邊緣之濾波器偏移及亦調整區域濾波器來考量。
圖7B及圖7C類似於圖6B及圖6C,但其針對厚度變化。在此實例中,標稱厚度係76.5 nm且實際厚度係69 nm。應注意,圖7A中之z軸係大小為遮罩級之1/4倍之晶圓級,因此根據圖7A中之z尺度,76.5 nm遮罩將看起來為19.1 nm。圖7B及圖7C分別標繪依據偏壓b而變化之空中影像之間及臨界尺寸之間的差異之RMS值。對應M3D濾波器以兩種方式進行調整。首先,曲線720B及720C展示依據偏差b而變化之差異度量。其次,亦將-8.09度之一相移應用於區域濾波器。曲線730B及730C標繪依據偏差b而變化之差異度量,包含-8.09度之相移。
圖8A至圖8C考量遮罩之材料性質之變動,諸如折射率(n)及介電常數(k)。假定此等材料性質具有特定標稱值,但經製造遮罩中之實際值可變化。若堆疊係吸收性的,則較低對比度之材料(即,不同材料之間的n或k之差異小於標稱值),則堆疊將具有較弱繞射,且可看起來小於標稱版本。此等變動亦可能影響總體透射或反射之幅度及相位。此等效應可藉由使基於邊緣之濾波器偏移及亦調整區域濾波器來考量。
圖8B及圖8C類似於圖7B及圖7C,但其針對折射率之變化。在此實例中,標稱折射率n = 2.43,且實際折射率n = 2.3688。對應M3D濾波器如圖7中般進行調整。曲線820B及820C展示依據偏差b而變化之差異度量。其次,亦將-8度之一相移及1.0063之幅度縮放應用於區域濾波器。曲線830B及830C標繪運用此等額外調整之情況下依據偏差b而變化之差異度量。
作為一最終實例,考量遮罩線性效應或遮罩近接效應。與標稱之此等偏差係因短程近接效應引起且取決於遮罩特徵。一個遮罩特徵之製造可影響接近之其他遮罩特徵。在一種方法中,此等效應係由經參數化之3DM濾波器考量,但參數可為特徵相依的。例如,偏差b可被表達為 (8) 其中B、C及D係常數參數,且w係特徵之寬度。偏差b係特徵相依的。對於較窄之特徵,其較大,且對於較寬之特徵,其較小。
圖9繪示在一製品(諸如一積體電路)之設計、驗證及製造期間使用以變換及驗證表示積體電路之設計資料及指令的一組例示性程序900。此等程序之各者可經結構化且經啟用作為多個模組或操作。術語「EDA」表示術語「電子設計自動化」。此等程序以運用一設計者所供應之資訊產生一產品理念910開始,該資訊經變換以產生使用一組EDA程序912之一製品。當設計完成時,對設計進行成品出廠驗證(taped-out) 934,此係將積體電路之原圖(例如,幾何圖案)發送至一製造廠以製造遮罩組之時,該遮罩組接著用於製造積體電路。在成品出廠驗證之後,製造936一半導體晶粒且執行封裝及組裝程序938以產生成品積體電路940。
一電路或電子結構之規格可在從低階電晶體材料佈局至高階描述語言之範圍內。一高階表示可用於使用一硬體描述語言(「HDL」) (諸如VHDL、Verilog、SystemVerilog、SystemC、MyHDL或OpenVera)來設計電路及系統。HDL描述可被變換為一邏輯級暫存器傳送層級(「RTL」)描述、一閘級描述、一佈局級描述或一遮罩級描述。各較低表示級(其係一較詳細描述)將更有用的細節添加至設計描述中,例如包含描述之模組之更多細節。較低表示級(其等係較詳細描述)可由一電腦產生、從一設計庫導出或由另一設計自動化程序產生。在用於指定更詳細描述之一較低階表示語言之一規格語言之一實例係SPICE,其用於具有許多類比組件之電路之詳細描述。在各表示級之描述能夠由該層之對應系統(例如,一形式驗證系統)使用。一設計程序可使用圖9中所描繪之一序列。所描述程序可由EDA產品(或EDA系統)啟用。
在系統設計914期間,指定一待製造積體電路之功能性。設計可針對諸如功率消耗、效能、面積(實體及/或程式碼行)及成本降低等之所要特性進行最佳化。將設計劃分為不同類型之模組或組件可在此階段發生。
在邏輯設計及功能驗證916期間,以一或多種描述語言指定電路中之模組或組件且檢查規格之功能準確性。例如,可驗證電路之組件以產生匹配所設計之電路或系統之規格之要求的輸出。功能驗證可使用模擬器及其他程式,諸如測試台產生器、靜態HDL檢查器及形式驗證器。在一些實施例中,被稱為「仿真器」或「原型設計系統」之組件之特殊系統用於加速功能驗證。
在測試之合成及設計918期間,將HDL碼變換為一接線對照表。在一些實施例中,一接線對照表可為一圖形結構,其中圖形結構之邊緣表示一電路之組件且其中圖形結構之節點表示組件如何互連。HDL碼及接線對照表兩者皆為階層式製品,其等可由一EDA產品使用以驗證積體電路在製造完成後根據指定設計執行。接線對照表可針對一目標半導體製造技術進行最佳化。另外,可測試成品積體電路以驗證積體電路滿足規格之要求。
在接線對照表驗證920期間,針對與時序約束之相符性及與HDL碼之對應性檢查接線對照表。在設計規劃922期間,針對時序及頂層佈線構建及分析積體電路之一總平面圖。
在佈局或實體實施924期間,發生實體放置(諸如電晶體或電容器之電路組件之定位)及佈線(電路組件由多個導體之連接),且可執行從一庫選擇胞元以啟用特定邏輯功能。如本文中所使用,術語「胞元」可指定提供一布林(Boolean)邏輯功能(例如,AND、OR、NOT、XOR)或一儲存功能(諸如一正反器或鎖存器)之一組電晶體、其他組件及互連。如本文中所使用,一電路「區塊」可指代兩個或更多個胞元。一胞元及一電路區塊兩者皆可被稱為一模組或組件,且經啟用作為實體結構及在模擬中啟用兩者。參數經指定用於選定胞元(基於「標準胞元」) (諸如大小)且可在一資料庫中存取以供EDA產品使用。
在分析及提取926期間,在佈局級驗證電路功能,此允許佈局設計之細化。在實體驗證928期間,檢查佈局設計以確保製造約束係正確的(諸如DRC約束、電氣約束、微影約束)且電路功能與HDL設計規格相匹配。在解析度增強930期間,變換佈局之幾何結構以改良如何製造電路設計。
在成品出廠驗證期間,產生用於生產微影遮罩之資料(在適當情況下,在應用微影增強之後)。在遮罩資料準備932期間,使用「成品出廠驗證」資料來產生用於生產成品積體電路之微影遮罩。
一電腦系統(諸如圖10之電腦系統1000)之一儲存子系統可用於儲存程式及資料結構,其等供本文中所描述之一些或全部EDA產品,及用於庫之胞元之開發及使用庫之實體及邏輯設計的產品使用。
圖10繪示一電腦系統1000之一例示性機器,可在其內執行用於引起機器執行本文中所論述之方法論之任一或多者的一指令集。在替代實施方案中,機器可連接(例如,網路連結)至一LAN、一內部網路、一外部網路及/或網際網路中之其他機器。機器可以用戶端-伺服器網路環境中之一伺服器或一用戶端機器之身份操作,作為一同級間(或分散式)網路環境中之一同級機器,或作為一雲端運算基礎設施或環境中之一伺服器或一用戶端機器。
機器可為一個人電腦(PC)、一平板PC、一機上盒(STB)、一個人數位助理(PDA)、一蜂巢式電話、一網路器具、一伺服器、一網路路由器、一交換機或橋接器,或能夠執行指定由該機器採取之動作之一指令集(循序或以其他方式)的任何機器。此外,雖然繪示一單一機器,但術語「機器」亦應被視為包含個別或聯合執行一(或多個)指令集以執行本文中所論述之方法論之任一或多者的任何機器集合。
例示性電腦系統1000包含一處理裝置1002、一主記憶體1004 (例如,唯讀記憶體(ROM)、快閃記憶體、動態隨機存取記憶體(DRAM),諸如同步DRAM (SDRAM))、一靜態記憶體1006 (例如,快閃記憶體、靜態隨機存取記憶體(SRAM)等),及一資料儲存裝置1018,其等經由一匯流排1030彼此通信。
處理裝置1002表示一或多個處理器,諸如一微處理器、一中央處理單元或類似者。更特定言之,處理裝置可為複雜指令集運算(CISC)微處理器、精簡指令集運算(RISC)微處理器、超長指令字(VLIW)微處理器,或實施其他指令集之一處理器或實施指令集之一組合之多個處理器。處理裝置1002亦可為一或多個專用處理裝置,諸如一特定應用積體電路(ASIC)、一場可程式化閘陣列(FPGA)、一數位信號處理器(DSP)、網路處理器或類似者。處理裝置1002可經組態以執行用於執行本文中所描述之操作及步驟之指令1026。
電腦系統1000可進一步包含用於經由網路1020進行通信之一網路介面裝置1008。電腦系統1000亦可包含一視訊顯示單元1010 (例如,一液晶顯示器(LCD)或一陰極射線管(CRT))、一字母數字輸入裝置1012 (例如,一鍵盤)、一游標控制裝置1014 (例如,一滑鼠)、一圖形處理單元1022、一信號產生裝置1016 (例如,一揚聲器)、圖形處理單元1022、視訊處理單元1028及音訊處理單元1032。
資料儲存裝置1018可包含一機器可讀儲存媒體1024 (亦被稱為一非暫時性電腦可讀媒體),體現本文中所描述之方法論或功能之任何一或多者之一或多個指令1026集或軟體儲存於其上。指令1026亦可在其等由電腦系統1000執行期間完全或至少部分駐留於主記憶體1004及/或處理裝置1002內,主記憶體1004及處理裝置1002亦構成機器可讀儲存媒體。
在一些實施方案中,指令1026包含用以實施對應於本發明之功能性之指令。雖然機器可讀儲存媒體1024在一例示性實施方案中被展示為一單一媒體,但術語「機器可讀儲存媒體」應被視為包含儲存一或多個指令集之一單一媒體或多個媒體(例如,一集中式或分散式資料庫及/或相關聯快取區及伺服器)。術語「機器可讀儲存媒體」亦應被視為包含能夠儲存或編碼一指令集以由機器執行且引起機器及處理裝置1002執行本發明之方法論之任何一或多者的任何媒體。因此,術語「機器可讀儲存媒體」應被視為包含但不限於固態記憶體、光學媒體及磁性媒體。
已在對一電腦記憶體內之資料位元進行之操作之演算法及符號表示方面呈現前述[實施方式]之一些部分。此等演算法描述及表示係熟習資料處理技術者用於最有效地向其他熟習此項技術者傳達其工作主旨之方式。一演算法可為導致一所要結果之一操作序列。操作係需要實體量之實體操縱之操作。此等量可採取能夠被儲存、組合、比較及以其他方式操縱之電或磁信號之形式。此等信號可被稱為位元、值、元件、符號、字元、項、數字或類似者。
然而,應牢記,所有此等及類似術語應與適當實體量相關聯且僅為應用於此等量之方便標籤。除非另有明確陳述,否則如從本發明明白,應瞭解,在整個描述中,特定術語指代一電腦系統或類似電子運算裝置之動作及程序,該電腦系統或類似電子運算裝置將被表示為電腦系統之暫存器及記憶體內之實體(電子)量之資料操縱及變換為類似地被表示為電腦系統記憶體或暫存器或其他此等資訊儲存裝置內之實體量的其他資料。
本發明亦係關於一種用於執行本文中之操作之設備。此設備可出於預期目的而專門構造,或其可包含由儲存於電腦中之一電腦程式選擇性地啟動或重新組態之一電腦。此一電腦程式可儲存於一電腦可讀儲存媒體中,諸如但不限於任何類型之磁碟(包含軟碟、光碟、CD-ROM及磁光碟)、唯讀記憶體(ROM)、隨機存取記憶體(RAM)、EPROM、EEPROM、磁卡或光學卡,或適於儲存電子指令之任何類型之媒體,其等各自耦合至一電腦系統匯流排。
本文中所呈現之演算法及顯示並非固有地與任何特定電腦或其他設備有關。各種其他系統可與根據本文中之教示之程式一起使用,或可證實構造更專門設備以執行方法係方便的。另外,未參考任何特定程式設計語言描述本發明。將瞭解,多種程式設計語言可用於實施如本文中所描述之本發明之教示。
本發明可被提供為可包含將指令儲存於其上之一機器可讀媒體之一電腦程式產品或軟體,該等指令可用於程式化一電腦系統(或其他電子裝置)以執行根據本發明之一程序。一機器可讀媒體包含用於儲存呈可由一機器(例如,一電腦)讀取之一形式之資訊的任何機構。例如,一機器可讀(例如,電腦可讀)媒體包含一機器(例如,一電腦)可讀儲存媒體,諸如一唯讀記憶體(「ROM」)、隨機存取記憶體(「RAM」)、磁碟儲存媒體、光學儲存媒體、快閃記憶體裝置等。
在前述揭示內容中,已參考本發明之特定例示性實施方案描述本發明之實施方案。將顯而易見的是,在不脫離如隨附發明申請專利範圍中所闡述之本發明之實施方案之更廣泛精神及範疇的情況下,可對其進行各種修改。在本發明以單數時態提及一些元件的情況下,可在圖中描繪一個以上元件且相同元件係用相同數字標記。因此,本發明及圖式應被視為闡釋性意義而非限制性意義。
102:源 104:集光/照明光學器件 110:遮罩 115:描述/測試遮罩設計 116:投影光學器件 118:晶圓 120:庫 122:特徵影像(圖1B)/三維遮罩(M3D)濾波器(圖4A) 124:經參數化之三維遮罩(M3D)濾波器 125:模擬 126:經預測量測 127:判定參數之值 128:晶圓量測 129:三維遮罩(M3D)濾波器 130:接收遮罩之佈局幾何結構 140:基於來自庫之特徵影像將佈局幾何結構分割為特徵影像 142:特徵影像 144:將特徵影像與對應M3D濾波器進行卷積 146:組合來自個別特徵影像之MF貢獻 150:遮罩函數(MF) 161:遮罩製造程序 162:印刷遮罩 163:微影程序 164:實體晶圓/印刷晶圓 165:量測晶圓之各種度量 166:晶圓度量 171:可調諧三維遮罩(M3D)模型 172:遮罩場或遮罩函數 173:透鏡成像模型 174:空中影像 175:光阻劑及蝕刻模型 176:經製造晶圓 177:晶圓度量 180:使用經量測晶圓度量與相同度量之模擬預測的比較來調諧M3D濾波器之參數 182:調諧 210:形狀 220:形狀 405:移動 410:下一個考量具有特定間距∆之間隙特徵影像 420:將間隙特徵影像之遮罩結構判定為分隔開一間距∆之兩個邊緣 430:執行 440:將遮罩結構之幾何佈局分割為較低階特徵影像 450:計算 460:計算 470:等化濾波器 490:三維遮罩(M3D)濾波器 550A至550D:三維遮罩(M3D)濾波器 900:程序 910:產品理念 912:電子設計自動化(EDA)程序 914:系統設計 916:邏輯設計及功能驗證 918:測試之合成及設計 920:接線對照表驗證 922:設計規劃 924:實體實施 926:分析及提取 928:實體驗證 930:解析度增強 932:遮罩資料準備 934:成品出廠驗證 936:製造 938:封裝及組裝程序 940:成品積體電路 1000:電腦系統 1002:處理裝置 1004:主記憶體 1006:靜態記憶體 1008:網路介面裝置 1010:視訊顯示單元 1012:字母數字輸入裝置 1014:游標控制裝置 1016:信號產生裝置 1018:資料儲存裝置 1020:網路 1022:圖形處理單元 1024:機器可讀儲存媒體 1026:指令 1028:視訊處理單元 1030:匯流排 1032:音訊處理單元
將自下文給出之[實施方式]及本發明之實施例之附圖更充分理解本發明。圖係用於提供本發明之實施例之知識及理解且並未將本發明之範疇限於此等特定實施例。此外,圖不一定按比例繪製。
圖1A描繪適於與本發明之實施例一起使用之一極紫外線(EUV)微影程序。
圖1B係根據本發明之實施例之用於計算來自一遮罩之散射的一流程圖。
圖1C係根據本發明之實施例之用於調整M3D濾波器以考量遮罩製造效應的一流程圖。
圖1D係根據本發明之實施例之用於調整M3D濾波器以考量遮罩製造效應的另一流程圖。
圖2描繪根據本發明之實施例將一遮罩佈局幾何結構分割為特徵影像。
圖3描繪根據本發明之實施例之一庫中之特徵影像。
圖4A係根據本發明之實施例之用於計算一特徵影像之一M3D濾波器的一流程圖。
圖4B描繪根據本發明之實施例之一例示性M3D濾波器計算。
圖5描繪根據本發明之實施例之調整M3D濾波器之一實例。
圖6A至圖6C描繪根據本發明之實施例針對側壁角之變動調整M3D濾波器。
圖7A至圖7C描繪根據本發明之實施例針對遮罩厚度之變動調整M3D濾波器。
圖8A至圖8C描繪根據本發明之實施例針對遮罩材料性質之變動調整M3D濾波器。
圖9描繪根據本發明之實施例之在一積體電路之設計及製造期間使用的各種程序之一流程圖。
圖10描繪本發明之實施例可在其中操作之一例示性電腦系統之一圖。
115:描述/測試遮罩設計
120:庫
122:特徵影像
129:三維遮罩(M3D)濾波器
130:接收遮罩之佈局幾何結構
140:基於來自庫之特徵影像將佈局幾何結構分割為特徵影像
142:特徵影像
144:將特徵影像與對應M3D濾波器進行卷積
146:組合來自個別特徵影像之MF貢獻
150:遮罩函數(MF)

Claims (20)

  1. 一種方法,其包括: 接收表示一微影遮罩之一佈局幾何結構之特徵影像;及 由一處理器裝置藉由將各特徵影像與一對應三維遮罩(M3D)濾波器進行卷積來計算來自該等特徵影像之一遮罩函數(MF)貢獻;其中該M3D濾波器表示該特徵影像之一電磁散射效應,且至少一個M3D濾波器係亦考量由該微影遮罩之一製造程序產生之效應的一經遮罩校正之M3D濾波器。
  2. 如請求項1之方法,其中基於使用運用該製造程序製造之一微影遮罩製造之一晶圓的一量測來參數化該經遮罩校正之M3D濾波器及調諧該經遮罩校正之M3D濾波器之一參數。
  3. 如請求項1之方法,其中該經遮罩校正之M3D濾波器係一區域濾波器,且該區域濾波器之該參數係一加法常數或一乘法常數。
  4. 如請求項1之方法,其中該經遮罩校正之M3D濾波器係一單邊緣濾波器,且該單邊緣濾波器之該參數係一空間偏移。
  5. 如請求項1之方法,其中該經遮罩校正之M3D濾波器係一邊緣對邊緣濾波器,且該邊緣對邊緣濾波器之該參數係一空間偏移。
  6. 如請求項1之方法,其中該經遮罩校正之M3D濾波器之該參數係一空間偏移、一加法常數或一乘法常數。
  7. 如請求項1之方法,其進一步包括: 基於該等經計算MF貢獻之一組合來判定該微影遮罩之一遮罩函數; 使用該遮罩函數來估計包括由該微影遮罩產生之一空中影像或一印刷遮罩圖案之一結果;及 基於該經估計結果將一遮罩校正應用於該微影遮罩之一設計;其中該遮罩校正包括光學近接校正、次解析度輔助特徵、相移遮罩及反向微影技術之至少一者。
  8. 一種非暫時性電腦可讀媒體,其包括經儲存指令,該等指令在由一處理器裝置執行時引起該處理器裝置: 存取對應於用於表示微影遮罩之佈局幾何結構之特徵影像的原始遮罩3D (M3D)濾波器,其中對應於一特徵影像之該原始M3D濾波器表示該特徵影像之一電磁散射效應;及 由一處理器裝置修改該等原始M3D濾波器以產生考量由該微影遮罩之一製造程序產生之效應的經遮罩校正之M3D濾波器。
  9. 如請求項8之非暫時性電腦可讀媒體,其中修改該等原始M3D濾波器包括: 存取使用運用該製造程序製造之微影遮罩製造之晶圓的量測;及 基於晶圓之該量測來修改該等原始M3D濾波器。
  10. 如請求項9之非暫時性電腦可讀媒體,其中修改該等原始M3D濾波器包括:基於使(a)由使用該等經遮罩校正之M3D濾波器對晶圓之一模擬預測之結果;與(b)晶圓之該量測相匹配來修改該等原始M3D濾波器。
  11. 如請求項8之非暫時性電腦可讀媒體,其中修改該等原始M3D濾波器包括:基於使(a)由使用該等經遮罩校正之M3D濾波器對晶圓之一模擬預測之結果;與(b)由其中晶圓結構之模型包含由該製造程序產生之製造效應之晶圓的一模擬預測之結果相匹配,來修改該等原始M3D濾波器。
  12. 如請求項8之非暫時性電腦可讀媒體,其中該微影遮罩包含具有一側壁之一特徵,表示該遮罩特徵之該等特徵影像包含一單邊緣影像及/或一多邊緣影像,且修改該等原始M3D濾波器包括:使該(等)特徵影像之該(等)原始M3D濾波器偏移以考量該側壁之一角度之變動。
  13. 如請求項8之非暫時性電腦可讀媒體,其中該微影遮罩包含一膜堆疊,表示吸收體之該等特徵影像包含一單邊緣影像及/或一多邊緣影像,且修改該等原始M3D濾波器包括:使該(等)特徵影像之該(等)原始M3D濾波器偏移以考量該膜堆疊之厚度之變動。
  14. 如請求項8之非暫時性電腦可讀媒體,其中該微影遮罩包含特徵為一折射率及一介電常數之一吸收體,表示該吸收體之該等特徵影像包含一單邊緣影像及/或一多邊緣影像,且修改該等原始M3D濾波器包括:使該(等)特徵影像之該(等)原始M3D濾波器偏移以考量該折射率或介電常數之變動。
  15. 如請求項8之非暫時性電腦可讀媒體,其中該特徵影像包含一單邊緣影像及/或一多邊緣影像,且修改該等原始M3D濾波器包括:將一特徵相依偏移應用於該(等)特徵影像之該(等)原始M3D濾波器,以考量該微影遮罩之該製造程序中之短程近接效應。
  16. 一種系統,其包括: 一電腦可讀儲存媒體,其儲存指令及含有預定義特徵影像及對應之預計算遮罩3D (M3D)濾波器之一庫,其中該M3D濾波器表示該特徵影像之一電磁散射效應,且至少一個M3D濾波器係亦考量由該微影遮罩之一製造程序產生之效應的一經遮罩校正之M3D濾波器;及 一處理器裝置,其與該電腦可讀儲存媒體耦合且執行該等指令,該等指令在被執行時引起該處理器裝置: 基於該庫中所含之該等預定義特徵影像來將一微影遮罩之一佈局幾何結構分割為複數個特徵影像; 藉由將該複數個特徵影像之各者與來自該庫之該對應M3D濾波器進行卷積來計算來自該等特徵影像之各者之遮罩函數(MF)貢獻;及 組合該等經計算MF貢獻以判定該微影遮罩之一遮罩函數。
  17. 如請求項16之系統,其進一步包括:將該遮罩函數作為輸入應用於一阿貝成像模型或霍普金斯成像模型。
  18. 如請求項16之系統,其中該佈局幾何結構包括用於一整個積體電路晶粒之一佈局幾何結構。
  19. 如請求項16之系統,其中該微影遮罩之一源照明係一極紫外線(EUV)或深紫外線(DUV)照明。
  20. 如請求項16之系統,其中該佈局幾何結構包括複數個形狀,且表示各形狀之該等特徵影像至多包含區域影像、單邊緣影像及雙邊緣影像。
TW111137552A 2021-10-01 2022-10-03 在三維遮罩模擬中使用特徵影像之遮罩製造效應 TW202332981A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163251477P 2021-10-01 2021-10-01
US63/251,477 2021-10-01
US17/956,550 US20230104510A1 (en) 2021-10-01 2022-09-29 Mask fabrication effects in three-dimensional mask simulations using feature images
US17/956,550 2022-09-29

Publications (1)

Publication Number Publication Date
TW202332981A true TW202332981A (zh) 2023-08-16

Family

ID=83995473

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111137552A TW202332981A (zh) 2021-10-01 2022-10-03 在三維遮罩模擬中使用特徵影像之遮罩製造效應

Country Status (3)

Country Link
KR (1) KR20240073002A (zh)
TW (1) TW202332981A (zh)
WO (1) WO2023056012A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7703069B1 (en) * 2007-08-14 2010-04-20 Brion Technologies, Inc. Three-dimensional mask model for photolithography simulation
NL2012196A (en) * 2013-02-22 2014-08-25 Asml Netherlands Bv A lithography model for three-dimensional patterning device.
WO2019162346A1 (en) * 2018-02-23 2019-08-29 Asml Netherlands B.V. Methods for training machine learning model for computation lithography
US10838305B2 (en) * 2018-06-29 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Lithographic mask correction using volume correction techniques
WO2021091838A1 (en) * 2019-11-04 2021-05-14 Synopsys, Inc. Using mask fabrication models in correction of lithographic masks

Also Published As

Publication number Publication date
KR20240073002A (ko) 2024-05-24
WO2023056012A1 (en) 2023-04-06

Similar Documents

Publication Publication Date Title
US7458059B2 (en) Model of sensitivity of a simulated layout to a change in original layout, and use of model in proximity correction
US20210064977A1 (en) Neural network based mask synthesis for integrated circuits
US20230375916A1 (en) Inverse lithography and machine learning for mask synthesis
US11468222B2 (en) Stochastic signal prediction in compact modeling
US11704471B2 (en) Three-dimensional mask simulations based on feature images
CN116324617A (zh) 用于掩模综合的随机感知光刻模型
US20090210838A1 (en) Interpolation distance for layout desing data correction model
US9798226B2 (en) Pattern optical similarity determination
TW202332981A (zh) 在三維遮罩模擬中使用特徵影像之遮罩製造效應
US20230104510A1 (en) Mask fabrication effects in three-dimensional mask simulations using feature images
US20230079453A1 (en) Mask corner rounding effects in three-dimensional mask simulations using feature images
US20230152683A1 (en) Mask Synthesis Integrating Mask Fabrication Effects and Wafer Lithography Effects
CN118020022A (zh) 使用特征图像进行三维掩模仿真中的掩模制造效应
US11977324B1 (en) Modifying segments and vertices of mask shapes for mask synthesis
US20220392191A1 (en) Large scale computational lithography using machine learning models
US11720015B2 (en) Mask synthesis using design guided offsets
US20220382144A1 (en) Machine learning for selecting initial source shapes for source mask optimization
US20220121957A1 (en) Lithography simulation using machine learning
Yang et al. An object-based approach to optical proximity correction