TW202326907A - 具有水蒸氣及氧分壓之快速與選擇性控制的基板處理工具 - Google Patents

具有水蒸氣及氧分壓之快速與選擇性控制的基板處理工具 Download PDF

Info

Publication number
TW202326907A
TW202326907A TW111141321A TW111141321A TW202326907A TW 202326907 A TW202326907 A TW 202326907A TW 111141321 A TW111141321 A TW 111141321A TW 111141321 A TW111141321 A TW 111141321A TW 202326907 A TW202326907 A TW 202326907A
Authority
TW
Taiwan
Prior art keywords
vacuum transfer
module
transfer module
valve
substrate
Prior art date
Application number
TW111141321A
Other languages
English (en)
Inventor
理查 H 古德
卡爾 費德瑞克 李瑟
麥可 諾爾丹
喬瑟 韋拉斯科
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202326907A publication Critical patent/TW202326907A/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

一種基板處理工具,包括:第一處理模組;真空傳輸模組,與該第一處理模組連接;一或更多幫浦;回填源;以及一或更多控制器。該一或更多控制器係配置以:控制該一或更多幫浦,以將該真空傳輸模組中的腔室壓力從第一腔室壓力降低至第二腔室壓力,接著在准許基板傳輸進入該第一處理模組之前,利用惰性氣體將該真空傳輸模組回填至第三腔室壓力;在將該真空傳輸模組回填後,驅使該基板從該真空傳輸模組傳輸至該第一處理模組;以及驅使該第一處理模組處理該基板。

Description

具有水蒸氣及氧分壓之快速與選擇性控制的基板處理工具
本揭示是關於基板處理工具,其包括執行不同基板處理的處理模組。 [相關申請案的交互參照]
本申請案主張2021年11月3日提交的美國臨時申請案第63/275,299號的優先權。上述申請案的整體揭示內容係作為參考文獻而引入本文中。
此處所提供之先前技術描述係為了一般性呈現本揭露之背景的目的。本案列名發明人的工作成果,至此先前技術段落的所述範圍,以及申請時可能不適格作為先前技術的實施態樣,均不明示或暗示承認為對抗本揭露內容的先前技術。
基板處理系統可用於執行基板(例如,半導體晶圓)的沉積、蝕刻及/或其他處理。在處理期間,基板係被設置在基板處理系統的處理腔室中的基板支撐件上。可將包含一或更多前驅物的氣體混合物引進該處理腔室,並且可點燃電漿以啟動化學反應。
基板處理系統可以包括被設置在製造室內的複數基板處理工具。這些基板處理工具各自可以包括複數處理模組或腔室。這些處理工具的各者係執行單一類型的處理,例如清潔、沉積或蝕刻處理。基板係通過一或更多中間腔室而被傳輸至基板處理工具中,其中所述中間腔室例如是前開式晶圓傳送盒(FOUP)、設備前端模組(EFEM)及/或負載鎖室。基板係在真空傳輸模組(VTM)內的複數處理模組之間進行傳輸。
所揭示的是一種基板處理工具,其包括:第一處理模組;真空傳輸模組,與該第一處理模組連接;一或更多幫浦;回填源;以及一或更多控制器。該一或更多控制器係配置以:控制該一或更多幫浦,以將該真空傳輸模組中的腔室壓力從第一腔室壓力降低至第二腔室壓力,接著在准許基板傳輸進入該第一處理模組之前,利用惰性氣體將該真空傳輸模組回填至第三腔室壓力;在將該真空傳輸模組回填後,驅使該基板從該真空傳輸模組傳輸至該第一處理模組;以及驅使該第一處理模組處理該基板。
在其他特徵中,該基板處理工具更包括複數閥,且該等閥係連接至該一或更多幫浦及該回填源。該一或更多控制器係配置以控制該一或更多幫浦及該等閥,以將該真空傳輸模組中的該腔室壓力從該第一腔室壓力降低至該第二腔室壓力,接著在准許該基板傳輸進入該第一處理模組之前,利用該惰性氣體將該真空傳輸模組回填至該第三腔室壓力。
在其他特徵中,該一或更多幫浦包括第一幫浦。該等閥包括第一閥,且該第一閥係連接至該第一幫浦及該真空傳輸模組。該一或更多控制器係配置以控制該第一閥的狀態,以抽降(pump down)至該第二腔室壓力。
在其他特徵中,該一或更多幫浦包括第二幫浦。該複數閥包括第二閥,且該第二閥係連接至該第二幫浦。該一或更多控制器係配置以控制該第二閥的狀態,以抽降至該第一腔室壓力。
在其他特徵中,該一或更多控制器係配置以控制該第一閥的該狀態及該第二閥的該狀態的其中至少一者,以從該第一腔室壓力抽降至第四腔室壓力。該第四腔室壓力小於該第一腔室壓力,但大於該第二腔室壓力。該一或更多控制器係配置以控制該第一閥的該狀態,並且關閉該第二閥,以抽降至該第二腔室壓力。
在其他特徵中,該基板處理工具更包括質量流量控制器。該等閥的其中一者係與該質量流量控制器串聯連接,且係位於該回填源與該真空傳輸模組之間。該質量流量控制器係配置以調整從該回填源往該真空傳輸模組的該惰性氣體的流量。該一或更多控制器係配置以控制該質量流量控制器及該等閥的其中該一者的運作,以供應該惰性氣體,使該真空傳輸模組回填至該第三腔室壓力。
在其他特徵中,該基板處理工具更包括複數處理模組,該等處理模組包括該第一處理模組及第二處理模組。該等處理模組係配置以執行不同相應類型的基板處理。該真空傳輸模組係連接至該等處理模組。該真空傳輸模組係配置以將該基板從該第一處理模組傳輸至該第二處理模組。
在其他特徵中,該第一處理模組係配置以將該基板進行預清潔。該第二處理模組係配置以執行沉積處理。
在其他特徵中,該一或更多控制器係配置以(i)當該真空傳輸模組的內部係處於該第三腔室壓力時,允許該基板傳輸進入該第一處理模組,(ii)將該真空傳輸模組回填至該第一腔室壓力,以及(iii)當該真空傳輸模組的該內部係處於該第一腔室壓力時,允許將該基板從該第一處理模組傳輸至該第二處理模組。
在其他特徵中,該一或更多控制器係配置以將回填氣體的連續吹淨流提供至該真空傳輸模組的該內部,以稀釋該真空傳輸模組的該內部中的一或更多污染物氣體,並且降低該一或更多污染物氣體的一或更多分壓。
在其他特徵中,該一或更多控制器係配置以控制該等閥的其中一者的運作,從而當該基板在該複數處理模組與該真空傳輸模組之間傳輸時,將該惰性氣體供應至該真空傳輸模組。
在其他特徵中,該一或更多控制器係配置以:在降低該真空傳輸模組中的該腔室壓力時控制該一或更多幫浦及該等閥,以將該真空傳輸模組中的氧分壓(PP O 2)從第一PP O 2降低至第二PP O 2;以及在將該真空傳輸模組回填至該第三腔室壓力時,在允許該基板傳輸進入該第一處理模組之前,將該真空傳輸模組回填至第三PP O 2
在其他特徵中,該一或更多控制器係配置以基於該真空傳輸模組的該腔室壓力而調整該真空傳輸模組中的該PP O 2。在其他特徵中,該第三PP O 2係小於或等於1e-5 T。
在其他特徵中,該一或更多幫浦包括第一幫浦。該等閥包括第一閥,且該第一閥係連接至該第一幫浦及該真空傳輸模組。該一或更多控制器係配置以控制該第一閥的狀態,以抽降至該第二PP O 2
在其他特徵中,該一或更多幫浦包括第二幫浦。該等閥包括第二閥,且該第二閥係連接至該第二幫浦。該一或更多控制器係配置以控制該第二閥的狀態,以抽降至該第一PP O 2
在其他特徵中,該一或更多控制器係配置以控制該第一閥的該狀態與該第二閥的該狀態的其中至少一者,以從該第一PP O 2抽降至第四PP O 2。該第四PP O 2係小於該第一PP O 2,但大於該第二PP O 2。該一或更多控制器係配置以控制該第一閥的該狀態,並且關閉該第二閥,以抽降至該第二PP O 2
在其他特徵中,該基板處理工具更包括質量流量控制器。該等閥的其中一者係與該質量流量控制器串聯連接,且係位於該回填源與該真空傳輸模組之間。該質量流量控制器係配置以調整從該回填源往該真空傳輸模組的該惰性氣體的流量。該一或更多控制器係配置以控制該質量流量控制器及該等閥的其中該一者的運作,以供應該惰性氣體,使該真空傳輸模組回填至該第三PP O 2
在其他特徵中,該基板處理工具更包括複數處理模組,該等處理模組包括該第一處理模組及第二處理模組。該複數處理模組係配置以執行不同各自類型的基板處理。該真空傳輸模組係連接至該等處理模組。該真空傳輸模組係配置以將該基板從該第一處理模組傳輸至該第二處理模組。
在其他特徵中,該一或更多控制器係配置以(i)當該真空傳輸模組的內部係處於該第三PP O 2時,允許該基板傳輸進入該第一處理模組,(ii)將該真空傳輸模組回填至該第一PP O 2,以及(iii)當該真空傳輸模組的該內部係處於該第一PP O 2時,允許將該基板從該第一處理模組傳輸至該第二處理模組。
在其他特徵中,該一或更多控制器係配置以:在降低該真空傳輸模組中的該腔室壓力時控制該一或更多幫浦及該等閥,以將該真空傳輸模組中的水蒸氣分壓(PP H 2O)從第一PP H 2O降低至第二PP H 2O;以及在將該真空傳輸模組回填至該第三腔室壓力時,在允許該基板傳輸進入該第一處理模組之前,控制該等閥以將該真空傳輸模組回填至第三PP H 2O。
在其他特徵中,該一或更多控制器係配置以基於該真空傳輸模組的該腔室壓力而調整該真空傳輸模組中的該PP H 2O。在其他特徵中,該第三PP H 2O係小於或等於4e-6 T。
在其他特徵中,該一或更多幫浦包括第一幫浦。該等閥包括第一閥,且該第一閥係連接至該第一幫浦及該真空傳輸模組。該一或更多控制器係配置以控制該第一閥的狀態,以抽降至該第二PP H 2O。
在其他特徵中,該一或更多幫浦包括第二幫浦。該等閥包括第二閥,且該第二閥係連接至該第二幫浦。該一或更多控制器係配置以控制該第二閥的狀態,以抽降至該第一PP H 2O。
在其他特徵中,該一或更多控制器係配置以控制該第一閥的該狀態與該第二閥的該狀態的其中至少一者,以從該第一PP H 2O抽降至第四PP H 2O。該第四PP H 2O係小於該第一PP H 2O,但大於該第二PP H 2O。該一或更多控制器係配置以控制該第一閥的該狀態,並且關閉該第二閥,以抽降至該第二PP H 2O。
在其他特徵中,該基板處理工具更包括質量流量控制器。該等閥的其中一者係與該質量流量控制器串聯連接,且係位於該回填源與該真空傳輸模組之間。該質量流量控制器係配置以調整從該回填源往該真空傳輸模組的該惰性氣體的流量。該一或更多控制器係配置以控制該質量流量控制器及該等閥的其中該一者的運作,以供應該惰性氣體,使該真空傳輸模組回填至該第三PP H 2O。
在其他特徵中,該基板處理工具更包括複數處理模組,該等處理模組包括該第一處理模組及第二處理模組。該等處理模組係配置以執行不同各自類型的基板處理。該真空傳輸模組係連接至該等處理模組。該真空傳輸模組係配置以將該基板從該第一處理模組傳輸至該第二處理模組。
在其他特徵中,該一或更多控制器係配置以(i)當該真空傳輸模組的內部係處於該第三PP H 2O時,允許該基板傳輸進入該第一處理模組,(ii)將該真空傳輸模組回填至該第一PP H 2O,以及(iii)當該真空傳輸模組的該內部係處於該第一PP H 2O時,允許將該基板從該第一處理模組傳輸至該第二處理模組。
在其他特徵中,該一或更多控制器係配置以:在降低該真空傳輸模組中的該腔室壓力時,進一步控制該一或更多幫浦及該等閥,以將該真空傳輸模組中的PP O 2從第一PP O 2降低至第二PP O 2;以及在將該真空傳輸模組回填至該第三腔室壓力時,在允許該基板傳輸進入該第一處理模組之前,進一步控制該複數閥以將該真空傳輸模組回填至第三PP O 2
在其他特徵中,該第一處理模組係配置以使用前驅物蒸氣以執行薄膜沉積處理,其中該前驅物蒸氣對於涉及氧化劑的反應具有敏感性。
在其他特徵中,該一或更多幫浦包括渦輪幫浦及水幫浦。在其他特徵中,該一或更多幫浦包括渦輪幫浦,但不包括水幫浦。在其他特徵中,該一或更多幫浦包括水幫浦。在其他特徵中,該一或更多幫浦僅包括單一幫浦。
在其他特徵中,該一或更多幫浦包括從該真空傳輸模組泵抽氣體所用的二或更多幫浦。在其他特徵中,該惰性氣體包括氬氣。
在其他特徵中,該一或更多幫浦包括:渦輪幫浦;以及進線水幫浦,在該真空傳輸模組與該渦輪幫浦之間串聯連接。該等閥的其中一者係在該進線水幫浦與該渦輪幫浦之間串聯連接。
在其他特徵中,該基板處理工具更包括配接器,且該配接器係連接至該真空傳輸模組。該一或更多幫浦包括:渦輪幫浦,以及進線水幫浦,在該真空傳輸模組與該渦輪幫浦之間串聯連接;以及該等閥的其中一者係在該配接器與該進線水幫浦之間串聯連接。
在其他特徵中,所揭示的是一種基板處理工具,其包括:第一處理模組;真空傳輸模組,與該第一處理模組連接;一或更多幫浦;回填源;以及一或更多控制器。該一或更多控制器係配置以:控制該一或更多幫浦,以將該真空傳輸模組中的PP O 2從第一PP O 2降低至第二PP O 2,接著在准許基板傳輸進入該第一處理模組之前,利用惰性氣體將該真空傳輸模組回填至第三PP O 2;在將該真空傳輸模組回填後,驅使該基板從該真空傳輸模組傳輸至該第一處理模組; 以及驅使該第一處理模組處理該基板。
在其他特徵中,該基板處理工具更包括複數閥,且該等閥係連接至該一或更多幫浦及該回填源。該一或更多控制器係配置以控制該一或更多幫浦及該等閥,以將該真空傳輸模組中的該PP O 2從該第一PP O 2降低至該第二PP O 2,接著在准許該基板傳輸進入該第一處理模組之前,利用該惰性氣體將該真空傳輸模組回填至該第三PP O 2
在其他特徵中,所揭示的是一種基板處理工具,其包括:第一處理模組;真空傳輸模組,與該第一處理模組連接;一或更多幫浦;回填源;以及一或更多控制器。該一或更多控制器係配置以:控制該一或更多幫浦,以將該真空傳輸模組中的PP H 2O從第一PP H 2O降低至第二PP H 2O,接著在准許基板傳輸進入該第一處理模組之前,利用惰性氣體將該真空傳輸模組回填至第三PP H 2O;在將該真空傳輸模組回填後,驅使該基板從該真空傳輸模組傳輸至該第一處理模組;以及驅使該第一處理模組處理該基板。
在其他特徵中,該基板處理工具更包括複數閥,且該等閥係連接至該一或更多幫浦及該回填源。該一或更多控制器係配置以控制該一或更多幫浦及該等閥,以將該真空傳輸模組中的該PP H 2O從該第一PP H 2O降低至該第二PP H 2O,接著在准許該基板傳輸進入該第一處理模組之前,利用該惰性氣體將該真空傳輸模組回填至該第三PP H 2O。
在其他特徵中,該一或更多控制器係配置以基於該真空傳輸模組的該腔室壓力而調整該真空傳輸模組中的該PP H 2O。
在其他特徵中,所揭示的是一種基板處理工具的操作方法,其包括:在真空傳輸模組處接收基板,其中該真空傳輸模組係連接至第一處理模組及第二處理模組;經由一或更多幫浦將該真空傳輸模組從第一腔室壓力抽降至第二腔室壓力;利用惰性氣體將該真空傳輸模組從該第二腔室壓力回填至第三腔室壓力;將該基板從該真空傳輸模組傳輸至該第一處理模組;在該第一處理模組中,對該基板執行第一類型的基板處理;在該第一處理模組中對該基板進行處理後,將該基板從該第一處理模組傳輸回到該真空傳輸模組;利用該惰性氣體將該真空傳輸模組從該第三腔室壓力回填至第一腔室壓力;以及將該基板從該真空傳輸模組傳輸至該第二處理模組。
在其他特徵中,該方法更包括在該第一處理模組中對該基板進行處理時,保持該真空傳輸模組中的該第三腔室壓力。
在其他特徵中,該方法更包括:將該真空傳輸模組抽降至該第二腔室壓力係包括將該真空傳輸模組從第一PP O 2抽降至第二PP O 2;利用該惰性氣體將該真空傳輸模組回填至該第三腔室壓力係包括將該真空傳輸模組從該第二PP O 2回填至第三PP O 2;以及利用該惰性氣體將該真空傳輸模組回填至該第一腔室壓力係包括將該真空傳輸模組從該第三PP O 2回填至該第一PP O 2
在其他特徵中,該一或更多幫浦包括渦輪幫浦及水幫浦。該第二PP O 2係藉由下列至少一步驟所提供:將該真空傳輸模組的腔室壓力降低至小於3e-5 T的壓力,或是將該渦輪幫浦及該水幫浦運行預定時間段,以從該真空傳輸模組抽取氣體。
在其他特徵中,在其他特徵中,該方法更包括:將該真空傳輸模組抽降至該第二腔室壓力係包括將該真空傳輸模組從第一PP H 2O抽降至第二PP H 2O;利用該惰性氣體將該真空傳輸模組回填至該第三腔室壓力係包括將該真空傳輸模組從該第二PP H 2O回填至第三PP H 2O;以及利用該惰性氣體將該真空傳輸模組回填至該第一腔室壓力係包括將該真空傳輸模組從該第三PP H 2O回填至該第一PP H 2O。
在其他特徵中,該一或更多幫浦包括渦輪幫浦及水幫浦。該第二PP H 2O係藉由下列至少一步驟所提供:將該真空傳輸模組的腔室壓力降低至小於3e-5 T的壓力,或是將該渦輪幫浦及該水幫浦運行預定時間段,以從該真空傳輸模組抽取氣體。
在其他特徵中,所揭示的是一種基板處理工具,其包括:真空傳輸模組,配置以將基板在第一處理模組,與暫存區及第二處理模組的其中至少一者之間傳輸;一或更多幫浦;鐘擺閥,配置以控制從該真空傳輸模組往該一或更多幫浦的流體的流動;回填源;第一吹淨閥,配置以控制從該回填源往該真空傳輸模組的流體的流動;水幫浦,配置以使冷板冷卻,該冷板係設置以將該真空傳輸模組內的水抽出;以及一或更多控制器,配置以控制該一或更多幫浦、該鐘擺閥、該第一吹淨閥及該水幫浦,以將該冷板再生。
在其他特徵中,該一或更多控制器係配置以在運行該一或更多幫浦時,停用該水幫浦並且將該鐘擺閥及該第一吹淨閥保持在開啟狀態,以加熱該冷板並將水從該冷板及該真空傳輸模組移除。
在其他特徵中,該一或更多控制器係配置以判斷該冷板的再生完成的時間,以及是否要開啟該真空傳輸模組;以及在該冷板的再生完成後,配置以(i)響應於判斷該真空傳輸模組要被開啟而關閉該鐘擺閥及該第一吹淨閥,或是(ii)響應於判斷該真空傳輸模組不被開啟而將該鐘擺閥及該第一吹淨閥保持在開啟狀態。
在其他特徵中,該一或更多控制器係配置以判斷該真空傳輸模組不被開啟,且作為回應而將該鐘擺閥在開啟控制模式(open controlling mode)中運作。
在其他特徵中,該基板處理工具更包括排氣閥。該一或更多控制器係配置以判斷該真空傳輸模組要被開啟,且作為回應而將該排氣閥開啟,以在該真空傳輸模組被開啟前升高該真空傳輸模組內的壓力。在其他特徵中,該冷板係被設置在該真空傳輸模組中。
在其他特徵中,該基板處理工具更包括:水幫浦隔離腔室,附接至該真空傳輸模組;以及隔離閥,配置以將該真空傳輸模組的內部與該水幫浦隔離腔室的內部分隔開。該冷板係被設置在該水幫浦隔離腔室中。
在其他特徵中,該一或更多控制器係配置以在使用該冷板將該真空傳輸模組內的水抽出的期間,開啟該隔離閥。在其他特徵中,該一或更多控制器係配置以在該冷板的再生期間關閉該隔離閥。
在其他特徵中,該基板處理工具更包括水幫浦粗抽閥及第二吹淨閥。該一或更多控制器係配置以在該冷板的再生期間開啟該水幫浦粗抽閥及該第二吹淨閥。在其他特徵中,該一或更多控制器係配置以在將該真空傳輸模組對大氣開放時,關閉該隔離閥。
在其他特徵中,該基板處理工具更包括水幫浦粗抽閥及第二吹淨閥。該一或更多控制器係配置以在將該真空傳輸模組對大氣開放時開啟該水幫浦粗抽閥及該第二吹淨閥,以將該水幫浦隔離腔室保持處於比大氣壓力低的壓力。
本揭示的進一步應用領域將從實施方式、申請專利範圍及圖式而顯而易知。該實施方式及特定示例的用意係作為說明,而不在於限制本揭示的範圍。
基板處理工具可以包括真空傳送模組(VTM),其係與複數處理模組連接。該等處理模組的各者可以執行相同或不同類型的基板處理,例如沉積或蝕刻處理。在一些情況下,VTM係被保持在恆定壓力下,而允許在同一工具的處理模組之間傳輸基板。
蝕刻處理模組及沉積處理模組係在各種不同的處理壓力及基板傳輸壓力下運作。藉由將複數處理模組耦接至單一VTM上,基板能夠在執行順序性處理的複數處理模組之間傳輸。舉例而言,可以在第一處理模組中對基板執行第一沉積處理,接著經由VTM將該基板傳輸至第二處理模組,而在該第二處理模組處可以執行第二沉積處理。這與在不同的獨立專用工具的處理模組之間傳輸基板不同,其中基板係被移出第一工具的真空環境,暴露於大氣環境,經由容器而被運輸至次一工具,接著在該次一工具的真空環境中被傳輸至次一處理模組。這會使基板暴露於不同環境,並且可能會導致基板表面損傷。經由VTM而從第一處理模組到第二處理模組的直接傳輸不會使基板暴露在大氣環境中,並且會減少佇列時間(或是介於複數順序性處理之間的時間)。在與同一VTM連接的複數處理模組之間進行直接傳輸還會減少基板暴露於該VTM內的環境的時間,而因此會減少基板表面的潛在污染及/或損傷。
將複數處理模組整合至單一工具中及同一VTM上會造成一些技術挑戰。舉例而言,可能會有問題係相關於:將VTM在不同壓力之間進行切換,以適應不同的處理模組壓力及相關腔室壓力。此外,由於所執行的處理操作的長度不同,因此安排複數處理模組之間的基板傳輸,及在該等處理模組處的基板處理可能是困難的。
在某些應用中,所執行的處理會導致基板表面對H 2O及O 2具有敏感性。作為示例,基板可以在第一處理模組中進行預清潔,且因此具有對H 2O及O 2具有高敏感性的表面。接著,可能需要將基板傳輸至沉積處理模組。由於具有高敏感性的表面的緣故,因此需要在低H 2O及O 2含量的環境中傳輸該基板。此外,基板排序(sequencing)需要被迅速完成,從而以最低成本達到工具的基板產量。
對於H 2O及O 2的存在具有高敏感性的一些基板處理可以在使用複數VTM的工具上執行,且該等VTM係能夠在高真空層級[1e-7 Torr(T)]下運行。可將低溫水幫浦與渦輪幫浦結合使用,以將VTM中的壓力降低至高真空層級。在一些實施例中,與VTM連接的複數處理模組係被設計用於高真空壓力,且在該等處理模塊之間的基板傳輸相對於設定傳輸壓力而言係相對簡單的。
一些新興應用需要使用在升高且不同真空層級下進行運作的相同或不同類型的處理模組。不同類型的處理模組係執行不同類型的處理,例如清潔、沉積及蝕刻處理。舉例而言,順序性處理可能會需要範圍介在20毫托(mT)與90 T之間的VTM傳輸壓力。在某些情況下,這些應用的工具可能會需要每小時至少25個基板的產量。此產量僅係提供作為示例,且產量可以小於每小時25個基板。一些工具可具有二或更多各種類型的處理模組(例如,二個清潔處理模組、二個沉積處理模組及二個蝕刻處理模組)。在一些情況下,當不同類型的處理模組之間的產量不平衡時,或是針對多餘量而改善工具產量,可以包括二個以上各種類型的處理模組。
本文中闡述的示例包括基板處理工具,其中該基板處理工具選擇性地提供低的水分壓(partial pressure,PP)及低的PP O 2的環境,從而在複數處理模組之間傳輸基板。在一些實施例中,該環境係被提供在複數VTM中,其中該等VTM各自連接至複數處理模組。基板能夠在執行相同及/或不同類型的基板處理的相同或不同類型的複數處理模組之間迅速傳輸。在一示例中,基板可以在乾淨環境中從預清潔模組傳輸至沉積模組,其中該乾淨環境具有小於4e-6 T的PP H 2O,小於1e-5 T的PP O 2,以避免對已清潔的基板表面造成損傷。
根據本揭示的一些實施例的基板傳輸腔室(在一些實施例中稱為VTM)係被連接/耦接至複數處理模組,其中這些處理模組係在大範圍的壓力下運作。因此,需要大範圍的相關VTM傳輸壓力以適應不同的操作條件。VTM工具係配置以在這些處理模組之間進行傳輸的期間,在VTM中維持選定範圍內的低H 2O及O 2層級。舉例而言,VTM工具能夠將PP H 2O層級保持在例如介於200 T至2.05e-7 T的範圍內,並且在該範圍內的不同壓力層級之間切換。
這些示例的其中一些包括使用水幫浦來協助抽除水蒸氣,並且減少在VTM內達到目標壓力層級的時間。大氣中的氣體,例如氮(N 2)、O 2、H 2O(水蒸氣)等,會穿過各種 O形密封件而不停滲漏至VTM中。在一些實施例中,這些氣體必須被去除,尤其是在傳輸期間可能會造成基板表面缺陷的氣體。水蒸氣經常是被偵測到的主要氣體分子。基板傳輸腔室中的水蒸氣很難被去除,因為它傾向黏附於腔室壁,並且會隨機釋放(稱為釋氣)。在一些情況下,將腔室進行加熱可以減少此釋氣作用,但會帶來其他相關的技術挑戰。
本文中揭示的一些示例包括使用渦輪分子(或渦輪)幫浦及水幫浦(例如,低溫水幫浦)來去除某些氣體,以及降低VTM內的總壓力。在一些實施例中,VTM的總腔室壓力可被迅速降低到5e-6 T,接著利用一或更多惰性氣體[例如,氬氣(Ar 2)]回填至特定的升高傳輸壓力。這產生低H 2O及O 2含量的環境,供應給待在單一工具的複數處理模塊之間進行傳輸的基板使用。取決於所使用的應用,回填物可以包括一或更多惰性氣體,例如氬氣、氮氣及/或其他合適的惰性氣體。與渦輪幫浦相比,低溫水幫浦係更有效率地將水蒸氣從腔室去除。雖然渦輪幫浦能夠去除水蒸氣,但是渦輪幫浦可能主要還是用於去除水蒸氣之外的氣體。渦輪幫浦也可用於去除回填VTM所用的惰性氣體。
藉由提供一種被配置以選擇性且可控制地為某些基板的傳輸創造低的PP H 2O及PP O 2的環境的工具,對於基板表面的損害被減少,且在複數處理模組之間的傳輸係在對產量造成最小影響的情況下執行。所述的選擇性允許具有不同傳輸壓力偏好的處理模組被整合於單一工具中,以在不同類型的處理模組中進行基板處理。
圖1顯示根據本揭示的一些實施例的被配置以提供低PP H 2O及O 2環境的基板處理工具100。基板處理工具100包括VTM 102、複數處理模組(processing module,PM)104、真空傳輸系統(vacuum transfer system,VTS)106及其他工具模組108。VTM 102係經由門部110而連接至PM 104及VTS 106。雖然未顯示,但門部110的開啟及關閉可被控制器120所控制。在一些實施例中,控制器120還控制著VTM 102內的壓力,以及對於VTM 102的一或更多氣體供應。
在一些實施例中,控制器120可經由一或更多幫浦(例如,粗抽幫浦130、渦輪幫浦132及水幫浦134的其中一或多者)及複數閥(例如,第一閥136、第二閥138及第三閥139)以控制VTM 102內的壓力。在所顯示的示例中,渦輪幫浦132、粗抽幫浦130及第一閥136和第三閥139係串聯連接。第一閥136係經由管線(或導管)140而連接至VTM 102,以及該第一閥136係連接至渦輪幫浦132的輸入部。第二閥138係在第一端處與VTM 102連接,以及在第二端處與第三閥139的輸出部及粗抽幫浦130的輸入部連接。粗抽幫浦130可以從(i)渦輪幫浦132抽取氣體,及/或(ii)經由相應管線(或導管)而將氣體抽取通過閥136、138及139。
在一些實施例中,第一閥136可被稱為鐘擺閥(pendulum valve)。第二閥138可被稱為節流閥。第三閥139可被稱為隔離閥。當VTM 102內的腔室壓力大於或等於預定壓力(例如,90 T)時,可將隔離閥139關閉。當渦輪幫浦以高的每分鐘轉數(revolutions-per-minute,RPM)(例如,20,000 RPM)旋轉,且鐘擺閥136係關閉著時,隔離閥139被關閉以防止渦輪幫浦132的背側(或輸出部)上的高反壓(back pressure)。這係用於防止對渦輪幫浦132造成損害。
控制器120可藉由對VTM 102進行回填,從而進一步控制壓力。在一實施例中,此事項係藉由調整回填氣體(例如,一或更多惰性氣體)的流動而達成。在一實施例中,使用氬氣作為回填氣體。如圖所示,控制器120可控制質量流量控制器(MFC)145、第四閥141及第五閥143的操作。第四閥141係在VTM 102與回填源142之間串聯連接。第五閥143係與MFC 145及第四閥141並聯連接。回填源142可包括例如一或更多閥、一或更多氣罐等,並且可儲存及/或供應一或更多氣體,例如一或更多惰性氣體。MFC 145及閥141係用於對VTM 102提供已知量的氣體。第五閥143係用於迅速提升VTM 102內的壓力。控制器120係基於VTM 102內的腔室壓力所欲提升的速率,從而控制閥141及143的開啟狀態。在一實施例中,使用通用壓力控制器(universal pressure controller),以藉由閥141來控制反壓。
在一實施例中,控制器120控制著MFC 145及閥141,從而對VTM 102提供連續的吹淨氣體(例如,一或更多惰性氣體,像是Ar)。該吹淨流將VTM 102內的任何污染物稀釋,包括將水蒸氣及/或其他氣體稀釋,其中這些污染物可能會因為從VTM 102的壁上釋氣,及/或在VTM 102的密封處洩漏,從而滲進VTM 102。舉例而言,這可能會在VTM 102內的腔室壓力從第一腔室壓力[例如,20~40毫托(mT)]轉變成第二壓力(例如,12 T)的期間及/或之後發生,其中該第一腔室壓力係與基板傳輸進出第一處理模組有關,而該第二壓力係與基板傳輸進出第二處理模組有關。在實施例中,閥143在從第一腔室壓力轉變至第二腔室壓力期間係被關閉著。
在一實施例中,當VTM 102內的壓力接近第二腔室壓力,或是落在該第二腔室壓力的預定範圍內時,閥143被關閉。在實施例中,回填氣體被開啟,以經由MFC 145及閥141提供固定的吹淨流,而閥136、138被節流以控制VTM 102內的腔室壓力。這可在所述的基板傳輸期間進行,且被完成以避免殘留的PM處理氣體從PM腔室回流至VTM 102中。在晶圓於PM與VTM 102之間傳輸的期間,PM的壓力係低於VTM 102的壓力。
基板處理系統100可進一步包括第一限流器147及第六閥149。該第一限流器會對於通過第六閥149而朝向VTM 102的空氣流動產生限制。第六閥149是排氣閥,其係在VTM 102被打開之前提高VTM 102內的壓力。
控制器120可以將閥136、138、139、141、143及149的狀態在完全開啟狀態與完全關閉狀態之間進行控制。控制器120可以對閥136、138、139、141、143及149的開啟狀態進行節流及/或調整(例如,調整節流板的位置)。作為示例,控制器120可以調整第一閥136的開啟狀態,以維持VTM 102內的設定目標壓力。這可以包括對於從VTM 102抽取的氣體流動進行調節。閥136、138、139、141、143及149可以被調整到部分開啟狀態,使得閥136、138、139、141、143及149並非被完全開啟或完全關閉。閥136、138、139、141及143的其中一或多者可以是自密封的,並且係作為隔離目的使用。舉例而言,閥136、138及139可以是自密封性閥(或是包括密封件),使得閥136、138及139能夠將粗抽幫浦130與VTM 102隔離。雖然閥136及138被顯示為耦接至VTM 102的側壁,但是閥136及138係可以連接至VTM 102的底壁。
水幫浦134可以是低溫水幫浦,其係配置以泵抽水蒸氣,且係被耦接至VTM 102。如圖所示,水幫浦134係連接至VTM 102的側壁,但是該水幫浦134可以連接至例如VTM 102的底壁。在一些實施例中,水幫浦134包括密封板150,其中該密封板150係經由耦接器[有時將其稱為冷頭(cold head)]157而耦接至冷板152。冷板152並未附接至VTM 102的內壁156,而是藉由密封板150而懸置在VTM 102的真空環境中。在冷板152與VTM 102的相鄰表面之間存在著間隙,使來自該冷板152的熱傳輸最小化。
冷板152使密封板150的表面積提高,並且吸取來自VTM 102內的熱及水蒸氣。水幫浦134可以將冷板152保持在例如凱式12度(K)。水幫浦134抽取水蒸氣,但不抽取其他氣體,例如N 2、O 2及Ar。針對在分子流動狀態(flow regime)壓力中的運作效率目的,水幫浦134具有對於VTM 102內部的直接視線。在一些實施例中,經由壓縮機153而對水幫浦134提供經壓縮的氦(He),以將板152冷卻。壓縮機153係透過供應及返回管線155而與水幫浦134連接,且該壓縮機153可以被控制器120所控制。
該等PM 104的各者可被配置以在基板上執行一或更多相應處理。在一實施例中,該等PM 104係分別執行清潔、沉積及蝕刻處理。雖然針對各種類型的處理顯示單一PM 104(即,一個PM係用於清潔,一個PM係用於沉積,而一個PM係用於蝕刻),但是可將多於一個的PM連接至VTM 102以進行各種類型的處理。作為示例,該等PM 104可以包括第一PM PM1、第二PM PM2及第三PM PM3。PM1可以執行預清潔處理。PM2可以執行沉積處理。PM3可以執行蝕刻處理。該等PM 104包括各自的處理腔室158。
暫存區160可以附接至VTM 102,並且儲存基板。作為示例,當發生與該等PM 104的時間衝突,及/或與VTS 106的操作的時間衝突時,可將一或更多基板儲存在暫存區160中及/或將一或更多基板從暫存區160移除。基板可以暫時儲存在暫存區160中:在該等PM 104的處理操作之間;在從VTS 106接收基板之後;及/或在基板處理完成之後,且在基板返回VTS 106之前。可通過控制器120所控制的門部162來存取暫存區160。在一實施例中,未包括往暫存區160的門部,而暫存區160對於VTM 102的內部是開放的。暫存區160可以使用在圖4的方法期間,例如在VTM 102與VTS 106之間、在VTM 102與該等PM 104之間,及/或經由VTM 102而在該等PM 104之間移動基板時。
傳輸機器人164係位於VTM 102內,並且可用於將基板移進移出VTS 106、該等PM 104及暫存區160。傳輸機器人164可以具有不同的端效器及相應手臂配置。傳輸機器人164可包括一或更多手臂,以及一或更多端效器。在所顯示的示例中,傳輸機器人164包括二個端效器170。該等端效器170可以位在同一手臂上,或是位在不同手臂上。該等端效器170可以彼此成不同的角度。舉例而言,第一端效器可相對於第二端效器,或是得以180°遠離該第二端效器。
VTS 106及/或其他工具模組108可以包括一或更多額外機器人,其中所述機器人係設置以將基板(i)從裝載站傳輸至氣室及/或負載鎖室,以及(ii)從負載鎖室傳輸至PM及/或VTS 106。其他工具模組108可以包括例如其他PM、大氣至真空(atmosphere-to-vacuum,ATV)傳輸模組、設備前端模組(EFEM)、除氣模組、負載鎖室模組、氣室等。
在圖1所顯示的示例中,VTM 102具有六邊形配置,具有六個側壁;然而,在一些實施例中,VTM 102可以具有不同數量的側壁。VTM 102的尺寸可以被改變,從而較佳地容納不同數量的PM。該等PM 104、水幫浦134及暫存區160被顯示成處於以方位角方式圍繞著VTM 102的特定配置中。該等PM 104、水幫浦134及暫存區160可以處於不同的配置中。
壓力感測器172可與VTM 102耦接,並且檢測VTM 102內的腔室壓力。壓力感測器172與VTM 102的耦接可以是直接或間接的。控制器120得以壓力感測器172的輸出為基礎,從而調整幫浦130、132及134的操作、調整MFC 145,及/或調整閥136、138、139、141及143的狀態。在另一實施例中,幫浦130、132、134、MFC 145及/或閥136、138、139、141、143是經由時序計劃(timing schedule)所控制。該控制可基於感測器172的輸出而提供,或是獨立於感測器172的輸出而提供。在一實施例中,幫浦130、132、134的其中一或多者係被保持在開啟(ON)狀態且進行運作,而VTM 102內的腔室壓力係經由MFC 145及閥136、138、139、141、143進行調整。在一實施例中,例如係將水幫浦134保持開啟狀態且進行運作。作為另一示例,粗抽幫浦130及渦輪幫浦132的其中至少一者係被保持在開啟狀態且進行運作。
也可以包括溫度感測器174及/或其他感測器176,例如門部感測器。溫度感測器174可用於檢測冷板152及/或水幫浦134的溫度。門部感測器可用於檢測例如VTM 102的蓋部(或門部)178開啟及關閉的時間點。所感測到的資訊可以例如在執行將水幫浦134再生(regenerate),並據此將冷板152上的任何結凍的水移除的操作期間使用。圖9中顯示出示例性水幫浦再生方法。可以包括使用者輸入裝置179,接收輸入以啟動水幫浦再生。作為示例,使用者輸入裝置179可以是觸控板、鍵盤、滑鼠、觸控螢幕、顯示器等。水幫浦134可替代性地以下述的自動方式進行再生。
在一些實施例中,基板處理工具100可包括殘餘氣體分析器(residual gas analyzer,RGA)180。RGA 180對 VTM 102內的氣體混合物進行採樣,並且判斷該氣體混合物的元素、以及元素(或氣體)的比率。接著,RGA 180及/或控制器120可以基於已判斷的比率而判斷PP H 2O及/或PP O 2。RGA系統可以藉由該氣體混合物的體積及/或原子氣體單位(atomic gas unit)以判斷氣體分子。RGA系統可包括質譜儀,以及用於測量氣體壓力的一或更多壓力感測器(例如,壓力計)。RGA系統可用於測量微量雜質。RGA系統可以藉由在各原子通過四重極(quadrupole)時感測該原子的重量,從而測量壓力。RGA系統可用於確認在將基板傳輸至處理模組、在複數處理模組之間傳輸,及/或從處理模組傳輸之前所存在的某些分壓。該確認可以在執行例如下方所述的圖3的方法期間所執行的傳輸之前被RGA系統及/或控制器120執行。作為示例,在圖3的方法期間所執行的操作及傳輸的次序可以基於已判斷的PP H 2O及/或PP O 2。當PP H 2O及/或PP O 2在針對個別傳輸的預定範圍內時,執行該等傳輸。雖然圖3主要係針對腔室壓力進行描述,但是這些操作可以單獨基於PP H 2O及/或PP O 2而執行,或是基於PP H 2O及/或PP O 2並結合已判斷的腔室壓力而執行。
該等PM 104的各者可具有各自的泵抽系統190。泵抽系統190可以各自包括將腔室158內的壓力抽降所用的一或更多幫浦。泵抽系統190可以包括針對控制腔室158的抽降所用的一或更多控制器。泵抽系統190可以與控制器120及/或中間界面(例如,使用者界面)通信。泵抽系統190可用於將腔室158內的壓力保持低於VTM 102內的腔室壓力。這避免腔室158內的氣體進入VTM 102,且有助於維持VTM 102內的整潔環境。
圖2顯示一圖表,包括(i)使用渦輪幫浦,但未使用水幫浦進行抽降的PP H 2O的圖200,以及(ii)使用渦輪幫浦及水幫浦進行抽降的PP H 2O的圖202。該渦輪幫浦及該水幫浦可分別指代圖1的幫浦132及134。水幫浦134的使用允許較迅速降低壓力,並且降低至較低壓力(或較高真空層級)。從圖200、圖202及表1可以看出,與不使用水幫浦134時相比,使用水幫浦134可以得到較低的PP H 2O。這係顯示在表1的第三行中。表1的第2行顯示,使用水幫浦134時的基礎壓力或最小壓力也比未使用水幫浦134時的基礎壓力或最小壓力更低。基礎壓力指的是在沒有回填/吹淨氣體被輸送至VTM 102時,腔室(或VTM)內的氣體的穩定狀態總壓力,而PP H 2O指的是該腔室中的水蒸氣的名目壓力(notional pressure)。當所有的氣體輸送被關閉且VTM 102被長時間抽降時,該基礎壓力被建立。基礎壓力可以指的是由於洩漏及釋氣效應而將VTM 102抽降至的最低壓力。表1的第4行顯示,藉由使用水幫浦134,將相應腔室(或 VTM)內的腔室壓力從 12T抽降至低於5e-06 T的時間係明顯少於不使用水幫浦134的情況。
啟用的幫浦 基礎壓力 PP H 2O 從 12T抽降至低於5e-06 T
渦輪幫浦 9.7e-07 T 2.8e-05 T 113秒
渦輪幫浦及水幫浦 2.05e-07 T 3.9e-06 T 74秒
[表1]:針對不同幫浦配置的示例性PP H 2O及抽降時間。
圖3顯示根據本揭示的一些實施例的針對不同PM之間的基板傳輸所實施的選擇性PP H 2O及O 2控制處理。該方法提供動態壓力控制,其使用複數幫浦、MFC及閥。針對在該等PM中所執行的相同或不同類型的基板處理操作,該等PM可以處於不同的壓力。雖然針對腔室壓力、PP H 2O及PP O 2進行描述,但其他氣體的PP也會因為粗抽幫浦及渦輪幫浦的運作而被調整。
該方法可以從300開始,且這些操作可以針對複數基板而反覆執行。這些操作可以被圖1的控制器120執行。雖然下方的操作主要是針對將腔室壓力調整至某範圍內及/或具有某數值而進行敘述,但是PP H 2O及PP O 2也會因為進行泵抽及回填而被調整(減少或增加)。PP H 2O及PP O 2係小於相應的腔室壓力,並且尤其會因為所執行的回填及吹淨操作而可能明顯小於這些腔室壓力。
在302時,控制器120可以判斷VTM 102內的一或更多壓力是否小於或等於相應的一或更多第一預定壓力。該一或更多壓力可以包括腔室壓力、PP H 2O及/或PP O 2。在一實施例中,此操作僅基於腔室壓力而執行。在另一實施例中,此操作係基於腔室壓力、PP H 2O及/或PP O 2而執行。若所述壓力不小於或等於該一或更多第一預定壓力,則可以執行操作304;否則,可以執行操作306。
在304時,控制器120可以控制第一閥136、第二閥138、一或更多粗抽幫浦(例如,圖1及圖5的粗抽幫浦130及502的其中一或多者)及/或水幫浦134的狀態,從而抽降到至少該一或更多第一壓力(例如,第一腔室壓力、第一PP H 2O及第一PP O 2)。這可以經由一或更多粗抽幫浦及任選的水幫浦134而達成。第二閥138在此操作期間係處於開啟狀態。作為示例,可使用粗抽幫浦以抽降至第一腔室壓力(例如,至約10~14 T)、第一PP H 2O及/或第一PP O 2。在一實施例中,第一腔室壓力係被抽降或調整至12 T。第一腔室壓力係以圖4中的區段405表示。圖4示出了包括VTM 102內的腔室壓力隨時間變化的示例腔室壓力圖。圖4顯示出示例腔室壓力圖,其包括在VTM 102內隨時間變化的腔室壓力。在一些實施例中,當VTM 102內的腔室壓力小於預定閾值(例如,小於200 T)時,水幫浦134可被單獨使用,或是與渦輪幫浦及/或粗抽幫浦結合使用。在一些實施例中,可以在操作304之後再次執行操作302,直到VTM 102中的壓力等於或小於該預定閾值。
在306時,控制器120控制著VTM 102中的傳輸機器人164,以從VTS 106取回基板。在此操作期間,VTM 102內的腔室壓力可被保持在第一腔室壓力。
在308時,控制器120將基板保持在VTM 102中,並且控制著第一閥136及第二閥138,並啟動水幫浦134以將VTM 102抽降至一或更多第二腔室壓力(例如,第一腔室壓力、第二PP H 2O及第二PP O 2)。第二腔室壓力可以小於或等於5e-6 T。第二PP H 2O及第二PP O 2小於5e-6 T。在實施例中,第二腔室壓力落在5e-6 T的預定範圍內。預定腔室壓力範圍可為5e-6 T±5e-7 T。在另一實施例中,第二腔室壓力是在第一閥136處於開啟狀態的情況下運行幫浦132及134一段預定時間(例如,74秒±5秒)時所提供的壓力。
在一些實施例中,會期望盡快從第一腔室壓力(例如,約12 T)抽降至第二腔室壓力(例如,等於或小於5e-6 T)。如表1中所顯示,本揭示的實施例(例如,同時或依序運行渦輪幫浦及水幫浦)能夠使工具快速地將腔室壓力降低至所欲的腔室壓力(例如,在大約74秒內)。減少過渡期間(例如,圖4中的409及411區段)所消耗的時間可增加工具的總產量。在某些情況下,較快調整腔室壓力可以使工具能夠執行需要較快的過渡時間的配方。
在一實施例中,除了控制閥136、138及139的開啟狀態之外,控制器120還控制粗抽幫浦及渦輪幫浦132的操作。在另一實施例中,幫浦130及132係被保持在開啟及運作狀態,且控制器120控制閥136、138及139的其中一或多者的開啟狀態,以抽降該VTM 102。在一實施例中,粗抽幫浦係用於抽降至第三預定腔室壓力[例如,500毫托(mT)],接著渦輪幫浦132係用於抽空至第二腔室壓力,如圖4所顯示。在第三腔室壓力下,可將第二閥138關閉及/或將粗抽幫浦關閉。到達第二腔室壓力的抽空係由圖4的區段409所顯示。
在310時,控制器120使基板保持在VTM 102中,並控制第三閥140以將VTM 102回填至第四腔室壓力(例如,20~90 mT)。在一實施例中,第四腔室壓力為20 mT,對應PP H 2O為7.18E-07 T,伴隨Ar的MFC吹淨流量為300標準立方公分/分鐘(sccm)。此操作係由圖 4 的區段 411 所表示。利用惰性氣體進行回填會稀釋任何殘留的污染物氣體,例如H 2­O、O 2、N 2等。在一實施例中,在操作310結束時,PP H 2O小於4e-6 T,PP O 2小於1e-5 T。
在312時,控制器120將VTM 102中的基板移動到第一PM(例如,PM1)中。第一處理模組的腔室可以處於或接近第四腔室壓力。在第一初始晶圓正在基板處理系統中進行處理的情況下(即,沒有基板位於第一預清潔PM中),可以在操作308及310之前執行操作312,並且從VTM傳輸第一基板102並將其放入第一PM(例如,PM 104)中進行預清潔。當第一初始基板最初被接收在VTM 102中時,該VTM 102中的PP H 2O可以不處於低壓下。接著,控制器120在抽降及回填VTM 102的同時並行地(即,同時地)抽降該PM。抽降操作及回填操作可類似於上述操作308及310。VTM 102的抽降及回填係確保當基板從預清潔PM中取出時,VTM 102中的PP H 2O係處於可接受的層級。接著,可以將初始基板傳輸至例如第二處理模組,同時將另一基板傳輸至預清潔模組中。作為示例,操作314可以在這些傳輸之後針對後續(或次一)基板執行。
在314時,控制器120可以啟動第一PM中的第一基板處理(例如,預清潔處理)的執行,並對其進行控制。在316時,控制器120判斷第一處理操作是否完成。若判斷為是,則執行操作318。
在318時,控制器120控制對於第一PM的基板交換。傳輸機器人164可以將基板(第一基板)從第一PM移除,並以先前接收的次一(或第二)基板替換該第一基板。這允許在第一PM中處理第二基板,而在第二PM(例如,PM2)中處理第一基板。在執行操作312、314、316及318的同時,控制器120可以維持著第四腔室壓力。
操作312、314、316及318係由圖4的區段419所表示。在操作312、314、316及318期間,可經由MFC 145及第四閥141提供回填氣體的連續吹淨流,從而進一步稀釋任何的污染物氣體,並且使這些氣體的分壓為低的。
在320時,控制器120控制MFC 145及第四閥141,以利用惰性氣體(例如,氬)將VTM 102回填至第一腔室壓力(例如,10~14 T),這係由圖4的區段421所表示。在另一實施例中,第一腔室壓力為10 T,相應第一PP H 2O為 2.27E-07 T,伴隨Ar 的MFC吹淨流量為4500 sccm。在另一實施例中,第一腔室壓力為12 T,而相應第一PP H 2O、相應第一PP O 2及Ar 的MFC吹淨流量為4500 sccm。利用惰性氣體所進行的回填會稀釋任何殘留的污染物氣體,例如H 2­O、O 2、N 2等。先前的操作創造出乾淨環境,並且避免在對基板執行下列處理操作之前對該基板的表面造成損傷,以及例如允許適當地形成後續沉積層。可以針對在執行相同或不同類型的基板處理的複數PM之間的基板傳輸而執行所述的抽降操作及回填操作。
在322時,控制器120將第一基板移動至第二PM(例如,沉積PM)中。在324時,控制器120可以控制第二PM中的第一基板的初始化及處理。作為示例,第二PM可以被配置以在接近第一腔室壓力的壓力範圍內,或是在該第一腔室壓力下執行沉積處理。在326時,控制器120判斷第二處理操作是否完成。若判斷為是,則執行操作328。
在328時,控制器120控制對於第二PM的基板交換。傳輸機器人164可以將第一基板(或先前的基板)從第二PM移除,並且利用可能已經從第一PM收集的次一(或第二)基板替換該第一基板。雖然所描述的正在執行的是單一沉積處理,但是在執行操作330之前可以執行例如蝕刻處理的其他處理及/或可以執行額外的沉積處理。
操作322、324、326及328係由圖4的區段429所表示。在操作322、324、326及328期間,可經由MFC 145及第四閥141提供回填氣體的連續吹淨流,從而進一步稀釋任何的污染物氣體,並且使這些氣體的分壓為低的。
在330時,控制器120可以接著控制傳輸機器人164,以將第一基板移動至次一PM;或者,若該第一基板的處理完成,則執行對於VTS 106的晶圓交換。這包括將已處理的第一基板送回到VTS,並且從該VTS接收另一基板。在操作330期間,可經由MFC 145及第四閥141提供回填氣體的連續吹淨流,從而進一步稀釋任何的污染物氣體。在一些實施例中,操作302可以在操作330之後執行。
在一實施例中,且在上述處理期間,可以在粗抽泵將腔室壓力降低至第一腔室壓力及/或將PP H 2O降低至第一PP H 2O之後,連續運行水幫浦134,如圖4所顯示。作為結果,水幫浦134將洩漏至VTM 102中的水蒸氣或釋氣至VTM 102中的水蒸氣連續地去除,而不影響腔室壓力及/或PP H 2O。
在一些實施例中,控制器120被配置以提供腔室壓力的手動及/或自動控制。在一些實施例中,控制器120被配置以控制閥、幫浦及回填源,從而基於操作者的輸入,或是已儲存的配方而達成所欲的腔室壓力。在一些實施例中,控制器可基於壓力感測器(例如,圖1中的172)檢測到的壓力數值而自動執行壓力調整。在一些實施例中,控制器可以儲存針對複數配方的各種壓力設定。如此一來,可以在選擇配方後自動識別壓力設定。在一些實施例中,一或更多警報指示器或一或更多警報可以與控制器相關聯。當一或更多步驟被遲誤或無法完成、無法達到所欲壓力(例如,圖3中的一或更多步驟)時,警報指示器可運作以提供通知。在一些實施例中,警報指示器可以在抽降或回填時間長於預定閾值時提供通知。舉例而言,若預期的抽降時間約為74秒,誤差2秒,則可以在抽降時間長於76秒時產生通知。在這種情況下,在一些實施例中,控制器120可以運行自我診斷(self-diagnostic),從而判斷哪個構件可能導致該問題(例如,閥或幫浦的其中一者)。
雖然圖3的方法及圖4的圖表係關於水幫浦的使用,但是可以在不使用水幫浦的情況下執行類似操作。舉例而言,可以單獨使用渦輪幫浦132,而第一PP H 2O、第二PP H 2O、第三PP H 2O及第四PP H 2O可以比使用水幫浦時的情況更高。作為另一示例,第二腔室壓力可以是3e-5 T,而不是5e-6 T。作為另一示例,渦輪幫浦可以運行更長的時間段,及/或第一閥136可以被保持在開啟狀態更長時間,從而允許進一步降低壓力。作為另一示例,可以使用較大的渦輪幫浦及/或複數渦輪幫浦,並將其並聯,以協助補償未使用水幫浦的不足處。
圖1的基板處理工具100允許在對水蒸氣及O 2不具敏感性的複數處理操作之間的傳輸期間,經由粗抽幫浦130及第二閥138或經由渦輪幫浦132及第一閥136而將VTM 102進行抽降。舉例而言,當腔室壓力大於500 mT時,可以控制(或調節)粗抽幫浦130及第二閥138。當腔室壓力小於500 mT且大於20~90 mT時,可以控制(或調節)渦輪幫浦及第一閥136。這種針對各次基板傳輸的VTM內部環境純度的選擇性及可控制性提供靈活性,使對於產量的影響最小化。廣泛的壓力選擇性還針對哪些PM係能夠被實施以解決應用需求的方面創造出靈活性。
在實施例中,在將基板從被配置以執行預清潔處理的第一處理模組傳送至被配置以執行沉積處理的第二處理模組時,執行圖3的方法。在第二處理模組中進行金屬化之前,可以執行預清潔處理以去除基板上的原生氧化層。第二處理模組中所執行的金屬化(或沉積)處理可以是物理氣相沉積(PVD)、化學氣相沉積(CVD)處理或原子層沉積(ALD)處理。在另一實施例中,在其中一處理模組中執行薄膜沉積處理之前,執行圖3的方法及/或其一部分。薄膜沉積處理可包括前驅物蒸氣化學品的使用,其中該前驅物蒸氣化學品對於涉及氧化劑(例如,水蒸氣、雙原子氧、二氧化碳及一氧化碳)的反應具有敏感性。在與相應的PM進行相互作用之前,藉由將VTM 102抽降至低的H 2O的PP,接著利用惰性氣體回填,所述涉及氧化劑的反應被最小化。
圖5顯示基板處理工具500,其係配置以提供低PP H 2O及O 2的環境。基板處理工具500類似於圖1的基板處理工具100,但是基板處理工具500不是包括單一粗抽幫浦130,而是包括兩個粗抽幫浦(幫浦130及502),而兩個粗抽幫浦各自係被控制器120所控制。不像第一粗抽幫浦130從閥139及138將氣體從VTM 102抽出,第一粗抽幫浦130係用於從第三閥139抽取氣體,而第二粗抽幫浦502係用於從第二閥138抽取氣體。
基板處理工具500包括VTM 102、複數PM 104、VTS 106及其他工具模組108。控制器120可以經由二或更多幫浦(例如,粗抽幫浦130及502、渦輪幫浦132及水幫浦134的其中二或更多者)及複數閥(例如,第一閥136、第二閥138及第三閥139)來控制VTM 102內的壓力。在所顯示的示例中,渦輪幫浦132、第一粗抽幫浦130及閥136、139係被串聯連接。第二閥138及第二粗抽幫浦502係被串聯連接,並且係與閥136、139及第一粗抽幫浦130處於分開的獨立泵抽路徑中。
基板處理工具500還可以包括MFC 145、第四閥141及第五閥143,其從回填源142接收氣體。水幫浦134包括與冷卻板152連接的密封板150。經由壓縮機153以向水幫浦134提供壓縮氦(He),從而冷卻該板152。壓縮機153係利用供應及返回管線155而與水幫浦134連接,且該壓縮機153可以被控制器120控制。基板處理系統500可以進一步包括第一限流器147及第六閥149。
PM 104包括各自的處理腔室158。暫存區160可以附接至VTM 102,並且儲存基板。可以經由門部162而存取暫存區160。傳輸機器人164係位於VTM 102內,並且可以用於將基板移動進出VTS 106、PM 104及暫存區160。在所顯示的示例中,傳輸機器人164包括二個端效器170。
壓力感測器172可與VTM 102附接,並且檢測VTM 102內的腔室壓力。控制器120得以壓力感測器172的輸出為基礎,從而調整幫浦130、132、134及502的操作、調整MFC 145,及/或調整閥136、138、139、141及143的狀態。在另一實施例中,幫浦130、132、134、502、MFC 145及/或閥136、138、139、141、143是經由時序計劃所控制。該控制可基於感測器172的輸出而提供,或是獨立於感測器172的輸出而提供。在一實施例中,幫浦130、132、134、502的其中一或多者係被保持在開啟狀態且進行運作,而VTM 102內的腔室壓力係經由MFC 145及閥136、138、139、141、143進行調整。在一實施例中,例如係將水幫浦134保持開啟狀態且進行運作。作為另一示例,粗抽幫浦130、502及渦輪幫浦132的其中至少一者係被保持在開啟狀態且進行運作。基板處理工具500可以包括RGA 180。該等PM 104可以具有泵抽系統190。
也可以包括溫度感測器174及/或其他感測器176,例如門部感測器。與圖1的實施例一樣,在例如執行以再生水幫浦134的操作期間,可以使用感測器174及176所檢測到的資訊,包括冷板152及/或水幫浦134的溫度,以及VTM 102的蓋部178的狀態。圖9中顯示出示例性水幫浦再生方法。可以包括使用者輸入裝置179,接收輸入以啟動水幫浦再生。水幫浦134可替代性地以下述的自動方式進行再生。
基板處理工具500允許在對水蒸氣及O 2不具敏感性的複數處理操作之間的傳輸期間,經由粗抽幫浦502及第二閥138或經由渦輪幫浦132及第一閥136而將VTM 102進行抽降。舉例而言,當腔室壓力大於500 mT時,可以控制(或調節)粗抽幫浦502及第二閥138。當腔室壓力小於500 mT且大於20~90 mT時,可以控制(或調節)渦輪幫浦及第一閥136。這種針對各次基板傳輸的VTM內部環境純度的選擇性及可控制性提供靈活性,使對於產量的影響最小化。廣泛的壓力選擇性還針對哪些PM係能夠被實施以解決應用需求的方面創造出靈活性。
下方的圖6~7包括根據本揭示的一些實施例的替代配置,其可以取代例如(i)圖1的閥136、139、138及幫浦132、130、134及/或(ii)圖5的閥136、139、138及幫浦132、130、502、134。
圖6顯示基板處理工具600的一部分,其包含被設置在VTM(例如,圖1及5的VTM 102)的壁與渦輪幫浦606之間的進線水幫浦602。在一些實施例中,該壁是VTM的頂壁或側壁的其中一者。在一些實施例中,該壁是VTM的底壁603。第一(或鐘擺)閥608可以被設置在進線水幫浦602與渦輪幫浦606之間。進線水幫浦602與渦輪幫浦606係共軸的。在一實施例中,進線水幫浦602、第一閥608及渦輪幫浦606係堆疊在VTM下方,使得進線水幫浦602直接連接至底壁603,第一閥608直接連接至進線水幫浦602,而渦輪幫浦606直接連接至第一閥608。在一實施例中,配接器未連接在進線水幫浦602與底壁603之間,因為進線水幫浦602係配置以直接連接至底壁603。
第二閥610可以連接至渦輪幫浦606,並且被設置在渦輪幫浦606與粗抽幫浦612之間。粗抽幫浦612可以連接至第三閥614,而第三閥614係連接至底壁603。作為連接至閥614的粗抽幫浦612的替代方案,可將第二粗抽幫浦616連接到閥614。在一個實施例中係包括兩個粗抽幫浦612、616。
第二閥610可以經由管線(或導管)618而連接至粗抽幫浦612。在一實施例中,渦輪幫浦606、第二閥610及粗抽幫浦612係堆疊且直接連接在一起。幫浦602、606及612與閥608、610係串聯連接。管線618及/或粗抽幫浦612可經由管線(或導管)620而連接至第三閥。粗抽幫浦616可經由管線(或導管)622而連接至第三閥614。
幫浦602、606、612、616及閥608、610、614可以被控制器120控制,並且結合圖1的MFC 145及閥141、143,以類似於本文所揭示的其他幫浦及閥的方式運作,從而提供例如圖4中所顯示及本文其他處所提及的壓力控制。在一些實施例中,進線水幫浦602包括內部冷板(未顯示),並且得以與圖1及圖5的水幫浦134類似的方式運作。渦輪幫浦606得以與圖1及5的渦輪幫浦132類似的方式運作。粗抽幫浦612、616得以與粗抽幫浦130、502類似的方式運作。
圖7顯示基板處理工具700的一部分,其包含被設置在第一(或鐘擺)閥704與渦輪幫浦706之間的進線水幫浦702。進線水幫浦702與渦輪幫浦706係共軸的。配接器708可以設置在VTM(例如,圖1或圖5的VTM 102)的底壁709與閥704之間。在一實施例中,配接器708、第一閥704、進線水幫浦702及渦輪幫浦706係堆疊在VTM下方,使得配接器708直接連接至底壁709,閥704直接連接至配接器708,進線水幫浦702直接連接至閥704,而渦輪幫浦706直接連接至進線水幫浦702。配接器708允許閥704直接連接至底壁709。
第二閥710可以連接至渦輪幫浦706,並且被設置在渦輪幫浦706與粗抽幫浦712之間。在一實施例中,渦輪幫浦706、第二閥710及粗抽幫浦712係堆疊且直接連接在一起。配接器708、幫浦702、706及712與閥704、710係串聯連接。粗抽幫浦712可連接至第三閥714,而該第三閥714係連接至底壁709。作為連接至閥714的粗抽幫浦712的替代方案,可將第二粗抽幫浦716連接到閥714。在一個實施例中係包括兩個粗抽幫浦712、716。
第二閥710可以經由管線(或導管)718而連接至粗抽幫浦712。管線718及/或粗抽幫浦712可經由管線(或導管)720而連接至第三閥。粗抽幫浦716可經由管線(或導管)722而連接至第三閥714。
幫浦702、706、712、716及閥704、710、714可以被控制器120控制,並且結合圖1的MFC 145及閥141、143,以類似於本文所揭示的其他幫浦及閥的方式運作,從而提供例如圖4中所顯示及本文其他處所提及的壓力控制。進線水幫浦702包括內部冷板(未顯示),並且得以與圖1及圖5的水幫浦134類似的方式運作。渦輪幫浦706得以與圖1及5的渦輪幫浦132類似的方式運作。粗抽幫浦712、716得以與粗抽幫浦130、502類似的方式運作。
圖8及11的表格,以及圖9及12的方法包括複數步驟及操作的實行例。這些步驟及操作包括運行渦輪幫浦132。當渦輪幫浦132運行時,第三閥139係開啟的,且粗抽幫浦130也在運行中。圖9及12的方法可以在執行本文所揭示的其他方法之前或之後執行。
圖8顯示在水幫浦再生之前及期間所執行的第一操作表格。第一表格的步驟可以在圖9的方法期間實施,並且可以被例如圖1及5的基板處理系統100及500執行。可以執行步驟1~4,以在不使用如圖10所顯示的水幫浦隔離腔室及/或水幫浦隔離閥的情況下將水幫浦再生。當例如對VTM 102的內部執行維修時,可以執行步驟5~7。VTM 102內的壓力被提升至大氣壓,且將VTM 102對大氣開放。
使水幫浦134再生係包括將圖1及/或圖5的冷板152的溫度從處於或低於冰點的溫度[例如,凱式270度(°K)]提升至高於冰點的溫度(例如,環境溫度或293°K)。,冰層會隨時間堆積在冷卻板152上。堆積的層越多,該冷板收集水的效率就越低。執行再生以去除這些層,並且使冷板152回到基線狀態,在該基線狀態下去除水係最有效的。在步驟1期間,基板處理系統(或工具)正在處理基板(將其稱為「製造中」),而水幫浦134正在冷卻該冷板152,以收集水。在步驟2中,再生開始進行,水幫浦134被停用並因此不再冷卻該冷板152。冷板152的溫度因此在步驟3期間升高至冰點之上的溫度。在步驟3期間,從冷板152流出的水係經由第一(或鐘擺)閥136及渦輪幫浦132而被抽出VTM 102。在步驟4時,冷板152處於峰值溫度(例如,環境溫度),並且完成再生。
欲開啟VTM 102時,在步驟5時開啟排氣(或第六)閥149。這會使VTM 102內的壓力提高,而允許開啟VTM 102。VTM 102中的壓力從小於0.5 T提高至大氣壓(或760 T)。在步驟6期間,壓力持續上升,直到在步驟7時壓力處於大氣壓力且VTM 102被打開(例如,蓋部178對大氣開放)。在步驟1~4期間,鐘擺閥136係開啟的。在步驟5~7期間,鐘擺閥136係關閉的。表格1中的吹淨流量指的是通過吹淨閥141的流量。
圖9顯示在不使用水幫浦隔離腔室及隔離閥的情況下執行水幫浦再生的方法。圖9的方法與表格1對應,並且可以使用圖1及圖5的基板處理系統100或500而實施。該方法可以被控制器120實施。
該方法從900開始。在902時,冷板152被冷卻至第一選定溫度(例如,小於或等於270°K),其中該第一選定溫度係等於或低於製造操作所用的凍結溫度。步驟902對應於表格1中的步驟1。鐘擺閥136係在開啟控制模式中運作,其中鐘擺閥136係至少部分開啟的,且鐘擺閥136開啟的量可以變化。吹淨閥141係開啟的(例如,完全開啟),而節流閥138係關閉的。渦輪幫浦132正在運行。
在904時,控制器120判斷水幫浦再生是否啟動。若已啟動,則可以執行操作906;否則,可以執行操作902。水幫浦再生可被使用者經由使用者輸入裝置179啟動,或是自動啟動。舉例而言,控制器120可以在(i)水幫浦134已經運行預定的時間段後將水幫浦再生,及/或(ii)在水幫浦已運行的期間已處理預定數量的基板後將水幫浦再生。
在906時,控制器120將水幫浦134停用,將鐘擺閥136及吹淨閥141保持在開啟狀態,並且將節流閥138保持在關閉狀態。渦輪幫浦132可以被保持在運行狀態。
在908時,控制器120判斷冷板152的溫度是否已經從等於或低於冰點的第一溫度提升至高於冰點的第二溫度。若判斷為是,則可以執行操作910。在910時,控制器120可以判斷冷板是否高於冰點持續一預定時間段。該預定時間段確保冷板152上的冰已經融化。若是高於冰點持續該預定時間段,則可以執行操作912。
在912時,控制器120判斷是否已經接收到將VTM 102開啟的指令。該指令例如可以來自於輸入設備179。若判斷為是,則執行操作914;否則,可以執行操作902。在914時,控制器120將鐘擺閥136及吹淨閥141關閉,將排氣閥149開啟,並將節流閥138保持在關閉狀態。在一實施例中,在開啟排氣閥149之前,鐘擺閥136係關閉的。渦輪幫浦132保持運行。這使得後續在VTM 102關閉時,將VTM 102抽降所需的時間最小化。
在916時,控制器120判斷VTM 102中的壓力是否從第一壓力提升至第二(或大氣壓)壓力。若判斷為是,則可以執行操作918。在918時,控制器120可以指示出VTM 102係準備好被開啟。這可以例如經由輸入設備179而完成。
在920時,控制器120可以判斷VTM 102是否關閉。若判斷為是,則可以執行操作922。在922時,控制器120將排氣閥149關閉,並抽降VTM 102,包括將鐘擺閥136在開啟控制模式中運行。吹淨閥141被開啟,而節流閥138保持在關閉狀態。渦輪幫浦132保持在運行狀態以抽降VTM 102。啟動水幫浦134,從而開始將冷板冷卻至第一(或低於冰點)溫度。在操作922之後,可以執行操作904。
圖10顯示基板處理工具1000,其包括VTM 1001,而該VTM 1001係經由水幫浦隔離閥1004而與水幫浦隔離腔室1002分離。雖然基板處理工具1000係與圖1的基板處理系統100以類似方式進行配置,但是它也可以與圖5的基板處理系統500以類似方式進行配置。基板處理系統1000還包括VTS 106、其他工具模組108、處理腔室158及暫存區160。門部110位於VTM 1001與處理腔室158之間。門部162位於VTM 1001與暫存區160之間。基板處理系統1000還包括粗抽幫浦130、渦輪幫浦132、閥136、138、139、141、143、149、回填源142、MFC 145、限流器147、蓋部178、RGA 180及泵抽系統190。
基板處理系統1000進一步包括水幫浦1010,該水幫浦1010具有冷板1012、冷頭1014及密封板1016。水幫浦1010係連接至壓縮機153。水幫浦隔離閥1004係用於在冷板1012的再生期間將冷板1012與VTM 1001的內部分隔開。控制器120係以來自感測器172、174、176及真空感測器(或開關)1020的回饋為基礎,從而控制冷板1012的再生。真空感測器1020可用於判斷水幫浦隔離腔室1002中的壓力。控制器120可以將在VTM 1001中的壓力與水幫浦隔離腔室1002中的壓力之間的差異為基礎,從而將水幫浦隔離閥1004開啟。若真空感測器1020被配置作為真空開關,則當水幫浦隔離腔室1002中的壓力處於真空時,控制器120可以避免將水幫浦隔離閥1004開啟,直到VTM 1001中的壓力匹配水幫浦隔離腔室1002內的壓力,或是VTM 1001中的壓力與水幫浦隔離腔室1002內的壓力的相差係落在預定範圍內。
基板處理系統1000還包括第七(或水幫浦粗抽)閥1030、第二限流器1032、第八(或水幫浦吹淨)閥1034及壓力調節器1036。第二限流器1032、水幫浦吹淨閥1034及壓力調節器1036係在回填源142與水幫浦隔離腔室1002之間串聯連接。當從水幫浦隔離腔室1002內抽取流體時,水幫浦粗抽閥1030被開啟。當水幫浦隔離腔室1002進行吹淨時,水幫浦吹淨閥1034被開啟。壓力調節器1036對於朝向水幫浦隔離腔室1002的流體流動進行調節。限流器1032對於朝向水幫浦隔離腔室1002的流體流動進行限制。
在表格2中,吹淨流量是指通過吹淨閥141的流量。當水幫浦吹淨閥1034開啟時,通過水幫浦吹淨閥1034的吹淨流量也可以是0~1000 sccm。
圖11顯示第二表格,其包括在水幫浦再生之前、期間及之後所執行的操作。第二表格的步驟可以在圖12的方法期間實施,並且可以由例如圖10的基板處理系統1000所執行。可以執行步驟1~4,以利用如圖10所示的水幫浦隔離腔室1002及水幫浦隔離閥1004來再生水幫浦。當不打算開啟VTM 1001時,可以執行步驟5a。在例如對VTM 1001的內部進行維修時,可以執行步驟5b~步驟7。VTM 1001內的壓力被提升至大氣壓,且VTM 1001對大氣開放。
將水幫浦1010進行再生係包括將圖10的冷板1012的溫度從等於或低於冰點的溫度[例如,凱式270度(°K)]提高至高於冰點的溫度(例如,環境溫度或293°K)。執行再生,從而將堆積在冷板1012上的冰層移除,並且使冷板1012回到基線狀態,在該基線狀態下去除水係最有效的。在步驟1期間,基板處理系統1000(或工具)正在處理基板(將其稱為「製造中」),而水幫浦1010正在冷卻該冷板1012,以收集水。水幫浦(water pump,WP)隔離閥1004係開啟的,而WP粗抽閥1030及WP吹淨閥1034係關閉的。
在步驟2中,再生開始進行,水幫浦1010被停用並因此不再冷卻該冷板1012。WP隔離閥1004係關閉的,且WP粗抽閥1030及WP吹淨閥1034係開啟的。冷板1012的溫度因此在步驟3期間升高至冰點之上的溫度。在步驟3期間,從冷板1012流出的水係經由WP粗抽閥1030及粗抽幫浦130而被抽出水幫浦隔離腔室1002。閥1030及1034係開啟的。在步驟4時,冷板處於峰值溫度(例如,環境溫度),並且完成再生。閥1030及1034係關閉的。在步驟5a時,水幫浦重新啟動,並且開始冷卻冷板1012。WP隔離閥1004係關閉的。這允許冷板1012從VTM 1001內抽取水。
欲開啟VTM 1001時,在步驟5b開啟排氣(或第六)閥149。閥1030及1034係開啟的。WP隔離閥1004係保持關閉的。這會使VTM 102內的壓力提高,而允許開啟VTM 102。VTM 102中的壓力從小於0.5 T提高至大氣壓(或760 T)。在步驟6期間,壓力持續上升,直到在步驟7時壓力處於大氣壓力且VTM 102被打開(例如,蓋部178對大氣開放)。在步驟1~4期間,鐘擺閥136係開啟的。在步驟5~7期間,鐘擺閥136係關閉的。
圖12顯示在使用水幫浦隔離閥的情況下執行水幫浦再生的方法。圖12的方法與圖11的第二表格對應,並且可以使用圖10的基板處理系統1000而實施。該方法可以被控制器120實施。
該方法可以從1200開始。在1202時,冷板152被冷卻至第一選定溫度(例如,小於或等於270°K),其中該第一選定溫度係等於或低於製造操作所用的凍結溫度。步驟1202對應於表格2中的步驟1。WP隔離閥1004係保持在開啟狀態。鐘擺閥136係在開啟控制模式中運作,其中鐘擺閥136係至少部分開啟的,且鐘擺閥136開啟的量可以變化。吹淨閥141係開啟的(例如,完全開啟),而WP吹淨閥1034、WP粗抽閥1030及節流閥138係保持在關閉狀態。渦輪幫浦132正在運行。
在1204時,控制器120判斷水幫浦再生是否啟動。若已啟動,則可以執行操作1206;否則,可以執行操作1202。如上述,水幫浦再生可被使用者經由使用者輸入裝置179啟動,或是自動啟動。
在1206時,控制器120將水幫浦134停用,將鐘擺閥136及吹淨閥141保持在開啟狀態,並且將節流閥138保持在關閉狀態。在實施例中,將WP隔離閥1004關閉,接著將閥1030及1034開啟。渦輪幫浦132可以被保持在運行狀態。粗抽幫浦130可在此操作期間運行。將WP隔離閥1004關閉係避免來自冷板1012的水進入VTM 1001。此外,藉由將閥1030及1034開啟且運行粗抽幫浦130,水被從水幫浦隔離腔室1002抽出。
在1208時,控制器120判斷冷板152的溫度是否已經從等於或低於冰點的第一溫度提升至高於冰點的第二溫度。若判斷為是,則可以執行操作1210。在1210時,控制器120可以判斷冷板是否高於冰點持續一預定時間段。該預定時間段確保冷板152上的冰已經融化。若是高於冰點持續該預定時間段,則可以執行操作1212。
在1212時,控制器120將WP隔離閥1004及節流閥1038保持在關閉狀態,以及將鐘擺閥136及吹淨閥141保持在開啟狀態。閥1030及1034係關閉著。
在1214時,控制器120判斷是否已經接收到將VTM 1001開啟的指令。該指令例如可以來自於輸入設備179。若判斷為是,則執行操作1218;否則,執行操作1216。
在1216時,控制器120開啟WP隔離閥1004,開始冷卻冷板1012,將閥1030、1034及1038保持在關閉狀態,並且將吹淨閥141保持在開啟狀態。鐘擺閥136係在開啟控制模式中運行。
在1218時,控制器120關閉鐘擺閥136及吹淨閥141,開啟排氣閥149,將節流閥138及WP隔離閥1004保持在關閉狀態,並且開啟WP粗抽閥1030及WP吹淨閥1034。在一實施例中,在開啟排氣閥之前,將鐘擺閥136關閉。粗抽幫浦130可在此操作期間運行。藉由開啟閥1030及1034,水幫浦隔離腔室1002的壓力係保持在大氣壓之下(例如,處在小於0.5 T的壓力)。這會在VTM 1001開啟時發生。這會使後續將VTM 1001關閉時的抽降時間減少。啟動水幫浦134,從而開始將冷板1012冷卻至第一(或低於冰點)溫度。這會使後續關閉VTM 1001時冷卻冷板1012的時間最小化,並且保護冷板1012免受環境濕氣的影響。
在1220時,控制器120判斷VTM 1001中的壓力是否從第一壓力提升至第二(或大氣壓)壓力。若判斷為是,則可以執行操作1222。在1222時,控制器120可以指示出VTM 1001係準備好被開啟。這可以例如經由輸入設備179而完成。
在1224時,控制器120可以判斷VTM 1001是否關閉。若判斷為是,則執行操作1226。在1226時,控制器120將排氣閥149關閉,並抽降VTM 1001,包括將鐘擺閥136在開啟控制模式中運行。吹淨閥141被開啟,而節流閥138及WP ISO閥保持在關閉狀態。閥1030及1034保持在開啟狀態。渦輪幫浦132保持在運行狀態以抽降VTM 102。在操作1226之後,可以執行操作1228。
在1228時,控制器120可以判斷VTM中的壓力是否等於WP隔離腔室1002中的壓力,或是落在WP隔離腔室1002中的壓力的預定範圍內。若判斷為是,則執行操作1230。在1230時,控制器120將閥1030及1034關閉,並且將WP隔離閥1004開啟。控制器120將節流閥138及排氣閥149保持在關閉狀態,以及將吹淨閥141保持在開啟狀態。鐘擺閥136係在開啟控制模式中運行,且渦輪幫浦正在運行。在操作1230之後,可以執行操作1204。
前述的實施方式在本質上僅為說明性的,且並非意旨對本揭露、其應用或使用進行限制。本揭露的廣義教示得以各種形式而實施。因此,雖然本揭露包括特定示例,但本揭露的真實範圍應當不因此而受限,原因在於在對圖式、說明書及下列申請專利範圍的研讀後,其他的修正將變得顯而易知。應當理解,在不變更本揭露之原則的情況下,一方法中的一或更多步驟得以不同順序(或同時地)執行。此外,雖然係將各實施例在上方描述成具有某些特徵,但可將對於本揭露之任何實施例所描述的任一或更多這些特徵實施在及/或組合至任何其他實施例的特徵,即使該組合並未明確地描述。換言之,所描述的實施例並非是彼此互斥的,且一或更多實施例的彼此替換仍落入本揭露的範圍內。
在複數元件之間(例如,在模組、電路元件、半導體層等之間)的空間與功能性關係可使用各種術語來加以描述,包括「連接」、「接合」、「耦接」、「相鄰」、「在…旁」、「在…的頂部」、「上方」、「下方」及「設置在…」。除非明確地描述為「直接」,否則在上述揭露中描述第一與第二元件之間的關係時,該關係可為在第一與第二元件之間不存在其他中間元件的直接關係,亦可為在第一與第二元件之間存在一或更多中間元件(不論是空間性或功能性)的非直接關係。如本文中所使用,應該將詞組「A、B及C的至少一者」視為是代表使用非排他性的邏輯OR的邏輯(A或B或C),而不應該被視為是代表「至少一個A、至少一個B與至少一個C」。
在一些實行例中,控制器為系統的一部份,該系統可為上述示例的一部分。這樣的系統可包括半導體處理設備,該半導體處理設備包括一或更多處理工具、一或更多腔室、一或更多處理平台及/或特定處理構件(晶圓基座、氣體流動系統等)。這些系統可與電子元件進行整合,以在半導體晶圓或基板的處理之前、期間與之後控制它們的操作。所述電子元件可被稱為「控制器」,其可控制一或更多系統的各種構件或子部件。取決於處理需求及/或系統類型,可將控制器進行編程以控制本文所揭露的任何處理,包括處理氣體的輸送、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、定位及操作設定、對於一工具及其他傳輸工具及/或連接至或與特定系統相互連接的傳送室之晶圓傳輸進出。
廣義來說,可將控制器定義成具有各種積體電路、邏輯、記憶體及/或軟體的電子設備,以接收指令、發送指令、控制操作、啟動清潔操作、啟動終點測量等。所述積體電路可包括以韌體形式儲存程式指令的晶片、數位訊號處理器(DSP)、定義為特殊應用積體電路(ASIC)的晶片,及/或一或更多執行程式指令(例如,軟體)的微處理器或微控制器。程式指令可以是以各種獨立設定(或程式檔案)形式而傳送至控制器的指令,而定義出用於在半導體基板上、針對半導體基板或對系統執行特定步驟的操作參數。在一些實施例中,操作參數可係為由製程工程師所定義之配方的一部分,以在將一或更多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒進行加工的期間完成一或更多的處理步驟。
在一些實行例中,控制器可為電腦的一部分,或是耦接至該電腦,所述電腦係整合並耦接至所述系統,或係以其他方式網路連接至所述系統,或是其組合。例如,控制器可位於「雲端」中,或是FAB主電腦系統的全部或一部分中,而可允許基板處理的遠端存取。電腦可使對系統的遠端存取能夠監控加工操作的當前進程、檢視過去加工操作的歷史、檢視來自複數加工操作的趨勢或性能度量、變更當前處理的參數、設定當前處理之後的處理步驟,或是開始新的處理。在一些示例中,遠端電腦(例如,伺服器)可透過網路向系統提供處理配方,其中該網路可包括區域網路或網際網路。遠端電腦可包括使用者介面,而能夠對參數及/或設定進行輸入或編寫,所述參數及/或設定則接著從遠端電腦傳達至系統。在一些示例中,控制器接收數據形式的指令,所述指令為在一或更多操作期間待執行之每一處理步驟指定參數。應當理解的是,所述參數可特定於待執行的步驟類型,及控制器所配置以連接或控制的工具類型。因此,如上所述,控制器可例如藉由包括一或更多離散控制器而進行分佈,所述離散控制器係彼此以網路連接且朝向共同的目的(例如本文所述的步驟與控制)而運作。為此目的所分佈的控制器之示例將係位於腔室上的一或更多積體電路,其與遠端設置(例如,位於平台層或作為遠端電腦的一部分)且結合以控制腔室上之步驟的一或更多積體電路連通。
不具限制地,示例性系統可包括電漿蝕刻腔室或模組、沉積腔室或模組、旋轉–清洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、晶邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組,或可有關於或使用於半導體晶圓之加工及/或製造中的其他半導體處理系統。
如上所述,取決於工具所待執行的一或更多處理步驟,控制器可連通至一或更多其他工具電路或模組、其他工具組件、群集式工具、其他工具介面、相鄰工具、鄰近工具、遍布於工廠的工具、主電腦、另一控制器或材料輸送中所使用的工具,而將基板的容器帶進及帶出半導體製造工廠的工具位置、及/或裝載通口。
100:基板處理工具 102:VTM 104:處理模組(PM) 106:真空傳輸系統(VTS) 108:其他工具模組 110:門部 120:控制器 130:粗抽幫浦 132:渦輪幫浦 134:水幫浦 136:第一閥 138:第二閥 139:第三閥 140:管線 141:第四閥 142:回填源 143:第五閥 145:質量流量控制器(MFC) 147:第一限流器 149:第六閥 150:密封板 152:冷板 153:壓縮機 155:供應及返回管線 156:內壁 157:耦接器 158:處理腔室 160:暫存區 162:門部 164:傳輸機器人 170:端效器 172:壓力感測器 174:溫度感測器 176:其他感測器 178:蓋部 179:使用者輸入裝置 180:殘餘氣體分析器(RGA) 190:泵抽系統 200,202:圖 300~330:操作 405,409,411,419,421,429:區段 500:基板處理工具 502:粗抽幫浦 600:基板處理工具 602:進線水幫浦 603:底壁 606:渦輪幫浦 608:第一(或鐘擺)閥 610:第二閥 612:粗抽幫浦 614:第三閥 616:粗抽幫浦 618,620,622:管線 700:基板處理工具 702:進線水幫浦 704:第一(或鐘擺)閥 706:渦輪幫浦 708:配接器 709:底壁 710:第二閥 712:粗抽幫浦 714:第三閥 716:粗抽幫浦 718,720,722:管線 900~922:操作 1000:基板處理工具 1001:VTM 1002:水幫浦隔離腔室 1004:水幫浦隔離閥 1010:水幫浦 1012:冷板 1014:冷頭 1016:密封板 1020:真空感測器(或開關) 1030:第七(或水幫浦粗抽)閥 1032:第二限流器 1034:第八(或水幫浦吹淨)閥 1036:壓力調節器 1200~1230:操作
從實施方式及隨附圖式將會更完整理解本揭示,其中:
圖1係根據本揭示的示例基板處理工具的功能方塊圖,其中該基板處理工具包括單一粗抽幫浦,並且係配置以提供低的水分壓(PP H 2O)及低的氧(O 2)分壓的環境;
圖2係一圖表,包括分別使用水幫浦進行抽降的PP H 2O圖,以及未使用水幫浦進行抽降的PP H 2O圖;
圖3繪示根據本揭示的一些實施例的針對不同處理模組之間的基板傳輸所實施的選擇性PP H 2O及O 2處理;
圖4係一圖表,包括根據本揭示的一些實施例的腔室壓力圖;
圖5係根據本揭示的另一示例基板處理工具的功能方塊圖,其中該基板處理工具包括二個粗抽幫浦,且係配置以提供低的水分壓(PP H 2O)及低的氧(O 2)分壓的環境;
圖6係根據本揭示的一些實施例的基板處理工具的一部分的功能方塊圖,其中該基板處理工具包含被設置在真空傳輸腔室與渦輪幫浦之間的進線水幫浦(inline water pump);
圖7係根據本揭示的一些實施例的基板處理工具的一部分的功能方塊圖,其中該基板處理工具包含被設置在閥與渦輪幫浦之間的進線水幫浦;
圖8係根據本揭示的一些實施例的第一操作表格,其中該等操作係在水幫浦再生之前及期間所執行;
圖9繪示根據本揭示的一些實施例的在不使用水幫浦隔離腔室及隔離閥的情況下執行水幫浦再生的方法;
圖10係根據本揭示的一些實施例的基板處理工具的一部分的功能方塊圖,其中該基板處理工具包含水幫浦隔離腔室,伴隨水幫浦隔離閥;
圖11係第二表格,其包括在使用圖10的水幫浦隔離閥進行水幫浦再生之前、期間及之後所執行的操作;以及
圖12A~12B(統稱為圖12)繪示根據本揭示的一些實施例的在使用水幫浦隔離閥的情況下執行水幫浦再生的方法。
在這些圖式中,可將元件符號重複用於指明類似及/或相同的元件。
100:基板處理工具
102:VTM
104:處理模組(PM)
106:真空傳輸系統(VTS)
108:其他工具模組
110:門部
120:控制器
130:粗抽幫浦
132:渦輪幫浦
134:水幫浦
136:第一閥
138:第二閥
139:第三閥
140:管線
141:第四閥
142:回填源
143:第五閥
145:質量流量控制器(MFC)
147:第一限流器
149:第六閥
150:密封板
152:冷板
153:壓縮機
155:供應及返回管線
156:內壁
157:耦接器
158:處理腔室
160:暫存區
162:門部
164:傳輸機器人
170:端效器
172:壓力感測器
174:溫度感測器
176:其他感測器
178:蓋部
179:使用者輸入裝置
180:殘餘氣體分析器(RGA)
190:泵抽系統

Claims (62)

  1. 一種基板處理工具,包括: 第一處理模組; 真空傳輸模組,與該第一處理模組連接; 一或更多幫浦; 回填源;以及 一或更多控制器,配置以 控制該一或更多幫浦,以將該真空傳輸模組中的腔室壓力從第一腔室壓力降低至第二腔室壓力,接著在准許基板傳輸進入該第一處理模組之前,利用惰性氣體將該真空傳輸模組回填至第三腔室壓力, 在將該真空傳輸模組回填後,驅使該基板從該真空傳輸模組傳輸至該第一處理模組,以及 驅使該第一處理模組處理該基板。
  2. 如請求項1之基板處理工具,更包括複數閥,且該複數閥係連接至該一或更多幫浦及該回填源, 其中該一或更多控制器係配置以控制該一或更多幫浦及該複數閥,以將該真空傳輸模組中的該腔室壓力從該第一腔室壓力降低至該第二腔室壓力,接著在准許該基板傳輸進入該第一處理模組之前,利用該惰性氣體將該真空傳輸模組回填至該第三腔室壓力。
  3. 如請求項2之基板處理工具,其中: 該一或更多幫浦包括第一幫浦; 該複數閥包括第一閥,且該第一閥係連接至該第一幫浦及該真空傳輸模組;以及 該一或更多控制器係配置以控制該第一閥的狀態,以抽降(pump down)至該第二腔室壓力。
  4. 如請求項3之基板處理工具,其中: 該一或更多幫浦包括第二幫浦; 該複數閥包括第二閥,且該第二閥係連接至該第二幫浦;以及 該一或更多控制器係配置以控制該第二閥的狀態,以抽降至該第一腔室壓力。
  5. 如請求項4之基板處理工具,其中: 該一或更多控制器係配置以控制該第一閥的該狀態及該第二閥的該狀態的其中至少一者,以從該第一腔室壓力抽降至第四腔室壓力; 該第四腔室壓力小於該第一腔室壓力,但大於該第二腔室壓力;以及 該一或更多控制器係配置以控制該第一閥的該狀態,並且關閉該第二閥,以抽降至該第二腔室壓力。
  6. 如請求項2之基板處理工具,更包括質量流量控制器,其中: 該複數閥的其中一者係與該質量流量控制器串聯連接,且係位於該回填源與該真空傳輸模組之間,其中該質量流量控制器係配置以調整從該回填源往該真空傳輸模組的該惰性氣體的流量;以及 該一或更多控制器係配置以控制該質量流量控制器及該複數閥的其中該一者的運作,以供應該惰性氣體,使該真空傳輸模組回填至該第三腔室壓力。
  7. 如請求項2之基板處理工具,更包括複數處理模組,該複數處理模組包括該第一處理模組及第二處理模組,其中: 該複數處理模組係配置以執行不同各自類型的基板處理;以及 該真空傳輸模組係連接至該複數處理模組,其中該真空傳輸模組係配置以將該基板從該第一處理模組傳輸至該第二處理模組。
  8. 如請求項7之基板處理工具,其中: 該第一處理模組係配置以將該基板進行預清潔;以及 該第二處理模組係配置以執行沉積處理。
  9. 如請求項8之基板處理工具,其中該一或更多控制器係配置以(i)當該真空傳輸模組的內部係處於該第三腔室壓力時,允許該基板傳輸進入該第一處理模組,(ii)將該真空傳輸模組回填至該第一腔室壓力,以及(iii)當該真空傳輸模組的該內部係處於該第一腔室壓力時,允許將該基板從該第一處理模組傳輸至該第二處理模組。
  10. 如請求項9之基板處理工具,其中該一或更多控制器係配置以將回填氣體的連續吹淨流提供至該真空傳輸模組的該內部,以稀釋該真空傳輸模組的該內部中的一或更多污染物氣體,並且降低該一或更多污染物氣體的一或更多分壓。
  11. 如請求項7之基板處理工具,其中該一或更多控制器係配置以控制該複數閥的其中一者的運作,從而當該基板在該複數處理模組與該真空傳輸模組之間傳輸時,將該惰性氣體供應至該真空傳輸模組。
  12. 如請求項2之基板處理工具,其中該一或更多控制器係配置以: 在降低該真空傳輸模組中的該腔室壓力時控制該一或更多幫浦及該複數閥,以將該真空傳輸模組中的氧分壓(PP O 2)從第一PP O 2降低至第二PP O 2;以及 在將該真空傳輸模組回填至該第三腔室壓力時,在允許該基板傳輸進入該第一處理模組之前,將該真空傳輸模組回填至第三PP O 2
  13. 如請求項12之基板處理工具,其中該一或更多控制器係配置以基於該真空傳輸模組的該腔室壓力而調整該真空傳輸模組中的該PP O 2
  14. 如請求項12之基板處理工具,其中該第三PP O 2係小於或等於1e-5 T。
  15. 如請求項12之基板處理工具,其中: 該一或更多幫浦包括第一幫浦; 該複數閥包括第一閥,且該第一閥係連接至該第一幫浦及該真空傳輸模組;以及 該一或更多控制器係配置以控制該第一閥的狀態,以抽降至該第二PP O 2
  16. 如請求項15之基板處理工具,其中: 該一或更多幫浦包括第二幫浦; 該複數閥包括第二閥,且該第二閥係連接至該第二幫浦;以及 該一或更多控制器係配置以控制該第二閥的狀態,以抽降至該第一PP O 2
  17. 如請求項16之基板處理工具,其中: 該一或更多控制器係配置以控制該第一閥的該狀態與該第二閥的該狀態的其中至少一者,以從該第一PP O 2抽降至第四PP O 2; 該第四PP O 2係小於該第一PP O 2,但大於該第二PP O 2;以及 該一或更多控制器係配置以控制該第一閥的該狀態,並且關閉該第二閥,以抽降至該第二PP O 2
  18. 如請求項12之基板處理工具,更包括質量流量控制器,其中: 該複數閥的其中一者係與該質量流量控制器串聯連接,且係位於該回填源與該真空傳輸模組之間,其中該質量流量控制器係配置以調整從該回填源往該真空傳輸模組的該惰性氣體的流量;以及 該一或更多控制器係配置以控制該質量流量控制器及該複數閥的其中該一者的運作,以供應該惰性氣體,使該真空傳輸模組回填至該第三PP O 2
  19. 如請求項12之基板處理工具,更包括複數處理模組,該複數處理模組包括該第一處理模組及第二處理模組,其中: 該複數處理模組係配置以執行不同各自類型的基板處理;以及 該真空傳輸模組係連接至該複數處理模組,其中該真空傳輸模組係配置以將該基板從該第一處理模組傳輸至該第二處理模組。
  20. 如請求項19之基板處理工具,其中該一或更多控制器係配置以(i)當該真空傳輸模組的內部係處於該第三PP O 2時,允許該基板傳輸進入該第一處理模組,(ii)將該真空傳輸模組回填至該第一PP O 2,以及(iii)當該真空傳輸模組的該內部係處於該第一PP O 2時,允許將該基板從該第一處理模組傳輸至該第二處理模組。
  21. 如請求項2之基板處理工具,其中該一或更多控制器係配置以: 在降低該真空傳輸模組中的該腔室壓力時控制該一或更多幫浦及該複數閥,以將該真空傳輸模組中的水蒸氣分壓(PP H 2O)從第一PP H 2O降低至第二PP H 2O;以及 在將該真空傳輸模組回填至該第三腔室壓力時,在允許該基板傳輸進入該第一處理模組之前,控制該複數閥以將該真空傳輸模組回填至第三PP H 2O。
  22. 如請求項21之基板處理工具,其中該一或更多控制器係配置以基於該真空傳輸模組的該腔室壓力而調整該真空傳輸模組中的該PP H 2O。
  23. 如請求項21之基板處理工具,其中該第三PP H 2O係小於或等於4e-6 T。
  24. 如請求項21之基板處理工具,其中: 該一或更多幫浦包括第一幫浦; 該複數閥包括第一閥,且該第一閥係連接至該第一幫浦及該真空傳輸模組;以及 該一或更多控制器係配置以控制該第一閥的狀態,以抽降至該第二PP H 2O。
  25. 如請求項24之基板處理工具,其中: 該一或更多幫浦包括第二幫浦; 該複數閥包括第二閥,且該第二閥係連接至該第二幫浦;以及 該一或更多控制器係配置以控制該第二閥的狀態,以抽降至該第一PP H 2O。
  26. 如請求項25之基板處理工具,其中: 該一或更多控制器係配置以控制該第一閥的該狀態與該第二閥的該狀態的其中至少一者,以從該第一PP H 2O抽降至第四PP H 2O; 該第四PP H 2O係小於該第一PP H 2O,但大於該第二PP H 2O;以及 該一或更多控制器係配置以控制該第一閥的該狀態,並且關閉該第二閥,以抽降至該第二PP H 2O。
  27. 如請求項21之基板處理工具,更包括質量流量控制器,其中: 該複數閥的其中一者係與該質量流量控制器串聯連接,且係位於該回填源與該真空傳輸模組之間,其中該質量流量控制器係配置以調整從該回填源往該真空傳輸模組的該惰性氣體的流量;以及 該一或更多控制器係配置以控制該質量流量控制器及該複數閥的其中該一者的運作,以供應該惰性氣體,使該真空傳輸模組回填至該第三PP H 2O。
  28. 如請求項21之基板處理工具,更包括複數處理模組,該複數處理模組包括該第一處理模組及第二處理模組,其中: 該複數處理模組係配置以執行不同各自類型的基板處理;以及 該真空傳輸模組係連接至該複數處理模組,其中該真空傳輸模組係配置以將該基板從該第一處理模組傳輸至該第二處理模組。
  29. 如請求項28之基板處理工具,其中該一或更多控制器係配置以(i)當該真空傳輸模組的內部係處於該第三PP H 2O時,允許該基板傳輸進入該第一處理模組,(ii)將該真空傳輸模組回填至該第一PP H 2O,以及(iii)當該真空傳輸模組的該內部係處於該第一PP H 2O時,允許將該基板從該第一處理模組傳輸至該第二處理模組。
  30. 如請求項21之基板處理工具,其中該一或更多控制器係配置以: 在降低該真空傳輸模組中的該腔室壓力時,進一步控制該一或更多幫浦及該複數閥,以將該真空傳輸模組中的氧分壓(PP O 2)從第一PP O 2降低至第二PP O 2;以及 在將該真空傳輸模組回填至該第三腔室壓力時,在允許該基板傳輸進入該第一處理模組之前,進一步控制該複數閥以將該真空傳輸模組回填至第三PP O 2
  31. 如請求項2之基板處理工具,其中該一或更多幫浦包括: 渦輪幫浦;以及 進線水幫浦,在該真空傳輸模組與該渦輪幫浦之間串聯連接, 其中該複數閥的其中一者係在該進線水幫浦與該渦輪幫浦之間串聯連接。
  32. 如請求項2之基板處理工具,更包括配接器,且該配接器係連接至該真空傳輸模組,其中: 該一或更多幫浦包括 渦輪幫浦,以及 進線水幫浦,在該真空傳輸模組與該渦輪幫浦之間串聯連接;以及 該複數閥的其中一者係在該配接器與該進線水幫浦之間串聯連接。
  33. 如請求項1之基板處理工具,其中該第一處理模組係配置以使用前驅物蒸氣以執行薄膜沉積處理,其中該前驅物蒸氣對於涉及氧化劑的反應具有敏感性。
  34. 如請求項1之基板處理工具,其中該一或更多幫浦包括渦輪幫浦及水幫浦。
  35. 如請求項1之基板處理工具,其中該一或更多幫浦包括渦輪幫浦,但不包括水幫浦。
  36. 如請求項1之基板處理工具,其中該一或更多幫浦包括水幫浦。
  37. 如請求項1之基板處理工具,其中該一或更多幫浦僅包括單一幫浦。
  38. 如請求項1之基板處理工具,其中該一或更多幫浦包括從該真空傳輸模組泵抽氣體所用的二或更多幫浦。
  39. 如請求項1之基板處理工具,其中該惰性氣體包括氬氣。
  40. 一種基板處理工具,包括: 第一處理模組; 真空傳輸模組,與該第一處理模組連接; 一或更多幫浦; 回填源;以及 一或更多控制器,配置以 控制該一或更多幫浦,以將該真空傳輸模組中的氧分壓(PP O 2)從第一PP O 2降低至第二PP O 2,接著在准許基板傳輸進入該第一處理模組之前,利用惰性氣體將該真空傳輸模組回填至第三PP O 2, 在將該真空傳輸模組回填後,驅使該基板從該真空傳輸模組傳輸至該第一處理模組,以及 驅使該第一處理模組處理該基板。
  41. 如請求項40之基板處理工具,更包括複數閥,且該複數閥係連接至該一或更多幫浦及該回填源, 其中該一或更多控制器係配置以控制該一或更多幫浦及該複數閥,以將該真空傳輸模組中的該PP O 2從該第一PP O 2降低至該第二PP O 2,接著在准許該基板傳輸進入該第一處理模組之前,利用該惰性氣體將該真空傳輸模組回填至該第三PP O 2
  42. 一種基板處理工具,包括: 第一處理模組; 真空傳輸模組,與該第一處理模組連接; 一或更多幫浦; 回填源;以及 一或更多控制器,配置以 控制該一或更多幫浦,以將該真空傳輸模組中的水蒸氣分壓(PP H 2O)從第一PP H 2O降低至第二PP H 2O,接著在准許基板傳輸進入該第一處理模組之前,利用惰性氣體將該真空傳輸模組回填至第三PP H 2O, 在將該真空傳輸模組回填後,驅使該基板從該真空傳輸模組傳輸至該第一處理模組,以及 驅使該第一處理模組處理該基板。
  43. 如請求項42之基板處理工具,更包括複數閥,且該複數閥係連接至該一或更多幫浦及該回填源, 其中該一或更多控制器係配置以控制該一或更多幫浦及該複數閥,以將該真空傳輸模組中的該PP H 2O從該第一PP H 2O降低至該第二PP H 2O,接著在准許該基板傳輸進入該第一處理模組之前,利用該惰性氣體將該真空傳輸模組回填至該第三PP H 2O。
  44. 如請求項42之基板處理工具,其中該一或更多控制器係配置以基於該真空傳輸模組的腔室壓力而調整該真空傳輸模組中的該PP H 2O。
  45. 一種基板處理工具的操作方法,包括: 在真空傳輸模組處接收基板,其中該真空傳輸模組係連接至第一處理模組及第二處理模組; 經由一或更多幫浦將該真空傳輸模組從第一腔室壓力抽降至第二腔室壓力; 利用惰性氣體將該真空傳輸模組從該第二腔室壓力回填至第三腔室壓力; 將該基板從該真空傳輸模組傳輸至該第一處理模組; 在該第一處理模組中,對該基板執行第一類型的基板處理; 在該第一處理模組中對該基板進行處理後,將該基板從該第一處理模組傳輸回到該真空傳輸模組; 利用該惰性氣體將該真空傳輸模組從該第三腔室壓力回填至該第一腔室壓力;以及 將該基板從該真空傳輸模組傳輸至該第二處理模組。
  46. 如請求項45之基板處理工具的操作方法,更包括在該第一處理模組中對該基板進行處理時,保持該真空傳輸模組中的該第三腔室壓力。
  47. 如請求項45之基板處理工具的操作方法,更包括: 將該真空傳輸模組抽降至該第二腔室壓力係包括將該真空傳輸模組從第一氧分壓(PP O 2)抽降至第二PP O 2; 利用該惰性氣體將該真空傳輸模組回填至該第三腔室壓力係包括將該真空傳輸模組從該第二PP O 2回填至第三PP O 2;以及 利用該惰性氣體將該真空傳輸模組回填至該第一腔室壓力係包括將該真空傳輸模組從該第三PP O 2回填至該第一PP O 2
  48. 如請求項47之基板處理工具的操作方法,其中: 該一或更多幫浦包括渦輪幫浦及水幫浦;以及 該第二PP O 2係藉由下列至少一步驟所提供:將該真空傳輸模組的腔室壓力降低至小於3e-5 T的壓力,或是將該渦輪幫浦及該水幫浦運行預定時間段,以從該真空傳輸模組抽取氣體。
  49. 如請求項45之基板處理工具的操作方法,更包括: 將該真空傳輸模組抽降至該第二腔室壓力係包括將該真空傳輸模組從第一水分壓(PP H 2O)抽降至第二PP H 2O; 利用該惰性氣體將該真空傳輸模組回填至該第三腔室壓力係包括將該真空傳輸模組從該第二PP H 2O回填至第三PP H 2O;以及 利用該惰性氣體將該真空傳輸模組回填至該第一腔室壓力係包括將該真空傳輸模組從該第三PP H 2O回填至該第一PP H 2O。
  50. 如請求項49之基板處理工具的操作方法,其中: 該一或更多幫浦包括渦輪幫浦及水幫浦;以及 該第二PP H 2O係藉由下列至少一步驟所提供:將該真空傳輸模組的腔室壓力降低至小於3e-5 T的壓力,或是將該渦輪幫浦及該水幫浦運行預定時間段,以從該真空傳輸模組抽取氣體。
  51. 一種基板處理工具,包括: 真空傳輸模組,配置以將基板在第一處理模組,與暫存區及第二處理模組的其中至少一者之間傳輸; 一或更多幫浦; 鐘擺閥,配置以控制從該真空傳輸模組往該一或更多幫浦的流體的流動; 回填源; 第一吹淨閥,配置以控制從該回填源往該真空傳輸模組的流體的流動; 水幫浦,配置以使冷板冷卻,該冷板係設置以將該真空傳輸模組內的水抽出;以及 一或更多控制器,配置以控制該一或更多幫浦、該鐘擺閥、該第一吹淨閥及該水幫浦,以將該冷板再生。
  52. 如請求項51之基板處理工具,其中該一或更多控制器係配置以在運行該一或更多幫浦時,停用該水幫浦並且將該鐘擺閥及該第一吹淨閥保持在開啟狀態,以加熱該冷板並將水從該冷板及該真空傳輸模組移除。
  53. 如請求項51之基板處理工具,其中該一或更多控制器係配置以判斷該冷板的再生完成的時間,以及是否要開啟該真空傳輸模組;以及在該冷板的再生完成後,配置以(i)響應於判斷該真空傳輸模組要被開啟而關閉該鐘擺閥及該第一吹淨閥,或是(ii)響應於判斷該真空傳輸模組不被開啟而將該鐘擺閥及該第一吹淨閥保持在開啟狀態。
  54. 如請求項51之基板處理工具,其中該一或更多控制器係配置以判斷該真空傳輸模組不被開啟,且作為回應而將該鐘擺閥在開啟控制模式(open controlling mode)中運作。
  55. 如請求項51之基板處理工具,更包括排氣閥, 其中該一或更多控制器係配置以判斷該真空傳輸模組要被開啟,且作為回應而將該排氣閥開啟,以在該真空傳輸模組被開啟前升高該真空傳輸模組內的壓力。
  56. 如請求項51之基板處理工具,其中該冷板係被設置在該真空傳輸模組中。
  57. 如請求項51之基板處理工具,更包括: 水幫浦隔離腔室,附接至該真空傳輸模組;以及 隔離閥,配置以將該真空傳輸模組的內部與該水幫浦隔離腔室的內部分隔開, 其中該冷板係被設置在該水幫浦隔離腔室中。
  58. 如請求項57之基板處理工具,其中該一或更多控制器係配置以在使用該冷板將該真空傳輸模組內的水抽出的期間,開啟該隔離閥。
  59. 如請求項57之基板處理工具,其中該一或更多控制器係配置以在該冷板的再生期間關閉該隔離閥。
  60. 如請求項59之基板處理工具,更包括水幫浦粗抽閥及第二吹淨閥, 其中該一或更多控制器係配置以在該冷板的再生期間開啟該水幫浦粗抽閥及該第二吹淨閥。
  61. 如請求項57之基板處理工具,其中該一或更多控制器係配置以在將該真空傳輸模組對大氣開放時,關閉該隔離閥。
  62. 如請求項61之基板處理工具,更包括水幫浦粗抽閥及第二吹淨閥, 其中該一或更多控制器係配置以在將該真空傳輸模組對大氣開放時開啟該水幫浦粗抽閥及該第二吹淨閥,以將該水幫浦隔離腔室保持處於比大氣壓力低的壓力。
TW111141321A 2021-11-03 2022-10-31 具有水蒸氣及氧分壓之快速與選擇性控制的基板處理工具 TW202326907A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202163275299P 2021-11-03 2021-11-03
US63/275,299 2021-11-03

Publications (1)

Publication Number Publication Date
TW202326907A true TW202326907A (zh) 2023-07-01

Family

ID=86241835

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111141321A TW202326907A (zh) 2021-11-03 2022-10-31 具有水蒸氣及氧分壓之快速與選擇性控制的基板處理工具

Country Status (2)

Country Link
TW (1) TW202326907A (zh)
WO (1) WO2023081143A2 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6191011B1 (en) * 1998-09-28 2001-02-20 Ag Associates (Israel) Ltd. Selective hemispherical grain silicon deposition
JP3782245B2 (ja) * 1998-10-28 2006-06-07 Tdk株式会社 有機el表示装置の製造装置及び製造方法
JP2001217198A (ja) * 2000-02-02 2001-08-10 Mitsubishi Electric Corp 半導体装置の製造方法
JP2006128547A (ja) * 2004-11-01 2006-05-18 Toshiba Corp 半導体装置及びその製造方法
TWI446450B (zh) * 2009-11-17 2014-07-21 Oc Oerlikon Balzers Ag 用於處理基材的裝置與方法

Also Published As

Publication number Publication date
WO2023081143A2 (en) 2023-05-11
WO2023081143A3 (en) 2023-06-15

Similar Documents

Publication Publication Date Title
JP3486821B2 (ja) 処理装置及び処理装置内の被処理体の搬送方法
JP5575507B2 (ja) 基板処理装置、基板搬送方法、半導体装置の製造方法および基板処理装置のメンテナンス方法
KR102435429B1 (ko) 팩토리 인터페이스 환경 제어들을 갖는 기판 프로세싱 시스템들, 장치, 및 방법들
US7022613B2 (en) Reduced cross-contamination between chambers in a semiconductor processing tool
US9818633B2 (en) Equipment front end module for transferring wafers and method of transferring wafers
JP4594800B2 (ja) 基板処理方法、基板処理プログラム及び記憶媒体
JP6454201B2 (ja) 基板搬送方法及び基板処理装置
JP4983745B2 (ja) 圧力調整装置、これを用いた処理システム及び圧力調整方法
US20130239889A1 (en) Valve purge assembly for semiconductor manufacturing tools
JP4634918B2 (ja) 真空処理装置
WO2001073157A9 (en) Method and apparatus for reducing contamination in a loadlock
US20170076964A1 (en) Substrate processing apparatus
US20180061679A1 (en) Multi chamber processing system with shared vacuum system
TW201631685A (zh) 除氣方法
US8904955B2 (en) Substrate processing apparatus
JPH11333277A (ja) 真空圧力制御システム
US9397011B1 (en) Systems and methods for reducing copper contamination due to substrate processing chambers with components made of alloys including copper
US20220051918A1 (en) Transfer chamber with integrated substrate pre-process chamber
JP5224567B2 (ja) 基板処理装置、基板処理方法および半導体装置の製造方法
TW202326907A (zh) 具有水蒸氣及氧分壓之快速與選擇性控制的基板處理工具
JP2005019739A (ja) 被処理体の搬送方法
KR20240094025A (ko) 수증기 및 산소의 분압을 신속하고 선택적으로 제어하는 기판 프로세싱 툴
JP2003229417A (ja) 真空処理装置及びその制御方法
US20230116153A1 (en) System for uniform temperature control of cluster platforms
WO2024081135A1 (en) Purging toxic and corrosive material from substrate processing chambers