TW202324604A - 半導體裝置的製作方法 - Google Patents

半導體裝置的製作方法 Download PDF

Info

Publication number
TW202324604A
TW202324604A TW111117123A TW111117123A TW202324604A TW 202324604 A TW202324604 A TW 202324604A TW 111117123 A TW111117123 A TW 111117123A TW 111117123 A TW111117123 A TW 111117123A TW 202324604 A TW202324604 A TW 202324604A
Authority
TW
Taiwan
Prior art keywords
contact
layer
etch stop
source
gate
Prior art date
Application number
TW111117123A
Other languages
English (en)
Inventor
林詩哲
王朝勳
姚佳賢
楊復凱
王美勻
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202324604A publication Critical patent/TW202324604A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

形成半導體裝置所用的方法與結構包括回蝕刻源極/汲極接點以定義基板形貌,其包括溝槽位於相鄰的硬遮罩層之間。沿著溝槽的側壁與下表面與相鄰的硬遮罩層上沉積接點蝕刻停止層,以提供具有蛇狀圖案的接點蝕刻停止層於基板形貌上。接點通孔開口形成於接點蝕刻停止層上的介電層中,其中接點通孔開口露出溝槽中的接點蝕刻停止層的一部分。蝕刻接點通孔開口所露出的接點蝕刻停止層的部分,以形成加大的接點通孔開口並露出回蝕刻的源極/汲極接點。沉積金屬層於加大的接點通孔開口中,以提供接點通孔而接觸露出的回蝕刻的源極/汲極接點。

Description

半導體裝置的製作方法
本發明實施例關於半導體裝置,更特別關於接點蝕刻停止層的蛇狀圖案。
電子產業對更小、更快、且同時支援大量複雜功能的電子裝置的需求持續增加。綜上所述,半導體產業的持續趨勢為製造低成本、高效能、與低能耗的積體電路。達成這些目標的主要方法為縮小半導體積體電路尺寸(如最小結構尺寸),以改善製造效率並降低相關成本。然而尺寸縮小亦增加半導體製造製程的複雜度。因此為了實現半導體積體電路與裝置單元的持續進展,半導體製造製程與技術需要類似進展。
舉例來說,形成可信的接點至金屬層(如源極區、汲極區、及/或主體區),需要可信的低電阻通孔結構如接點通孔。對至少一些製程而言,通孔結構的電阻仍為裝置可信度的問題,特別是積體電路尺寸持續縮小時。在一些例子中,厚黏著層(比如在形成金屬通孔層之前沉積的黏著層)可能造成不良的金屬填隙,因此提高通孔電阻。加大通孔如接點通孔的關鍵尺寸,是改善金屬填隙與降低電阻的關鍵。然而在一些例子中,蝕刻形成通孔溝槽(金屬層將沉積其中以形成導電接點通孔)的步驟可能蝕刻金屬閘極上的第一硬遮罩層與源極/汲極接點上的第二硬遮罩層。由於含有不同的兩種硬遮罩材料的第一硬遮罩層與第二硬遮罩層之間缺乏蝕刻選擇性,因此可能同時蝕刻第一硬遮罩層與第二硬遮罩層。如此一來,沉積金屬層於通孔溝槽中以形成導電接點通孔的步驟,可能形成短接電路路徑於源極/汲極接點與金屬閘極之間。此外,採用第一硬遮罩層與第二硬遮罩層的製程可能非刻意地薄化第一硬遮罩層與第二硬遮罩層的至少一者,因為需要額外的化學機械研磨製程用於不同的兩種硬遮罩層。此外,當金屬閘極的側壁上的側壁間隔物過薄且相鄰的硬遮罩層導電時,可能發生側壁間隔物的電壓崩潰。
因此現有技術無法完全符合所有方面的需求。
本發明實施例說明半導體的製作方法,包括回蝕刻源極/汲極接點以定義基板形貌,其包括溝槽位於相鄰的多個硬遮罩層之間。在一些實施例中,方法更包括沿著溝槽的側壁與下表面與相鄰的硬遮罩層上沉積接點蝕刻停止層,以提供具有蛇狀圖案的接點蝕刻停止層於基板形貌上。在一些實施例中,方法更包括形成接點通孔開口於接點蝕刻停止層上的介電層中,其中接點通孔開口露出溝槽中的接點蝕刻停止層的一部分。在一些實施例中,方法更包括蝕刻接點通孔開口所露出的接點蝕刻停止層的部分,以形成加大的接點通孔開口並露出回蝕刻的源極/汲極接點。在一些例子中,方法更包括沉積金屬層於加大的接點通孔開口中,以提供接點通孔而接觸露出的回蝕刻的源極/汲極接點。
在另一實施例中,揭露半導體裝置的製作方法,包括提供基板,其包括閘極結構與源極/汲極接點位於閘極結構的任一側上。在一些實施例中,方法更包括進行多個回蝕刻製程,以形成溝槽於閘極結構兩側上的源極/汲極接點上。舉例來說,方法更包括形成接點蝕刻停止層於溝槽中與閘極結構上,以定義蛇狀接點蝕刻停止層於基板上。在一些例子中,方法更包括進行接點蝕刻停止層的穿透製程,以露出與閘極結構相鄰的至少一源極/汲極接點。在多種實施例中,方法更包括形成金屬層以接觸與閘極結構相鄰的露出的至少一源極/汲極接點。
又一實施例揭露半導體裝置,包括:第一源極/汲極接點與第二源極/汲極接點,與閘極結構相鄰並位於閘極結構的兩側上,其中第一源極/汲極接點與第二源極/汲極接點相對於閘極結構上的硬遮罩層各自凹陷。在一些實施例中,半導體裝置更包括接點通孔,位於第一源極/汲極接點與硬遮罩層的第一部分上,以提供電性連接至第一源極/汲極接點。此外,一些例子中的半導體裝置更包括蛇狀接點蝕刻停止層,位於第二源極/汲極接點與硬遮罩層的第二部分上。
下述詳細描述可搭配圖式說明,以利理解本發明的各方面。值得注意的是,各種結構僅用於說明目的而未按比例繪製,如本業常態。實際上為了清楚說明,可任意增加或減少各種結構的尺寸。
下述內容提供的不同實施例或實例可實施本發明的不同結構。下述特定構件與排列的實施例係用以簡化本發明內容而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸的實施例,或兩者之間隔有其他額外構件而非直接接觸的實施例。此外,本發明之多個實例可重複採用相同標號以求簡潔,但多種實施例及/或設置中具有相同標號的元件並不必然具有相同的對應關係。
此外,空間相對用語如「在…下方」、「下方」、「較低的」、「上方」、「較高的」、或類似用詞,用於描述圖式中一些元件或結構與另一元件或結構之間的關係。這些空間相對用語包括使用中或操作中的裝置之不同方向,以及圖式中所描述的方向。當裝置轉向不同方向時(旋轉90度或其他方向),則使用的空間相對形容詞也將依轉向後的方向來解釋。
值得注意的是,本發明實施例形成接點通孔,其可用於任何裝置型態。舉例來說,本發明實施例可用於形成接點通孔於平面基體金氧半場效電晶體、多閘極電晶體(平面或垂直的多閘極電晶體,比如鰭狀場效電晶體、全繞式閘極裝置、Ω閘極裝置、或Π閘極裝置)、應變半導體裝置、絕緣層上係裝置、部分空乏絕緣層上矽裝置、完全空乏絕緣層上矽裝置、或本技術領域已知的其他裝置。在一些例子中,本發明實施例亦可用於形成閘極通孔。此外,此處所述的實施例可用於形成p型及/或n型裝置。本技術領域中具有通常知識者應理解半導體裝置的其他實施例亦可得利於本發明實施例。
如圖1A所示的例子,此處所述的金氧半電晶體100提供一種裝置的例子,其可包含本發明實施例。應理解例示性的電晶體並非以任何方式侷限本發明實施例,本技術領域中具有通常知識者應理解本發明實施例同樣可行於任何其他裝置型態如上述。可製作電晶體100於基板102上,且電晶體100包括閘極堆疊104。基板102可為半導體基板如矽基板。基板102可包括多種層狀物(包括導電層或絕緣層)形成於基板102上。基板102可包括多種摻雜設置,端視本技術領域已知的設計需求而定。基板102亦可包括其他半導體如鍺、碳化矽、矽鍺、或鑽石。基板102可改為包含半導體化合物及/或半導體合金。此外,一些實施例的基板102可包括磊晶層、可具有應力以增進效能、可包括絕緣層上矽結構、及/或可具有其他合適的增進結構。
閘極堆疊104包括閘極介電層106,以及閘極108位於閘極介電層106上。在一些實施例中,閘極介電層106可包括界面層如氧化矽或氮氧化矽,其中界面層的形成方法可為化學氧化、熱氧化、原子層沉積、化學氣相沉積、及/或其他合適方法。在一些例子中,閘極介電層106包括高介電常數的介電層如氧化鉿。在其他實施例中,高介電常數的介電層可包括其他高介電常數的介電材料如氧化鈦、氧化鉿鋯、三氧化二鉭、氧化鉿矽、二氧化鋯、矽酸鋯、氧化鑭、氧化鋁、氧化鋯、氧化鈦、五氧化二鉭、氧化釔、鈦酸鍶、鈦酸鋇、氧化鋇鋯、氧化鉿鋯、氧化鉿矽、氧化鑭矽、氧化鋁矽、氧化鉿鉭、氧化鉿鈦、鈦酸鋇鍶、三氧化二鋁、氮化矽、氮氧化矽、上述之組合、或其他合適材料。此處所述的高介電常數的閘極介電層包括的介電材料具有高介電常數,比如大於熱氧化矽的介電常數(約3.9)。在其他實施例中,閘極介電層106可包括氧化矽或其他合適介電層。閘極介電層106的形成方法可為原子層沉積、物理氣相沉積、化學氣相沉積、氧化、及/或其他合適方法。在一些實施例中,沉積閘極108的步驟可為閘極優先製程或閘極後製製程(如置換閘極製程)的部分。在多種實施例中,閘極108可包括導電層如鎢、鈦、氮化鈦、鈦鋁、氮化鈦鋁、鉭、氮化鉭、氮化鎢、錸、銥、釕、鉬、鋁、銅、鈷、鈷矽化物、鎳、鎳矽化物、上述之組合、及/或其他合適組成。在一些例子中,閘極108可包括n型電晶體所用的第一金屬材料,與p型電晶體所用的第二金屬材料。因此電晶體100可包括雙功函數金屬閘極的設置。舉例來說,第一金屬材料(比如用於n型裝置)包括的金屬的功函數可實質上對準基板導帶的功函數,或至少實質上對準電晶體100的通道區114的導帶的功函數。類似地,第二金屬材料(比如用於p型裝置)包括的金屬的功函數可實質上對準基板價帶的功函數,或至少實質上對準電晶體100的通道區114的價帶的功函數。因此閘極104可提供電晶體100 (包括n型裝置與p型裝置)所用的閘極。在一些實施例中,閘極108可改為或額外包含多晶矽層。在多種例子中,閘極108的形成方法可採用物理氣相沉積、化學氣相沉積、電子束蒸鍍、及/或其他合適製程。在一些實施例中,側壁間隔物形成於閘極堆疊104的側壁上。這些側壁間隔物可包括介電材料如氧化矽、氮化矽、碳化矽、氮氧化矽、或上述之組合。
電晶體100更包括源極區110與汲極區112各自形成於半導體基板102中,以與閘極堆疊104相鄰並位於閘極堆疊104的兩側上。在一些實施例中,源極區110與汲極區112包括擴散源極/汲極區、離子佈植源極/汲極區、磊晶成長的源極/汲極區、或上述之組合。電晶體100的通道區114定義於閘極介電層106之下的源極區110與汲極區112之間的區域,並定義於半導體基板102中。通道區114具有相關的通道長度L與相關的通道寬度W。當施加至閘極108以及同時施加於源極區110及汲極區112之間的偏電壓大於電晶體100所用的臨界電壓(如開啟電壓)時,電流(如電晶體驅動電流)可經由通道區114流動於源極區110及汲極區112之間。給定偏電壓(施加至閘極108或施加於源極區110及汲極區112之間)所產生的驅動電流量,為形成通道區114所用的材料遷移率的函數。在一些例子中,通道區114包括矽及/或高遷移率材料如鍺,其形成方法可為磊晶成長。此外,通道區114可為本技術領域已知的任何半導體化和物或半導體合金。高遷移率的材料包括電子及/或電洞遷移率大於矽的材料,其於室溫(300 K)下的本質電子遷移率可為約1350 cm2/V*s,且其於室溫(300 K)下的本質電洞遷移率可為約480 cm2/V*s。
如圖1B所示,此處所述的鰭狀場效電晶體150可為其他裝置型態的例子,其可包括本發明實施例。舉例來說,鰭狀場效電晶體150包括一或多個鰭狀物為主的多閘極場效電晶體。鰭狀場效電晶體150包括基板152、自基板152延伸的至少一鰭狀物單元154、隔離區156、與位於鰭狀物單元154之上與周圍的閘極結構158。基板152可為半導體基板如矽基板。在多種實施例中,基板152可與基板102實質上相同,且可包含基板102所用的一或多種上述材料。
鰭狀物單元154與基板152類似,可包括一或多個磊晶成長層,且可包括矽或另一半導體元素(如鍺)、半導體化合物(如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦)、半導體合金(如矽鍺、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、及/或磷砷化鎵銦)、或上述之組合。鰭狀物單元154的製作方法可採用合適製程,包括光微影與蝕刻製程。光微影製程可包括形成光阻層於基板(如矽層)上、曝光光阻至一圖案、進行曝光後烘烤製程、以及顯影光阻以形成含光阻的遮罩單元。在一些實施例中,圖案化光阻以形成遮罩單元的方法可採用電子束微影製程。接著可採用遮罩單元保護基板的一些區域,並以蝕刻製程形成凹陷至矽層中,以保留延伸的鰭狀物單元154。蝕刻凹陷的方法可採用乾蝕刻(如化學氧化物移除)、濕蝕刻、及/或其他合適製程。亦可採用方法的多種其他實施例以形成鰭狀物單元154於基板152上。
多個鰭狀物單元154可各自包括源極區155與汲極區157形成於鰭狀物單元154之中、之上、及/或周圍。源極區155與汲極區157可磊晶成長於鰭狀物單元154上。此外,電晶體的通道區位於鰭狀物單元154之中、閘極結構158之下、並沿著實質上平行於圖1B的剖面AA'的平面。在一些例子中,鰭狀物單元154的通道區包括高遷移率的材料,如上所述。
隔離區156可為淺溝槽隔離結構。在其他實施例中,可實施場氧化物、局部氧化矽結構、及/或其他合適的隔離結構於基板152之上及/或之中。隔離區156的組成可為氧化矽、氮化矽、氮氧化矽、氟矽酸鹽玻璃、低介電常數的介電層、上述之組合、及/或本技術領域已知的其他合適材料。在一實施例中,隔離區156為淺溝槽隔離結構,且其形成方法可為蝕刻溝槽於基板152中。接著可將隔離材料填入溝槽,再進行化學機械研磨製程。然而其他實施例亦屬可能。在一些實施例中,隔離區156可包括多層結構,比如具有一或多個襯墊層。
閘極結構158包括的閘極堆疊具有界面層160形成於鰭狀物單元154的通道區上、閘極介電層162形成於界面層160上、以及金屬層164形成於閘極介電層162上。在多種實施例中,界面層160與前述作為閘極介電層106的部分的界面層實質上相同。在一些實施例中,閘極介電層162可與閘極介電層106實質上相同,且包含的材料可與閘極介電層106所用的材料類似。類似地,多種實施例中的金屬層164與上述的閘極108實質上相同。在一些實施例中,側壁間隔物形成於閘極結構158的側壁上。側壁間隔物可包括介電材料如氧化矽、氮化矽、碳化矽、氮氧化矽、或上述之組合。
如上所述,電晶體100與鰭狀場效電晶體150可各自包括一或多個接點通孔,其實施例將詳述如下。在一些例子中,此處所述的接點通孔可為局部內連線結構的部分。此處所述的用語「局部內連線」用於說明最下層的金屬內連線,其與中間內連線及/或全域內連線不同。局部內連線跨過較短的距離,且有時用於電性連接給定裝置或附近裝置的源極、汲極、主體、及/或閘極。此外,局部內連線有利於經由一或多個通孔垂直連接一或多個裝置至上方的金屬化層(比如中間內連線層)。一般而言,內連線(包括局部、中間、或全域內連線)可為後段製作製程的一部分,且可包含金屬打線的多層網路。此外,可由這些內連線連接任何積體電路及/或裝置(如電晶體或鰭狀場效電晶體150)。
隨著先進積體電路裝置與電路的尺寸大幅下降且越來越複雜,接點與局部內連線設計的挑戰越來越困難。舉例來說,形成可信接點至金屬層(如源極、汲極、及/或主體區)的方法,需要可信且低電阻的通孔結構如接點通孔。對至少一些製程而言,通孔結構的電阻仍為裝置可信度的問題之一,特別是在積體電路尺寸持續縮小的情況下。在一些例子中,厚的黏著層(在形成金屬通孔層之前沉積)可能造成不良的金屬填隙,進而增加通孔電阻。加大通孔如接點通孔的關鍵尺寸,係改善金屬填隙與降低電阻的關鍵。然而在一些例子中,蝕刻形成通孔溝槽(金屬層將沉積其中以形成導電接點通孔)的步驟,亦可能蝕刻金屬閘極上的第一硬遮罩層與源極/汲極接點上的第二硬遮罩層。包含兩種不同的硬遮罩材料的第一硬遮罩層與第二硬遮罩層可能缺乏蝕刻選擇性,因此蝕刻步驟可能蝕刻第一硬遮罩層與第二硬遮罩層。如此一來,沉積於通孔溝槽中以形成導電接點通孔的金屬層,可能形成源極/汲極接點與金屬閘極之間的短接電路路徑。此外,採用第一硬遮罩層與第二硬遮罩層的製程需要額外的化學機械研磨製程以用於兩種不同的硬遮罩層,因此可能非刻意地薄化(損失)第一硬遮罩層與第二硬遮罩層的至少一者。此外,當金屬閘極側壁上的側壁間隔物過薄且相鄰的硬遮罩層導電時,可能發生側壁間隔物的電壓崩潰。因此現有方法無法完全符合所有方面的需求。
本發明實施例可比習知技術提供更多優點,但應理解其他實施例可提供不同優點,此處不必說明所有優點,且所有實施例不必具有特定優點。舉例來說,此處所述的實施例包括的方法與結構關於接點結構的製作製程並包含接點通孔。在至少一些實施例中,提供關鍵尺寸加大的自對準接點通孔。在多種例子中,形成接點通孔的方法不採用多個不同種類的硬遮罩層。在一些實施例中,形成第一硬遮罩層於金屬閘極上。不在源極/汲極接點上形成第二硬遮罩層,而是回蝕刻源極/汲極接點以形成基板形貌,其包括多個溝槽插入第一硬遮罩層。之後可順應性地形成接點蝕刻停止層於基板形貌上,使接點蝕刻停止層沉積如在基板形貌上下擺動的蛇狀圖案。在形成蛇狀接點蝕刻停止層之後,可形成介電層、形成通孔溝槽、並沉積金屬層於通孔溝槽中以形成導電接點通孔。採用蛇狀接點蝕刻停止層使單一硬遮罩層(在金屬閘極上)可行,因此沒有缺乏蝕刻選擇性(比如多個不同種類的硬遮罩層之間)的問題而使蝕刻製程更可信。此外,採用單一硬遮罩層亦不需額外化學機械研磨製程,進而避免非刻意地薄化(損失)硬遮罩。蛇狀接點蝕刻停止層可額外提供保護至金屬閘極的側壁間隔物,可緩解側壁間隔物電壓崩潰的可能性。一般而言,此處所述的實施例可提供更可信、低電阻、與大關鍵尺寸的接點通孔(因蛇狀接點蝕刻停止層而具有可能性),其中低電阻的接點通孔的形成成本可降低(藉由省略額外的硬遮罩層與相關的製程步驟)。本發明實施例的額外細節將提供如下,且本技術領域中具有通常知識者可由本發明實施例輕易理解額外優點及/或其他優點。
圖2係一些實施例中,形成接點結構且包含接點通孔的方法200。方法200將搭配圖3至13詳述如下,且圖3至13提供裝置300沿著實質上平行於圖1B的剖面AA'的平面的剖視圖。方法200可實施於單一閘極的平面裝置如圖1A所示的上述例示性電晶體100,以及多閘極裝置如圖1B所示的上述鰭狀場效電晶體150。因此與電晶體100及/或鰭狀場效電晶體150相關的一或多個上述實施例亦可用於方法200。可以確定的是,多種實施例的方法200可實施於其他裝置如全繞式閘極裝置、Ω閘極裝置、Π閘極裝置、應變半導體裝置、絕緣層上矽裝置、部分空乏的絕緣層上矽裝置、完全空乏的絕緣層上矽裝置、或本技術領域已知的其他裝置。
可以理解的是,方法200的部分及/或依據方法200說明的任何例示性電晶體裝置,其製作方法可為已知的互補式金氧半技術製程流程,因此一些製程僅簡述於此。此外,應理解此處所述的任何例示性電晶體裝置可包括多種其他裝置與結構,比如額外電晶體、雙極接面電晶體、電阻、電容器、二極體、熔絲、或類似物,但簡化其說明以利理解本發明實施例的發明概念。此外,此處所述的一些實施例中的例示性電晶體裝置可包括內連線的多個半導體裝置(如電晶體)。另一方面,本發明多種實施例可實施於閘極後製製程或閘極優先製程。
此外,此處所述的一些實施例可包括例示性電晶體裝置於中間製程階段(如積體電路或其部分的製作製程)的說明,且積體電路可包含靜態隨機存取記憶體及/或其他邏輯電路、被動構件(如電阻、電容器、或電感)、與主動構件(如p型通道場效電晶體、n型通道場效電晶體、金氧半場效電晶體、互補式金氧半電晶體、雙極電晶體、高電壓電晶體、高頻電晶體、其他記憶體單元、及/或上述之組合)。
方法200一開始的步驟202可提供具有閘極結構與源極/汲極接點的基板。如圖3所示,步驟202的一實施例可提供裝置300,其具有基板302、閘極結構304、306、及308、與源極/汲極接點328及329。在一些實施例中,基板302可與上述的基板102及152實質上相同。閘極結構304、306、及308形成於基板302的區域上,且包括相鄰的閘極結構304、306、及308之間的基板302的區域可包括基板302的主動區。應理解裝置300僅用於說明並使方法200的相關內容清楚。舉例來說,一些例子的裝置300可包括平面裝置如電晶體100。在一些例子中,裝置300可改為包括多閘極裝置如鰭狀場效電晶體150。此外,一些例子中的裝置300可包括全繞式閘極裝置、Ω閘極裝置、Π閘極裝置、應變半導體裝置、絕緣層上矽裝置、部分空乏絕緣層上矽裝置、完全空乏絕緣層上矽裝置、或本技術領域已知的其他裝置。在一些實施例中,裝置300包括區域310及312以與閘極結構304、306、及308相鄰,其中區域310及312可包括源極區、汲極區、或主體接點區。在多種實施例中,閘極結構304、306、及308可各自包括界面層形成於基板302上、閘極介電層形成於界面層上、與金屬閘極層314形成於閘極介電層上。在一些實施例中,閘極結構304、306、及308的界面層、介電層、與金屬閘極層314,可與電晶體100與鰭狀場效電晶體150的上述單元實質上相同。此外,閘極結構304、306、及308可各自包括側壁間隔物層316及318。在一些例子中,側壁間隔物層316及318可各自包括不同介電常數的材料。在多種實施例中,側壁間隔物層316及318包括氧化矽、氮化矽、氮氧化矽、碳氮化矽、探氮氧化矽、氧化鋁、氮氧化鋁、氮化鋁、氧化鉿、氧化鋯、氧化鉿鋯、氮化碳、多晶矽、上述之組合、或其他合適的介電材料。在一些實施例中,側壁間隔物層316及318包括多個層狀物,比如主要間隔物牆、襯墊層、與類似物。舉例來說,側壁間隔物層316及318的形成方法可為沉積介電材料於裝置300上,並非等向地回蝕刻介電材料。在一些實施例中,回蝕刻製程(比如用於形成間隔物)可包括多步驟的蝕刻製程,以改善蝕刻選擇性並提供過蝕刻控制。
在一些實施例中,可回蝕刻(比如採用濕蝕刻、乾蝕刻、或上述之組合)閘極結構304、306、及308的金屬閘極層314以形成溝槽,而硬遮罩層315之後可形成於溝槽中。在一些實施例中,硬遮罩層315可包括氮化矽層(如氮化矽、氮氧化矽、或碳化矽)及/或墊氧化物層(如氧化矽)。硬遮罩層315的沉積方法可為化學氣相沉積、物理氣相沉積、原子層沉積、或另一合適製程。因此在形成硬遮罩層315之後,一些實施例中的側壁間隔物層316及318沿著硬遮罩層315的側壁。在多種例子中,硬遮罩層315在後續製程中可用於保護閘極結構304、306、及308的金屬閘極層314。值得注意的是在一些例子中,由於回蝕刻金屬閘極層314的製程變異,閘極結構304、306、及308的至少一些金屬閘極層314可具有不同高度,如實施例所示。然而當金屬閘極層314具有不同高度時,閘極結構304、306、及308的金屬閘極層314彼此之間的差距在5 nm之內。在一些例子中,閘極結構304、306、及308的至少一些金屬閘極層314可具有相同高度。
在步驟202的其他實施例中,如圖3所示,裝置300亦可包括元及/汲極接點328及329,如上所述。在一些實施例中,源極/汲極接點328及329的形成方法可為形成介電層如層間介電層於基板302之上與每一閘極結構304、306、及308之上。之後可形成圖案於介電層中,且圖案包括開口以露出/或提供接觸路徑至區域310及312,且開口與閘極結構304、306、及308相鄰。如上所述,區域310及312可包括源極區、汲極區、或主體接點區。舉例來說,介電層中的開口的形成方法可為微影圖案化與蝕刻(如濕蝕刻或乾蝕刻)製程的適當組合。在一些例子中,這些開口可視作金屬插塞開口、接點插塞開口、或插塞開口。在形成開口露出區域310及312之後,可進行矽化製程已提供低電阻的接點。矽化製程可形成矽化物層於區域310及312中的基板302的露出部分上。在一些例子中,黏著或阻障層326可形成於露出區域310及312的開口的側壁表面上。黏著或阻障層326可包括鈦、氮化鈦、鉭、氮化鉭、鎢、或其他合適材料。此外,在形成黏著或阻障層326之後,可形成金屬層如源極/汲極接點328及329。在一些例子中,金屬層如源極/汲極接點328及329可包括鎢、銅、鈷、釕、鋁、銠、鉬、鉭、鈦、氮化鈦、氮化鉭、氮化鎢、矽化物、或其他合適的導電材料。在一些例子中,金屬層如源極/汲極接點328及329可包括相同材料,且可由單一的沉積製程一起沉積。在一些例子中,金屬層如源極/汲極接點328及329可視作金屬插塞、接點插塞、插塞、或源極/汲極接點。在沉積金屬層如源極/汲極接點328及329之後,可進行化學機械研磨製程以移除多餘材料並平坦化裝置300的上表面。
方法200的步驟204接著進行第一回蝕刻製程。如圖3及4所示,步驟204的一實施例可進行第一回蝕刻製程,使源極/汲極接點328及329凹陷並形成溝槽322及324。步驟204的第一回蝕刻製程可包括濕蝕刻製程、乾蝕刻製程、或上述之組合。在一些實施例中,步驟204的第一回蝕刻製程對源極/汲極接點328及329具有選擇性,使相鄰的層狀物(如側壁間隔物層316及318與硬遮罩層315)維持實質上未蝕刻。在一些例子中,步驟204的第一回蝕刻製程可自溝槽322及324的側壁視情況蝕刻黏著或阻障層326,以露出沿著溝槽322及324的側壁的側壁間隔物層318。在一些實施例中,步驟204的第一回蝕刻製程可能使源極/汲極接點328及329凹陷一段高度H1。在一些例子中,第一回蝕刻的高度H1可介於約5 nm至40 nm之間。在一些例子中,若第一回蝕刻的高度H1大於約40 nm,則可能在形成接點通孔開口時(步驟212)底蝕刻後續沉積的介電層332、避免露出下方的接點蝕刻停止層330、以及避免後續移除接點通孔開口334露出的接點蝕刻停止層330的一部分(步驟214)。在一些實施例中,若第一蝕刻的高度H1小於約5 nm,則後續沉積的介電層可能無法充分保護源極/汲極接點328及329,其可能造成不想要的短接電路。第一回蝕刻的高度H1至少部分地定義後續沉積的接點蝕刻停止層的蛇狀圖案,如下所述。此外,步驟204的第一回蝕刻製程可定義基板形貌,其包括多個溝槽(如溝槽322及324)插入硬遮罩層315。
方法200的步驟206進行第二回蝕刻製程。如圖4及5所示,步驟206的一實施例可進行第二回蝕刻製程,使第一回蝕刻製程(步驟204)所露出的側壁間隔物層318的部分凹陷,以加大溝槽322及324並露出沿著加大的溝槽322及324的側壁的側壁間隔物層316。換言之,步驟206的第二回蝕刻製程可有效移除溝槽322及324的側壁上的側壁間隔物層318的部分。在一些例子中,若第一回蝕刻製程(步驟204)未移除黏著或阻障層326,則可在使側壁間隔物層318的部分凹陷之前,自溝槽322及324的側壁蝕刻黏著或阻障層326。步驟206的第二回蝕刻製程可包括濕蝕刻製程、乾蝕刻製程、或上述之組合。在一些實施例中,步驟206的第二回蝕刻製程對側壁間隔物層318具有選擇性,使相鄰的層狀物(如側壁間隔物層316與硬遮罩層315)維持實質上未蝕刻。一些實施例在步驟206的第二回蝕刻製程之後,凹陷的側壁間隔物層318的上表面與凹陷的源極/汲極接點328及329的上表面實質上齊平(共平面)。此外,雖然步驟206的第二回蝕刻製程可加大溝槽322及324,基板形貌仍包含多個溝槽(如加大的溝槽322及324)插入硬遮罩層315。
方法200的步驟208沉積接點蝕刻停止層於基板上。如圖5及6所示,步驟208的一實施例形成接點蝕刻停止層330於基板302上。在多種實施例中,接點蝕刻停止層330可順應性地沉積於第一回蝕刻製程(步驟204)與第二回蝕刻製程(步驟206)鎖定一的基板形貌上,如上所述。換言之,接點蝕刻停止層330沉積於加大的溝槽322及324中(包括沉積於凹陷的源極/汲極接點328及329上、凹陷的側壁間隔物層318上、並沿著露出的側壁間隔物層316的側壁表面)、並沉積於插入加大的溝槽322及324的側壁間隔物層316與硬遮罩層315的上表面上。如此一來,接點蝕刻停止層330可定義蛇狀圖案,其可在基板型貌上下擺動。在一些實施例中,接點蝕刻停止層330的厚度T1可介於約1 nm至10 nm之間。在一些例子中,若厚度T1小於約1 nm,後續通孔蝕刻製程(步驟212)可能無法停止於接點蝕刻停止層330上,其可能造成硬遮罩層315的損失而造成通孔至金屬閘極的短接。在一些實施例中,若厚度T1大於約10 nm,則形成於加大的溝槽322及324的側壁上的接點蝕刻停止層330可能合併在一起,其可避免後續移除接點蝕刻停止層330的一部分(步驟214)。舉例來說,接點蝕刻停止層330可包括氧化鋁、氧化鉿、氧化鋯、氮化矽、氧化矽、碳氮化矽、碳化矽、或上述之組合。在多種實施例中,接點蝕刻停止層330的沉積方法可為次壓化學氣相沉積製程、可流動的化學氣相沉積製程、原子層沉積製程、物理氣相沉積製程、或其他合適的沉積技術。
在多種實施例中,蛇狀接點蝕刻停止層330使單一種類的硬遮罩層(如硬遮罩層315)可行,因此不缺乏蝕刻選擇性(於不同種類的多個硬遮罩層之間),且不需額外的化學機械研磨製程而避免非刻意地薄化(損失)硬遮罩層315,使蝕刻製程更可信。蛇狀接點蝕刻停止層330可額外提供保護至金屬閘極的側壁間隔物(如側壁間隔物層316),進而緩解側壁間隔物層316介電崩潰的可能性。
方法200的步驟210沉積介電層於基板上。如圖6及7所適,步驟210的一實施例形成介電層332於基板302上。具體而言,多種實施例中的介電層332可形成於加大的溝槽322及324之中與之外的蛇狀接點蝕刻停止層330上。在一些實施例中,介電層332可包括層間介電層,其材料可包括四乙氧基矽烷的氧化物、未摻雜的矽酸鹽玻璃、摻雜的氧化矽(如硼磷矽酸鹽玻璃、氟矽酸鹽玻璃、磷矽酸鹽玻璃、或硼矽酸鹽玻璃)、及/或其他合適的介電材料。在多種實施例中,介電層332的沉積方法可為次壓化學氣相沉積製程、可流動的化學氣相沉積製程、原子層沉積製程、物理氣相沉積製程、或其他合適的沉積技術。
方法200的步驟212形成接點通孔開口。如圖7及8所示,步驟212的一實施例形成接點通孔開口334於介電層332中。舉例來說,接點通孔開口334的形成方法可為微影圖案化與蝕刻製程(如濕蝕刻或乾蝕刻)的適當組合。在一些實施例中,蝕刻介電層332所用的蝕刻製程可止於蛇狀接點蝕刻停止層330上。換言之,蝕刻製程可包括選擇性蝕刻製程,其蝕刻介電層332而實質上不蝕刻蛇狀接點蝕刻停止層330。因此形成接點通孔開口334的步驟可用於露出下方的接點蝕刻停止層330的一部分。在一些實施例中,接點通孔開口334可實質上對準位於接點通孔開口334與加大的溝槽322之下的源極/汲極接點328。在一些例子中,接點通孔開口334的寬度W1介於約10 nm至40 nm之間,而高度H2介於約30 nm至100 nm之間。在一些實施例中,若寬度W1大於約40 nm,則接點通孔開口334可能較大並與鄉林的源極/汲極接點328及329重疊。在一些例子中,若寬度W1小於約10 nm,則形成於接點通孔開口334中的金屬層的電阻可能增加,造成電路時間延遲。在一些實施例中,若高度H2超出約30 nm至100 nm的範圍,則可能劣化後續通孔的最終化學機械研磨製程所用的表面形貌。在多種實施例中,接點通孔開口334的寬度W1亦可大於加大的溝槽322的寬度W2,進而提供大關鍵尺寸的接點通孔所用的開口,以改善金屬填隙並降低電阻。此外,一些實施例的接點通孔開口可包括錐形側壁336。
方法200的步驟214進行接點蝕刻停止層的穿透製程。接點蝕刻停止層的穿透製程可等效視作蝕刻製程。如圖8、9、及10所示,步驟214的一實施例進行蝕刻製程(如接點蝕刻停止層的穿透製程)以蝕刻接點通孔開口334 (步驟212)所露出的接點蝕刻停止層330的部分,並形成加大的接點通孔開口335。步驟214的蝕刻製程可包括濕蝕刻製程、乾蝕刻製程、或上述之組合。在一些實施例中,步驟214的蝕刻製程可對接點蝕刻停止層330具有選擇性。在一些實施例中,步驟214的蝕刻製程可對接點蝕刻停止層330具有選擇性。換言之,步驟214的蝕刻製程可蝕刻接點蝕刻停止層330,而實質上不蝕刻其他附近的層狀物(如黏著或阻障層326、金屬層如源極/汲極接點328、側壁間隔物層316及318、硬遮罩層315、或介電層332)。一般而言,步驟214的蝕刻製程可用於露出金屬層如源極/汲極接點328。然而在一些例子中亦可露出其他層的部分,其部分取決於步驟214的蝕刻製程所用的蝕刻種類(如濕蝕刻或乾蝕刻)。值得注意的是,蛇狀接點蝕刻停止層330使單一種類的硬遮罩層(如硬遮罩層315)可行,其可避免採用多種硬遮罩層而缺乏蝕刻選擇性的問題。如此一來,步驟214的蝕刻製程(如接點蝕刻停止層穿透製程)更可信,且維持保護側壁間隔物層316及318以及閘極結構304、306、及308的金屬閘極層314。
為了說明目的,圖9的例子採用乾蝕刻製程,而圖10的例子採用濕蝕刻製程。雖然乾蝕刻與濕蝕刻都對接點蝕刻停止層330具有選擇性,但仍具有一些差異(其可能造成裝置300中的結構差異)。對乾蝕刻製程而言(圖9),蝕刻接點蝕刻停止層330的方法可包括時控的蝕刻製程。換言之,可由乾蝕刻時間控制乾蝕刻製程。在一些例子中,乾蝕刻製程的時間取決於接點蝕刻停止層330的厚度T1,且可為約30秒至300秒。在一些實施例中,進行此乾蝕刻製程之後,接點蝕刻停止層330的部分330a可能保留於加大的接點通孔開口335的下側部分的側壁之上(比如保留於側壁間隔物層316的側壁表面上)。在一些實施例中,接點蝕刻停止層330的部分330a可提供額外保護至側壁間隔物層316,以緩解電壓崩潰的可能性。在一些例子中,部分330a的厚度T2可介於約1 nm至10 nm之間。在一些例子中,厚度T2可取決於接點蝕刻停止層330的厚度T1,並取決於接點蝕刻停止層穿透製程時的接點蝕刻停止層330的側壁損失。乾蝕刻製程除了露出金屬層如源極/汲極接點328,亦可露出黏著或阻障層326的部分、側壁間隔物層316及/或側壁間隔物層318的上表面、與閘極結構306上的硬遮罩層315。對濕蝕刻製程的例子而言(圖10),可自加大的接點通孔開口335實質上移除接點蝕刻停止層330,而不保留接點蝕刻停止層330的未蝕刻部分於加大的接點通孔開口335中。濕蝕刻製程除了露出金屬層如源極/汲極接點328之外,亦可露出黏著或阻障層326的部分、側壁間隔物層316的側壁與上表面、側壁間隔物層318的上表面、與閘極結構306上的硬遮罩層315。
如上所述,乾蝕刻製程之後可保留接點蝕刻停止層330的部分330a。如此一來,若通孔的關鍵尺寸(如加大的接點通孔開口335的關鍵尺寸)過小而不足以提供後續沉積的金屬層(如金屬層340)所需的金屬填充,則採用濕蝕刻製程而非乾蝕刻製程。然而在一些例子中,若濕蝕刻製程所用的化學劑可能攻擊或損傷源極/汲極接點328及329所用的金屬,則採用乾蝕刻製程而非濕蝕刻製程。在一些實施例中,乾蝕刻製程採用蝕刻氣體(包括四氟化碳或氯為主的氣體如氯氣或三氯化硼)搭配氬氣、氧氣、氮氣、及/或氫氣的電漿。在一些例子中,濕蝕刻製程採用氯化氫、磷酸、醋酸、硝酸、或上述之組合。
方法200的步驟216可視情況沉積黏著層。為了下述說明的目的,假設步驟214採用乾蝕刻製程蝕刻接點蝕刻停止層330,使接點蝕刻停止層330的部分330a保留於加大的接點通孔開口335的下側部分的側壁上,如圖9所示的上述內容。如圖9及11所示,步驟216的一實施例形成黏著層338於基板302上。舉例來說,黏著層338可沉積於裝置300的上表面之上、加大的接點通孔開口335的側壁表面之上、並沿著加大的接點通孔開口335的下表面。具體而言,黏著層338可沉積於介電層332的表面上、蝕刻的接點蝕刻停止層330的側壁上、接點蝕刻停止層330的部分330a上、側壁間隔物層316及318的多種表面上、閘極結構306上的硬遮罩層315的部分上、黏著或阻障層326的部分上、與源極/汲極接點328的上表面上。在多種實施例中,黏著層338可用於減少氧化物層(比如減少原生氧化物層)。在一些例子中,黏著層338可實質上避免沿著源極/汲極接點328的上表面形成氧化物層。在一些例子中,黏著層338沿著加大的接點通孔開口335的側壁的厚度T3可介於約0 nm至3 nm之間,而沿著介電層332的上表面(在加大的接點通孔開口335之外)的厚度T4可介於約0 nm至8 nm之間。在一些例子中,厚度T3及T4之間的厚度變異可能來自於製程變異及/或不同的通孔尺寸。因此黏著層338可具有不同的階狀覆蓋於介電層332的上表面之上與加大的接點通孔開口335之中。在一些實施例中,若厚度T3大於約3 nm。則可能誘發空洞於後續形成金屬層(如金屬層340)於其中的較小通孔中。0 nm的厚度可對應不沉積黏著層338的實施例。在一些實施例中,黏著層338可包括鈦、氮化鈦、鉭、氮化鉭、鎢、釕、六羰基鎢、或其他合適材料。在多種實施例中,黏著層338的沉積方法可為化學氣相沉積、原子層沉積、物理氣相沉積、或其他合適的沉積技術。
方法200的步驟218沉積金屬層。以圖11及12為例,步驟218的一實施例形成金屬層340於加大的接點通孔開口335中。在一些實施例中,金屬層340形成於源極/汲極接點328之上,或視情況形成於源極/汲極接點328上的黏著層338之上,以提供低電阻的電性連接至源極/汲極接點328。在多種例子中,金屬層340可提供接點通孔,其中接點通孔可提供電性連接至源極/汲極接點328。在一些例子中,金屬層340可包括鎢、銅、鈷、釕、鋁、銠、鉬、鉭、鈦、或其他導電材料。舉例來說,沉積金屬層340的方法可採用原子層沉積、化學氣相沉積、物理氣相沉積、或其他合適技術。
方法200的步驟220可進行化學機械研磨製程。如圖12及13所示,在沉積金屬層340之後,步驟220的一實施例可進行化學機械研磨製程已移除多餘材料並平坦化裝置300的上表面。在多種實施例中,可進行化學機械研磨製程,直到移除加大的接點通孔開口335之外的金屬層340與黏著層338的部分。換言之,進行化學機械研磨製程,直到露出介電層332的上表面。 在化學機械研磨製程之後,介電層332、金屬層340、與黏著層338的末端的上表面可彼此實質上齊平(共平面)。因此在多種實施例中,接點通孔(金屬層340)的大關鍵尺寸對源極/汲極接點328而言可改善金屬填隙與降低電阻。值得注意的是雖然蝕刻接點蝕刻停止層的部分,可提供接點通孔(如金屬層)以耦接至源極/汲極接點328如上述,另一源極/汲極接點(如源極/汲極接點329)上的接點蝕刻停止層的另一部分可維持未蝕刻。因此蛇狀接點蝕刻停止層330的至少一部分可保留於裝置300的最終結構中。
可對裝置300進行後續製程,以形成本技術領域已知的多種結構與區域。舉例來說,後續製程可形成多種接點、通孔、及/或線路與多層內連線結構(如金屬層與層間介電層)於基板302上,其設置以連接多種結構(包含上述接點通孔,以及提供電性接點至閘極結構304、306、及308的金屬閘極層314的閘極通孔)而形成含有一或多個裝置的功能電路。在其他例子中,多層內連線可包括垂直內連線如通孔或接點,以及水平內連線如金屬線路。多種內連線結構可採用多種導電材料,包括銅、鎢、及/或矽化物。在一例中,鑲嵌製程及/或雙鑲嵌製程可用於形成銅相關的多層內連線結構。此外,在方法200之前、之中、與之後可實施額外製程步驟,且方法的多種實施例可置換或省略一些上述製程步驟。
雖然上述例子的方法200採用單一接點蝕刻停止層(如蛇狀接點蝕刻停止層330),其他實施例亦屬可能。舉例來說,一些例子可採用兩個接點蝕刻停止層。在一些例子中,當裝置的幾何形狀進一步縮小且需額外的蝕刻保護(抗蝕刻性)時,可採用多個接點蝕刻停止層。此實施例如圖14及15所示。如圖所示,裝置300可包括蛇狀接點蝕刻停止層330與介電層332,如上所述。在一些例子中,可回蝕刻介電層332以露出蛇狀接點蝕刻停止層330的上表面。之後可形成另一接點蝕刻停止層333於蛇狀接點蝕刻停止層330與介電層332上。在多種例子中,接點蝕刻停止層333可或可不包括蛇狀接點蝕刻停止層。在一些例子中,接點蝕刻停止層333可實質上平坦。在一些例子中,接點蝕刻停止層333 (與接點蝕刻停止層330類似)可包括氧化鋁、氧化鉿、氧化鋯、氮化矽、氧化矽、碳氮化矽、碳化矽、或上述之組合,且其沉積方法可為次壓化學氣相沉積製程、可流動的化學氣相沉積製程、原子層沉積製程、物理氣相沉積製程、或其他合適的沉積技術。在一些例子中,接點蝕刻停止層330與接點蝕刻停止層333具有不同的蝕刻選擇性。然而在一些例子中,接點蝕刻停止層330與接點蝕刻停止層333可具有類似的蝕刻選擇性。在形成接點蝕刻停止層333之後,可形成介電層339於接點蝕刻停止層333上。介電層339與介電層332類似,可包括層間介電層如四乙氧基矽烷的氧化物、未摻雜的矽酸鹽玻璃、摻雜的氧化矽(如硼磷矽酸鹽玻璃、氟矽酸鹽玻璃、磷矽酸鹽玻璃、或硼矽酸鹽玻璃)、及/或其他合適的介電材料,且其沉積方法可為次壓化學氣相沉積製程、可流動的化學氣相沉積製程、原子層沉積製程、物理氣相沉積製程、或其他合適的沉積技術。在形成介電層339之後,可進行與方法200所述的上述製程類似的製程。舉例來說,可形成接點通孔開口、可進行多個接點蝕刻停止層(如接點蝕刻停止層333與接點蝕刻停止層330)的穿透製程、可視情況沉積黏著層、可沉積金屬層、且可進行化學機械研磨製程。最終裝置300的例示性實施例如圖15所示。值得注意的是,圖15的裝置300的接點通孔開口的寬度W3所跨過的距離,大於兩個相鄰的源極/汲極接點的末端至末端距離,並包括兩個相鄰的源極/汲極接點之間的閘極結構,進而使接點通孔所用的開口具有大關鍵尺寸而改善金屬填隙並降低電阻。
此處所述的多種實施例可比現有方法提供更多優點。可以理解的是,此處不必說明所有優點,所有實施例不必具有特定優點,且其他實施例可提供不同優點。在一例中,此處所述的實施例包括的方法與結構關於含有接點通孔的接點結構所用的製作製程。在至少一些實施例中,形成接點通孔的方法不需採用不同種類的多個硬遮罩層。在一些實施例中,形成第一硬遮罩層於金屬閘極上而非形成第二硬遮罩層於源極/汲極接點上。可回蝕刻源極/汲極接點以形成基板形貌,其包括多個溝槽插入第一硬遮罩層。接著順應性地形成蛇狀接點蝕刻停止層於基板形貌上。在形成蛇狀接點蝕刻停止層之後可形成介電層、形成通孔溝槽、並沉積金屬層於通孔溝槽中,以形成導電接點通孔。藉由採用蛇狀接點蝕刻停止層可實施單一硬遮罩層,其不缺乏蝕刻選擇性(於不同種類的多個硬遮罩層之間)且蝕刻製程因此更可信。此外,採用單一硬遮罩層的方法不需額外的化學機械研磨製程,進而避免非刻意的薄化(損失)硬遮罩。蛇狀接點蝕刻停止層可額外提供保護至金屬閘極側壁間隔物,以緩解側壁間隔物的電壓崩潰可能性。因此此處所述的實施例可提供更可信、低電阻、與大關鍵尺寸的接點通孔(可由蛇狀接點蝕刻停止層所形成),其中低電阻的接點通孔的形成成本下降(比如省略額外的硬遮罩層與相關的製程步驟)。
因此本發明實施例說明半導體的製作方法,包括回蝕刻源極/汲極接點以定義基板形貌,其包括溝槽位於相鄰的多個硬遮罩層之間。在一些實施例中,方法更包括沿著溝槽的側壁與下表面與相鄰的硬遮罩層上沉積接點蝕刻停止層,以提供具有蛇狀圖案的接點蝕刻停止層於基板形貌上。在一些實施例中,方法更包括形成接點通孔開口於接點蝕刻停止層上的介電層中,其中接點通孔開口露出溝槽中的接點蝕刻停止層的一部分。在一些實施例中,方法更包括蝕刻接點通孔開口所露出的接點蝕刻停止層的部分,以形成加大的接點通孔開口並露出回蝕刻的源極/汲極接點。在一些例子中,方法更包括沉積金屬層於加大的接點通孔開口中,以提供接點通孔而接觸露出的回蝕刻的源極/汲極接點。
在一些實施例中,方法更包括在沉積接點蝕刻停止層之前,回蝕刻上述回蝕刻的源極/汲極接點的任一側上的側壁間隔物層,以提供加大的溝槽於相鄰的硬遮罩層之間。
在一些實施例中,沉積接點蝕刻停止層的步驟包括沿著加大的溝槽的側壁與下表面與相鄰的硬遮罩層上沉積接點蝕刻停止層,以提供具有蛇狀圖案的接點蝕刻停止層。
在一些實施例中,接點通孔開口的第一寬度大於加大的溝槽的第二寬度。
在一些實施例中,回蝕刻的側壁間隔物層與回蝕刻的源極/汲極接點實質上齊平。
在一些實施例中,蝕刻接點通孔開口所露出的接點蝕刻停止層的部分以形成加大的接點通孔開口的步驟,包括進行時控的乾蝕刻製程。
在一些實施例中,進行蝕刻的乾蝕刻製程之後,接點蝕刻停止層的部分保留於加大的接點通孔開口的下側部分的側壁上。
在一些實施例中,方法更包括在沉積金屬層之前,沉積黏著層於加大的接點通孔開口中;以及沉積金屬層於黏著層上。
在一些實施例中,方法更包括在形成接點通孔開口之前,沉積介電層於接點蝕刻停止層上。
在一些實施例中,方法更包括在沉積金屬層之後進行化學機械研磨製程,以移除多餘材料並平坦化半導體裝置的上表面。
在另一實施例中,揭露半導體裝置的製作方法,包括提供基板,其包括閘極結構與源極/汲極接點位於閘極結構的任一側上。在一些實施例中,方法更包括進行多個回蝕刻製程,以形成溝槽於閘極結構兩側上的源極/汲極接點上。舉例來說,方法更包括形成接點蝕刻停止層於溝槽中與閘極結構上,以定義蛇狀接點蝕刻停止層於基板上。在一些例子中,方法更包括進行接點蝕刻停止層的穿透製程,以露出與閘極結構相鄰的至少一源極/汲極接點。在多種實施例中,方法更包括形成金屬層以接觸與閘極結構相鄰的露出的至少一源極/汲極接點。
在一些實施例中,多個回蝕刻製程的第一回蝕刻製程使閘極結構的任一側上的源極/汲極接點凹陷。
在一些實施例中,多個回蝕刻製程的第二回蝕刻製程使與凹陷的源極/汲極接點相鄰的側壁間隔物層凹陷。
在一些實施例中,方法更包括:在進行接點蝕刻停止層穿透製程之前,沉積介電層於蛇狀接點蝕刻停止層上。
在一些實施例中,方法更包括:在進行接點蝕刻停止層穿透製程之前,蝕刻介電層以形成接點通孔開口而露出與閘極結構相鄰的至少一源極/汲極接點上的接點蝕刻停止層的第一部分。
在一些實施例中,接點通孔開口更露出相鄰的閘極結構上的硬遮罩層上的接點蝕刻停止層的第二部分。
在一些實施例中,進行接點蝕刻停止層的穿透製程之後與形成金屬層之前,蛇狀接點蝕刻停止層的部分保留於接點通孔開口的下側部分的側壁上。
又一實施例揭露半導體裝置,包括:第一源極/汲極接點與第二源極/汲極接點,與閘極結構相鄰並位於閘極結構的兩側上,其中第一源極/汲極接點與第二源極/汲極接點相對於閘極結構上的硬遮罩層各自凹陷。在一些實施例中,半導體裝置更包括接點通孔,位於第一源極/汲極接點與硬遮罩層的第一部分上,以提供電性連接至第一源極/汲極接點。此外,一些例子中的半導體裝置更包括蛇狀接點蝕刻停止層,位於第二源極/汲極接點與硬遮罩層的第二部分上。
在一些實施例中,半導體裝置更包括蛇狀接點蝕刻停止層的一部分位於與第一源極/汲極接點相鄰的接點通孔的底部區之第一側壁上,其中蛇狀接點蝕刻停止層的部分插入接點通孔與沿著硬遮罩層的第二側壁的側壁間隔物層。
在一些實施例中,半導體裝置更包括另一接點蝕刻停止層,形成於第二源極/汲極接點與硬遮罩層的第二部分之上的蛇狀接點蝕刻停止層上。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。
AA':剖面 H1,H2:高度 L:通道長度 T1,T2,T3,T4:厚度 W:通道寬度 W1,W2,W3:寬度 100:電晶體 102,152,302:基板 104:閘極堆疊 106:閘極介電層 108:閘極 110,155:源極區 112,157:汲極區 114:通道區 150:鰭狀場效電晶體 154:鰭狀物 156:隔離區 158:閘極結構 160:界面層 162:閘極介電層 164,340:金屬層 200:方法 202,204,206,208,210,212,214,216,218,220:步驟 300:裝置 304,306,308:閘極結構 310,312:區域 314:金屬閘極層 315:硬遮罩層 316,318:側壁間隔物層 322,324:溝槽 326:黏著或阻障層 328,329:源極/汲極接點 330,333:接點蝕刻停止層 330a:部分 332,339:介電層 334:接點通孔開口 335:加大的接點通孔開口 336:錐形側壁 338:黏著層
圖1A係一些實施例中,金氧半電晶體的剖視圖。 圖1B係本發明一或多個實施例中,鰭狀場效電晶體裝置的透視圖。 圖2係一些實施例中,形成接點結構與接點通孔的方法的流程圖。 圖3、4、5、6、7、8、9、10、11、12、及13係一些實施例中,以圖2的方法製作與處理的裝置於中間階段的剖視圖,其沿著實質上平行於圖1B的剖面AA'的平面。 圖14及15係一些實施例中,以與圖2的方法類似的方式製作與處理的另一裝置於中間階段的剖視圖,其沿著實質上平行於圖1B的剖面AA'的平面。
200:方法
202,204,206,208,210,212,214,216,218,220:步驟

Claims (1)

  1. 一種半導體裝置的製作方法,包括: 回蝕刻一源極/汲極接點以定義一基板形貌,其包括一溝槽位於相鄰的多個硬遮罩層之間; 沿著該溝槽的側壁與下表面與相鄰的該些硬遮罩層上沉積一接點蝕刻停止層,以提供具有蛇狀圖案的該接點蝕刻停止層於該基板形貌上; 形成一接點通孔開口於該接點蝕刻停止層上的一介電層中,其中該接點通孔開口露出該溝槽中的該接點蝕刻停止層的一部分; 蝕刻該接點通孔開口所露出的該接點蝕刻停止層的該部分,以形成一加大的接點通孔開口並露出回蝕刻的該源極/汲極接點;以及 沉積一金屬層於該加大的接點通孔開口中,以提供一接點通孔而接觸露出的回蝕刻的該源極/汲極接點。
TW111117123A 2021-08-30 2022-05-06 半導體裝置的製作方法 TW202324604A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/461,638 US20230065045A1 (en) 2021-08-30 2021-08-30 Contact formation method and related structure
US17/461,638 2021-08-30

Publications (1)

Publication Number Publication Date
TW202324604A true TW202324604A (zh) 2023-06-16

Family

ID=85286786

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111117123A TW202324604A (zh) 2021-08-30 2022-05-06 半導體裝置的製作方法

Country Status (2)

Country Link
US (1) US20230065045A1 (zh)
TW (1) TW202324604A (zh)

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10998228B2 (en) * 2014-06-12 2021-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned interconnect with protection layer
US9685340B2 (en) * 2015-06-29 2017-06-20 International Business Machines Corporation Stable contact on one-sided gate tie-down structure
US10037918B2 (en) * 2016-11-29 2018-07-31 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of fabricating the same

Also Published As

Publication number Publication date
US20230065045A1 (en) 2023-03-02

Similar Documents

Publication Publication Date Title
US11495465B2 (en) Method and structure for semiconductor device having gate spacer protection layer
KR101560871B1 (ko) 핀펫을 위한 게이트 콘택트 구조
CN109860293B (zh) 半导体装置及其制造方法
TW202017104A (zh) 半導體裝置的形成方法
TW202021121A (zh) 半導體裝置
US20230307365A1 (en) Backside signal interconnection
TW202127617A (zh) 半導體結構
TW202139264A (zh) 半導體裝置的製造方法
US20230197802A1 (en) Connection between gate and source/drain feature
US20220238667A1 (en) Semiconductor structure and forming method thereof
TW202324604A (zh) 半導體裝置的製作方法
CN113053853B (zh) 半导体器件和制造半导体器件的方法
US11177212B2 (en) Contact formation method and related structure
KR102544402B1 (ko) 접촉부 형성 방법 및 관련 구조물
TWI838152B (zh) 半導體裝置及其製造方法
US11257926B2 (en) Self-aligned contact structures
KR102456274B1 (ko) 후면 비아를 가지는 반도체 소자 및 그 제조 방법
US20240014283A1 (en) Semiconductor device with backside power rail
US20240063093A1 (en) Semiconductor device and method of manufacturing the same
US20220359514A1 (en) Semiconductor device structure and methods of forming the same
TW202407879A (zh) 半導體裝置及其製造方法
CN116525442A (zh) 半导体器件和制造半导体结构的方法