TW202310419A - 具有背側電源結構的垂直型半導體元件及其形成方法 - Google Patents

具有背側電源結構的垂直型半導體元件及其形成方法 Download PDF

Info

Publication number
TW202310419A
TW202310419A TW111101035A TW111101035A TW202310419A TW 202310419 A TW202310419 A TW 202310419A TW 111101035 A TW111101035 A TW 111101035A TW 111101035 A TW111101035 A TW 111101035A TW 202310419 A TW202310419 A TW 202310419A
Authority
TW
Taiwan
Prior art keywords
pad
source
metal
gate
circuit unit
Prior art date
Application number
TW111101035A
Other languages
English (en)
Inventor
彭士瑋
邱德馨
曾健庭
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202310419A publication Critical patent/TW202310419A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823885Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0676Nanowires or nanotubes oriented perpendicular or at an angle to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • H01L29/0692Surface layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/4238Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the surface lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78642Vertical transistors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/10Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers
    • H01L25/11Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group H01L29/00
    • H01L25/115Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group H01L29/00 the devices being arranged next to each other

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Manufacturing & Machinery (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

在一個例子中,所描述的半導體結構包括:閘極結構,包括閘極襯墊和閘極襯墊上的閘極接觸件;位於閘極襯墊下方的第一源極區;位於閘極襯墊上方的第一汲極區,其中第一源極區、第一汲極區和閘極結構形成第一電晶體;位於閘極襯墊下方的第二源極區;位於閘極襯墊上方的第二汲極區,其中第二源極區、第二汲極區和閘極結構形成第二電晶體;以及至少一條金屬線,位於第一源極區和第二源極區下方,並且與至少一個供應電源電性連接。

Description

具有背側電源結構的垂直型半導體元件及其形成方法
本公開的實施例是有關於一種具有背側電源結構的垂直型半導體元件及其形成方法。
隨著半導體行業發展到奈米技術節點以追求更高元件密度、高效能和低成本,來自製造和設計問題的挑戰影響了三維元件設計的發展,例如環繞式閘極(GAA)電晶體。典型的GAA電晶體,例如GAA奈米線通道場效電晶體(FET),通過閘極介電層和閘極完全環繞半導體奈米線的通道區可增強對沿縱向方向流動的電荷載子的控制。GAA電晶體具有較輕微的短通道效應,因為通道區可能會被閘極環繞,從而可以減少源極/汲極區對通道區的電場的影響。
儘管已經提出了各種具有奈米線FET的積體電路,但因為提供具有先進效能的積體電路的要求變得越來越具挑戰性,因此仍需要針對具有奈米線FET的積體電路的結構設計方面取得技術進步以克服各種困難,因此,人們繼續尋求對於積體電路及其製造方法的改進。
本背景部分中公開的資訊僅意在為以下描述的本發明的各種實施例的提供上下文,因此,本背景部分可包括不一定為現有技術的資訊(即,本領域的普通技術人員已知的資訊)。因此,在本背景部分描述的範圍內,當前命名的發明人的工作,以及在申請時可能不符合現有技術的描述方面,既無明示也沒有默認為針對本公開的現有技術。
根據本公開的一些實施例,提供一種半導體結構。半導體結構包括:閘極結構,包括閘極襯墊和閘極襯墊上的閘極接觸點;在閘極襯墊下方的第一源極區;在閘極襯墊上方的第一汲極區,其中第一源極區、第一汲極區和閘極結構形成第一電晶體;在閘極襯墊下方的第二源極區;閘極襯墊上方的第二汲極區,其中第二源極區、第二汲極區和閘極結構形成第二電晶體;以及至少一條金屬線,位於第一源極區和第二源極區下方,並且與至少一個供應電源電性連接。
根據本公開的一些實施例,提供一種半導體元件。半導體元件包括:多個電路單元結構和至少一個連接結構,每一個連接結構設置於所述多個電路單元結構中的兩個相鄰電路單元結構之間。所述多個電路單元結構中的每一個都包括:閘極結構,包括閘極襯墊與閘極襯墊上方的閘極接觸點;設置於閘極襯墊下方的第一源極區;設置於閘極襯墊上方的第一汲極區;設置於閘極襯墊下方的第二源極區以及設置於閘極襯墊上的第二汲極區。
根據本公開的一些實施例,提供一種用於形成包括多個電路單元結構的半導體元件的方法。方法包括:對於所述多個電路單元結構中的每一個,在介電層中形成第一源極接觸點和第二源極接觸點;對於所述多個電路單元結構中的每一個,在介電層上沉積第一源極襯墊和第二源極襯墊,其中第一源極襯墊和第二源極襯墊分別與第一源極接觸點和第二源極接觸點接觸;對於所述多個電路單元結構中的每一個,在第一源極襯墊和第二源極襯墊上沉積閘極襯墊;在第一電路單元結構的第一源極襯墊上形成連接結構,其中連接結構延伸到與第一電路單元結構相鄰的第二電路單元結構;並且,對於所述多個電路單元結構中的每一個,在閘極襯墊上沉積第一汲極襯墊和第二汲極襯墊,其中連接結構在第二電路單元結構的第一汲極襯墊和第一電路單元結構的第一源極襯墊之間形成電性連接。
本發明提供用於實施本發明的不同特徵的許多不同實施例。以下闡述組件、數值、運算、材料、佈置或類似物及排列的具體實施例以簡化本發明。當然,該些僅為實施例且不旨在進行限制。舉例而言,以下說明中將第一特徵形成於第二特徵「之上」或第二特徵「上」可包括其中第一特徵與第二特徵被形成為直接接觸的實施例,且亦可包括其中第一特徵與第二特徵之間可形成有附加特徵進而使得所述第一特徵與所述第二特徵可不直接接觸的實施例。另外,本發明可能在各種實施例中重複使用參考編號及/或字母。此種重複使用是出於簡潔及清晰的目的,而不是自身表示所論述的各種實施例及/或配置之間的關係。
此外,為易於說明,本文中可能使用例如「位於…之下(beneath)」、「位於…下方(below)」、「下部的(lower)」、「位於…上方(above)」、「上部的(upper)」等空間相對性用語來闡述圖中所例示的一個元件或特徵與另一(其他)元件或特徵的關係。所述空間相對性用語旨在除圖中所繪示的定向外亦囊括裝置在使用或運算中的不同定向。設備可具有其他定向(旋轉90度或處於其他定向),且本文中所使用的空間相對性描述語可同樣相應地進行解釋。
除非另有定義,本文使用的所有術語(包括技術和科學術語)與本公開所屬領域的普通技術人員通常理解的涵義相同。將進一步理解,例如在常用字典中定義的那些術語,應被解釋為具有與其在相關領域及本公開內容中的涵義互相一致的涵義,並且不會以理想化或過於正式的涵義來解釋,除非在此明確定義。
現在將詳細描述本公開目前的實施例,附圖中示出了這些實施例。在可能的情況下,在附圖和描述中使用相同的參考編號來代表相同或相似的部件。
為了減少被電晶體佔用的基底面積以及改善繞線的彈性,本教示公開了具有背側電源結構的垂直奈米線電晶體。在一些實施例中,垂直奈米線電晶體中的訊號電流流過多個垂直奈米線,這些奈米線在垂直方向堆疊的源極襯墊和汲極襯墊之間。所述多個垂直奈米線是源極和汲極之間的垂直半導體通道,由閘極襯墊上的電壓控制,閘極襯墊位於源極襯墊和汲極襯墊之間,並且圍繞著所述多個垂直奈米線中的每一條奈米線。一條或多條金屬線設置於源極襯墊下方,通過垂直奈米線電晶體背側的一個或多個通孔,向垂直奈米線電晶體提供電源。垂直結構減少了電晶體的寄生電容,並且提供電路單元更好的寬長比,其中包括共享相同的閘極襯墊的兩個電晶體。
在一些實施例中,公開了連接結構,用於連接兩個相鄰電路單元中的兩個各自的電晶體。在一個實施例中,連接結構包括於兩個相鄰電路單元之一的汲極襯墊和兩個相鄰電路單元中另一個的源極襯墊之間物理耦合的通孔。在另一個實施例中,連接結構包括一個內層金屬,通過內層金屬之上和之下的兩個通孔分別在兩個相鄰電路單元之一的汲極襯墊和兩個相鄰電路單元中另一個的源極襯墊之間形成電性連接。在又一個實施例中,連接結構包括由兩個相鄰電路單元共用的共汲極襯墊,以及於兩個相鄰電路單元之一的源極襯墊和電路單元的前側處的金屬線之間物理耦合的通孔。
圖1根據本公開的一些實施例示出了示例性垂直型電晶體100的透視圖。如圖1所示,示例性垂直型電晶體100包括夾在閘極襯墊130下方的源極襯墊122和閘極襯墊130上方的汲極襯墊142之間的閘極襯墊130。而汲極接觸點152設置於汲極襯墊142上以提供繞線連接至垂直型電晶體100的前側,而源極接觸點112是設置於源極襯墊122之上以提供繞線連接至垂直型電晶體100的背側。與全前側繞線的電晶體相比,具有背側繞線的垂直型電晶體100具有更小的源極接觸點112的面積,因此減少了源極襯墊122和閘極襯墊130之間的電容。另外,由於源極接觸點112被設置於垂直型電晶體100的源極襯墊122的下方以提供背側的繞線,相較於電晶體全前側繞線,在源極接觸點112和汲極接觸點152、汲極襯墊142和閘極襯墊130中的任何一個之間所產生的寄生電容可以降低或去除。
圖2A根據本公開的一些實施例圖示了示例性半導體結構200-1的剖視圖。如圖2A所示,半導體結構200-1包括閘極結構,包括閘極襯墊230和閘極襯墊230上的閘極接觸點250。半導體結構200-1包括設置於閘極襯墊230下面的第一源極區;和設置於閘極襯墊230上的第一汲極區。第一源極區包括第一源極襯墊222和第一源極襯墊222以下的第一源極接觸點212。第一汲極區包括第一汲極襯墊242和第一汲極襯墊242上的第一汲極接觸點252。
半導體結構200-1還包括設置在閘極襯墊230之下的第二源極區以及設置在閘極襯墊230上的第二汲極區。第二源極區包括第二源極襯墊224和第二源極襯墊224下方的第二源極接觸點214。第二汲極區包括第二汲極襯墊244和第二汲極襯墊244上方的第二汲極接觸點254。
在一些實施例中,第一源極襯墊222、第二源極襯墊224、第一汲極襯墊242、第二汲極襯墊244和閘極襯墊230中的每一個均可以使用任何適合的製程形成,然後使用微影/蝕刻製程或另一種合適的材料移除製程進行圖案化。在一些實施例中,第一源極襯墊222、第二源極襯墊224、第一汲極襯墊242、第二汲極襯墊244和閘極襯墊230中的每一個可以包括:金屬、金屬化合物、矽化物或其組合。在一些實施例中,金屬或金屬化合物包括鈦 (Ti)、鉭 (Ta)、鎢 (W)、鋁 (Al)、銅 (Cu)、鉬 (Mo)、鉑 (Pt)、氮化鈦 (TiN)、氮化鈦 (TaN)、碳化鉭 (TaC)、氮矽化鉭 (TaSiN)、氮化鎢 (WN)、氮化鉬 (MoN)、氮氧化鉬 (MoON)、氧化釕 (RuO 2)、鋁化鈦 (TiAl)、氮鋁化鈦 (TiAlN)、氮碳化鉭 (TaCN)、它們的組合或其他合適的材料。在一些實施例中,矽化物包括矽化鈷、鈦矽化物、矽化鎢、鎳矽化物或其組合。
在一些實施例中,第一汲極接觸點252和第二汲極接觸點254中的每一個稱為汲極處通孔(VD);並且第一源極接觸點212和第二源極接觸點214中的每一個稱為背側處通孔(VB);閘極接觸點250稱為閘極處通孔(VG)。在一些實施例中,第一汲極接觸點252、第二汲極接觸點254、第一源極接觸點212、第二源極接觸點214和閘極接觸點250中的每一個可以包括導電材料,例如金屬(例如,鉭、鈦、鉬、鎢、鉑、鋁、鉿、釕)、金屬矽化物(例如鈦矽化物、矽化鈷、鎳矽化物、鉭矽化物)、金屬氮化物(例如氮化鈦、氮化鉭)、具摻雜的多晶矽、其他導電材料、它們的組合或其類似物。
第一源極區、第一汲極區和閘極結構形成第一電晶體,而第二源極區、第二汲極區和閘極結構形成第二電晶體。兩個電晶體共用一個相同的閘極230,並且通過一個接點或通孔VG250電性連接到一個位於閘極襯墊230上的金屬0線260。在一些實施例中,第一電晶體是第一型的金屬-氧化物-半導體場效電晶體(MOSFET);第二電晶體是第二型的MOSFET,其中第一型和第二型為相反型式。舉例來說,第一電晶體是p型電晶體,第二電晶體是n型電晶體;或者第一電晶體是n型電晶體,第二電晶體是p型電晶體。
在圖2A中,沿半導體結構200-1的Z方向的上側被稱為半導體結構200-1的前側,而沿半導體結構200-1的Z方向的下側被稱為半導體結構200-1的背側。前側和背側與為相對的側。如圖2A所示,半導體結構200-1進一步包括設置於閘極結構之上的金屬0(M0)線,即在半導體結構200-1的前側。閘極接觸點250、第一汲極接觸點252和第二汲極接觸點254中的每一者都與設置在閘極結構上的其中一條M0金屬線260電性連接。半導體結構200-1還包括設置於閘極結構下方的底部金屬0(BM0)線202、204,即半導體結構200-1的背側處。第二源極接觸點214電性連接到位於半導體結構200-1背側的BM0金屬線204。
在一些實施例中,BM0金屬線202、204中的至少一個是電性連接到電源供應器。舉例來說,第一源極接觸點212通過位於半導體結構200-1的背側處的BM0金屬線202電性連接到正電源(VDD);以及第二源極接觸點214通過位於半導體結構200-1的背側處的BM0金屬線204電性連接到負電源(VSS)。或者可替代地,第一源極接觸點212通過位於半導體結構200-1的背側處的BM0金屬線202電性連接到負電源(VSS);並且第二源極接觸點214通過位於半導體結構200-1的背側處的BM0金屬線202電性連接到正電源(VDD)。
M0金屬線260為在前側處沿Z方向的距離兩個電晶體最接近的金屬線,而BM0金屬線202、204為在背側處沿Z方向的距離兩個電晶體最接近的金屬線。在一些實施例中,如圖2A所示,背側處的BM0金屬線202、204與前側處的M0金屬線260互相平行。在其他實施例中,背側處的BM0金屬線202、204與前側處的M0金屬線260互相垂直。
如圖2A所示,半導體結構200-1還包括通過閘極襯墊230形成的多個第一通孔235,這些通孔連接第一汲極襯墊242和第一源極襯墊222;並且還包括通過閘極襯墊230形成並連接第二汲極襯墊244和第二源極襯墊224的多個第二通孔236。在一些實施例中,所述多個第一通孔235在第一源極襯墊222和第一汲極襯墊之間形成垂直奈米線通道235242;而所述多個第二通孔236在第二源極襯墊224和第二汲極襯墊244之間形成垂直奈米線通道236。通道235和通道236中的每一者都由閘極襯墊230上的電壓所控制。在一些實施例中,垂直奈米線通道235和垂直奈米線通道236包括相反類型的半導體材料。舉例來說,垂直奈米線通道235是包括p型半導體材料的p型通道,並且垂直奈米線通道236是包括n型半導體材料的n型通道。或者可替代地,垂直奈米線通道236是包括p型半導體材料的p型通道,而垂直奈米線通道235是包括n型半導體材料的n型通道。具有奈米線通道的電晶體可以具有優於傳統電晶體的靜電效果。奈米線通道的製造可以包括成長奈米線的集合並且將它們放置在需要通道的地方(例如,自底而上的成長方法)或者可以包括各種微影的圖案化製程(例如,自頂向下的製造方法)。
如圖2A所示,第一源極接觸點212和第二源極接觸點214在介電層210中形成。 在一些實施例中,介電層210包括介電材料例如氧化矽、氮化矽、氧氮化矽、高介電常數材料(例如氧化鉿(HfOx)、氧化鋯(ZrOx)或氧化鋁(Al 2O3))或其他合適的絕緣材料。介電層210形成在包括BM0金屬線202、204的金屬層上。第一源極襯墊222和第二源極襯墊224在介電層210上形成源極襯墊層。源極襯墊層包括位於第一源極襯墊222和第二源極襯墊224之間的淺溝渠隔離(STI)225,其中STI將第一源極襯墊222和第二源極襯墊224電性隔離。
在一些實施例中,圖2A中的半導體結構200-1還可以包括連接源極襯墊和汲極襯墊的通孔232,例如連接第一源極襯墊222和第一汲極襯墊242,其中通孔232位於閘極襯墊230之外。 在一些實施例中,圖2A中的半導體結構200-1還可以包括將源極襯墊(例如第二源極襯墊224)連接到前側處的M0金屬線260的通孔234,其中通孔234位於閘極襯墊230之外。
在一些實施例中,垂直奈米線或奈米片235中的每個沿Z方向的高度NSH介於12.5奈米和60奈米之間。在一些實施例中,第一汲極接觸點252和第二汲極接觸點254中的每個沿Z方向的高度 (VD_H)介於0.5*NSH和2.5*NSH之間。在一些實施例中,第一源極接觸點212和第二源極接觸點214(VB_H)中的每個沿Z方向的高度介於0.5*NSH和2.5*NSH之間。在一些實施例中,第一源極襯墊222和第二源極襯墊224中的每個沿Z方向的高度(Source_Pad_H) 介於1*NSH和2*NSH之間。在一些實施例中,第一汲極襯墊242和第二汲極襯墊244中的每個沿Z方向的高度 (Drain_Pad_H)介於1*NSH和3*NSH之間。在一些實施例中,通孔232沿Z方向的高度等於NSH。在一些實施例中,閘極接觸點250沿Z方向的高度(VG_H)為 VG_H =VD_H + Drain_Pad_H + a*NSH,其中a介於0.7和1之間。
圖2B根據本公開的一些實施例示出了示例性半導體結構200-2的前側的上視圖,對應於圖2A中的半導體結構200-1。在一些實施例中,半導體結構200-2包括共享一個閘極襯墊的兩個電晶體,如圖2A和圖2B所示,可稱為一個電路單元,作為半導體元件的基本單元。舉例來說、各種半導體元件,例如反相器、及閘、反及閘、或閘等,可以由一個或多個電路單元形成。
如圖2B所示,電路單元可以包括多個位於電晶體之上的金屬層260、270。在一些實施例中,第一金屬層260包括形成於閘極接觸點250、第一汲極接觸點252和第二汲極接觸點254上的多個金屬0線260;而第二金屬層270包括形成在第一金屬層260上的多個金屬1線270。當所述多條金屬0線260中的每一條都沿著Y方向延伸時,所述多個金屬1線270中的每一條都沿著垂直於Y方向的X方向延伸。
如圖2B所示,電路單元200-2具有沿Y方向的第一長度(稱為“寬度”)291和沿X方向的第二長度(稱為“高度”)292。在一些實施例中,從金屬0線到相鄰的金屬0線的距離稱為第一金屬層260的第一金屬間距262;而從金屬1線到相鄰的金屬1線的距離稱為第二金屬層270的第二金屬間距272。
圖3A根據本公開的一些實施例示出了示例性半導體結構前側(例如,如圖2A和圖2B中所示的電路單元)的金屬線的示例性佈局。在本例中,電路單元包括第一金屬層310,該第一金屬層310包括位於前側的電路單元的電晶體上沿Y方向延伸的金屬線316;並且該電路單元包括一個第二金屬層320,該第二金屬層320包括在第一金屬層310上沿X方向延伸的金屬線326。在一些實施例中,圖3A中的電路單元在第一金屬層310中至少具有3條金屬線316,金屬線316中的其中一條用於閘極電路單元的繞線,另外兩條金屬線316則分別用於兩個汲極電路單元的繞線。在一些實施例中,圖3A中的電路單元在第二金屬層320中至少具有兩條金屬線326,其中一條金屬線326用於閘極電路單元的繞線,另外一條金屬線326則用於兩個汲極電路單元的繞線。在一些實施例中,圖3A中的電路單元具有高度312,高度範圍介於3倍的第一金屬層310中的第一金屬間距318的和5.5倍的第一金屬層310中的第一金屬間距318之間;並且具有寬度314介於第二金屬層320中的第二金屬間距328的2倍和第二金屬間距328的3倍之間的範圍。在一些實施例中,第一金屬間距318和第二金屬間距328具有20/26的比值。
圖3B根據本公開的一些實施例示出了示例性半導體結構或電路單元的前側處的金屬線的另一個示例性佈局。在這個例子中,圖3B中的電路單元包括一個第一金屬層330,其中該金屬層包括位於在前側的電路單元的電晶體上沿著X方向延伸的金屬線336;並且該電路單元包括第二金屬層340,其中該金屬層包括在第一金屬層330上沿Y方向延伸的金屬線346。在一些實施例中,圖3B中的電路單元在第一金屬層330中至少具有兩條金屬線336,其中一條金屬線336用於電路單元中的閘極繞線,另一條金屬線336則用於電路單元中的兩個汲極的繞線。在一些實施例中,圖3B中的電路單元在第二金屬層340中至少具有3條金屬線346,其中一條金屬線346用於電路單元的閘極繞線,另兩條金屬線346則分別用於電路單元中的兩個汲極的繞線。在一些實施例中,圖3B中的電路單元在第一金屬層330中的第一金屬間距338的2倍和第一金屬間距338的3倍之間的範圍內具有高度332;並且在第二金屬層340中的第二金屬間距348的3倍和第二金屬間距348的5倍之間的範圍內具有寬度334。在一些實施例中,如圖1-3中所示的電路單元的面積所造成的影響可因為以下原因而減少:所公開的電路單元的高度和寬度之間的比值,和/或所公開的第一金屬間距和第二金屬間距之間的比值。
圖4根據本公開的一些實施例示出了一個包括兩個電路單元的示例性電路400-1的圖。圖4B根據本公開的一些實施例示出了包括兩個電路單元的示例性電路400-2的透視圖,對應於本公開的的圖4A的一些實施例。圖4B中的節點/連接點411、412、413、421、422、431、432對應於圖4A中的節點/連接點411、412、413、421、422、431、432。在一些實施例中,圖4B中的示例性電路400-2是雙端輸入的反及閘,其在後端處有供應電源(VDD421和VSS411)。為了實現雙端輸入的反及閘400-2,在電路的前端處形成兩個連接點412、422,同時形成一個連接點413以在電路的前端和後端之間傳送訊號。因此,在圖4A和圖4B所示的實施例中,至少需要一個連接結構以將電晶體的背側處的源極電連接到另一個電晶體的前側處的汲極,即將兩個電晶體串聯連接。
圖5根據本揭露的一些實施例示出了包括三個電路單元的示例性電路500的透視圖。在一些實施例中,圖5中的示例性電路500是一個三端輸入的反及閘,在該電路後端處具有供應電源(VDD,VSS)。為了實現三端輸入的反及閘500,會在電路的前端形成四個連接點521、522、523、524,而在電路的後端會形成一個連接點511。因此,在圖5所示出的實施例中,不需要在電路的前端和後端之間傳送訊號的連接結構。
圖6A根據本公開的一些實施例示出了元件600-1的透視圖,該元件包括具有一個連接結構的兩個相鄰電路單元。如圖6A所示,元件600-1包括在元件600-1的背側處的金屬線602、604上形成兩個相鄰電路單元601、603。電路單元601包括:在介電層610上形成的源極襯墊622、624;源極襯墊622、624上的閘極襯墊630和在閘極襯墊630上形成的汲極襯墊642、644。其中電路單元603具有與電路單元601相似的結構,電路單元601和電路單元603都透過位於背側的金屬線602、604提供了供應電源。舉例來說、電路單元601和電路單元603從背側的金屬線602通過介電層610中的通孔612提供負電源(VSS),並從背側處的金屬線604通過介電層610中的通孔614提供正電源(VDD)。或者可替代地,電路單元601和電路單元603從背側的金屬線604通過介電層610中的通孔614提供負電源(VSS),並從背側的金屬線602通過介電層610中的通孔612提供一個正電源(VDD)。
如圖6A所示,元件600-1還包括在電路單元603的汲極襯墊646和電路單元601的源極襯墊622之間物理耦合的通孔636。該通孔636將兩個相鄰電路單元601、603中的兩個電晶體分別串聯起來,如圖6B的電路圖600-2所示,並且對應於圖6A中的連接結構636。通孔636位於電路單元601中的源極襯墊622上,並且通孔636位於電路單元603中的介電部分626上。如圖6B中連接結構636的上視圖600-3所示,介電部分626在電路單元603中的源極襯墊628旁邊。
圖7A根據本揭露的一些實施例示出了包括兩個相鄰電路單元和另一個連接結構的元件700-1的透視圖。如圖7A所示,元件700-1包括在元件700-1的背側處的金屬線702、704上形成的兩個相鄰電路單元701、703。電路單元701包括:在介電層710上形成的源極襯墊722、724;在源極襯墊722上的閘極襯墊730,724以及在閘極襯墊730上形成的汲極襯墊742,744。電路單元703具有與電路單元701相似的結構,並且電路單元701和電路單元703都透過位於背側的金屬線702,704提供了供應電源。舉例來說、電路單元701和電路單元703從背側的金屬線702通過介電層710中的通孔712提供負電源(VSS),並從金屬線704的背側處通過介電層710中的通孔714提供正電源(VDD)。或者可替代地,電路單元701和電路單元703從背側的金屬線704通過介電層710中的通孔714提供負電源(VSS),並從背側的金屬線702通過介電層710中的通孔712提供一個正電源電源(VDD)。
如圖7A所示,元件700-1還包括一個內層金屬736,通過內層金屬736下方的通孔735和內層金屬736上方的通孔737,在電路單元703的汲極襯墊746和電路單元701的源極襯墊722之間形成電性連接。該內層金屬736與通孔735、737,分別將兩個相鄰電路單元701、703中的兩個電晶體串聯連接,如圖7B的電路圖700-2所示,對應於圖7A中的連接結構736。內層金屬736位於電路單元701中的源極襯墊722上方,而內層金屬736位於電路單元703中的介電部分726上方。如圖7B中連接結構736的上視圖700-3所示,介電部分726位於電路單元703中的源極襯墊728旁邊。
圖8A根據本揭露的一些實施例示出了包括兩個相鄰電路單元和另一個連接結構的元件800-1的透視圖。如圖8A所示,元件800-1包括在元件800-1的背側處的804的金屬線802上形成的兩個相鄰電路單元801、803、。電路單元801包括:在介電層810上形成的源極襯墊822、824、源極襯墊822上的閘極襯墊830,824以及形成於閘極襯墊830之上的汲極襯墊842、844。電路單元803具有與電路單元801相似的結構,且電路單元801和電路單元803都通過和背側的金屬線802、804提供了供應電源。舉例來說、電路單元801和電路單元803從背側的金屬線802透過介電層810的通孔812提供負電源(VSS),並從背側處的金屬線804透過介電層810中的通孔814提供正電源(VDD)。或者可替代地,電路單元801和電路單元803從背側的金屬線804透過介電層810中的通孔814提供負電源(VSS),並從背側的金屬線802透過介電層810中的通孔812提供一個正電源(VDD)。
如圖8A所示,在此例子當中汲極襯墊842是兩個相鄰單元801、803共用的共汲極襯墊。在一些實施例中,汲極襯墊844是兩個相鄰單元801、803共用的共汲極襯墊。此外,元件800-1還包括在電路單元801的源極襯墊822和電路單元801的前側的金屬線860之間形成物理耦合的通孔836,並且包括在汲極襯墊844以及電路單元801的前側的金屬線860之間形成物理耦合的通孔854。對應於圖8A中的連接結構,共汲極襯墊842分別串聯連接兩個相鄰電路單元801、803中的兩個電晶體,如圖8B的電路圖800-2所示。通孔836位於電路單元801中的源極襯墊822上,但在此實施例中,沒有通孔位於電路單元703中的介電部分826之上。如圖8B中連接結構836的上視圖800-3所示,介電部分826位於電路單元803中的源極襯墊828旁邊,而共汲極襯墊842位於電路單元801中的源極襯墊822和電路單元803中的源極襯墊828之上。在一些實施例中,每個連接結構636、736、836可以包括與連接結構所連接的源極襯墊和/或汲極襯墊中的相同材料。
圖9示出了根據本公開的一些實施例的包括單一電路單元的示例性半導體元件900的透視圖。在一些實施例中,圖9中的半導體元件900是一個反相器,包括:在介電層910上形成的源極襯墊922、924、源極襯墊922、924上的閘極襯墊930以及形成於閘極襯墊930之上的汲極襯墊942、944。源極襯墊922通過在介電層910中的通孔912與背側的金屬線902電性連接以提供正電源(VDD),源極襯墊924通過在介電層910中的通孔914與背側處的金屬線904電性連接以提供負電源(VSS)。或者可替代地,源極襯墊922通過介電層910中的通孔912與背側的金屬線902電性連接以提供VSS,並且源極襯墊924通過介電層910中的通孔914與在背側處的金屬線904電性連接而提供VDD。
如圖9所示,半導體元件900還包括分別位於汲極襯墊942、944上的汲極接觸點952、954,並且包括了位於閘極襯墊930上的閘極接觸點950。在本實施例中,前側的三條M0線962、966、964分別設置於汲極接觸點952、954以及閘極接觸點950上以提供前側的繞線。此外,兩個M1 線971、972設置於三條M0 線962、966、964上。在一些實施例中,M1 線971電性連接到M0 線964以作為反相器900的輸入引腳;而M1 線972則電性連接到M0 線962,966以作為反相器900的輸出引腳。
圖10根據本公開的一些實施例示出了包括兩個電路單元的示例性半導體元件1000的透視圖。在一些實施例中,圖10中的半導體元件1000是一個雙輸入的反及閘,包括兩個相鄰電路單元1001、1003,該兩個相鄰電路單元至少通過一個連接結構互相連接,每個連接結構都設置在兩個相鄰單元1001、1003之間。如圖10所示,兩個相鄰電路單元1001、1003中的每一個都具有類似於圖1-9所示的電路單元結構,兩個相鄰電路單元1001、1003的每個源極接觸點都通過其中一條設置在電路單元背側的金屬線1002、1004電性連接到供應電源。在一些實施例中,至少一連接結構包括耦合在電路單元1003的汲極襯墊1046與電路單元1001的源極襯墊1022之間的通孔1036。在一些實施例中,至少一連接結構包括由兩個相鄰電路單元1001、1003的兩個各自的電晶體共用的共汲極襯墊1044。
如圖10所示,半導體元件1000還包括汲極接觸點1052,1054和閘極接觸點1051,1053。在這個例子中,前側的4條M0 線1062、1066、1064、1068分別設置在汲極接觸點1052、1054和閘極接觸點1051、1053上以提供前側的繞線。另外,三條M1 線1071、1072、1073設置在三條M0 線1062、1066、1064、1068上。在一些實施例中,M1 線1071與M0 線1064電性連接以作為雙輸入的反及閘1000的第一輸入引腳,M1 線1073與M0 線1068電性連接以作為雙輸入的反及閘1000的第二輸入引腳,而M1 線1072與M0 線1062、1066電性連接以作為雙輸入的反及閘1000的輸出引腳。
圖11示出了根據本公開的一些實施例的包括四個電路單元的示例性半導體元件1100的透視圖。在一些實施例中,圖11中的半導體元件1100是四端輸入的半導體元件,該半導體元件包括四個相鄰或連續的電路單元1101、1103、1105、1107,並且由至少一個連接結構將其連接,其中每個連接結構都設置在四個電路單元1101、1103、1105、1107中的兩個相鄰電路單元之間。如圖11所示,其中四個電路單元1101、1103、1105、1107的結構與圖1-10所示的電路單元相似,4個電路單元1101、1103、1105、1107的每個源極接觸點都通過其中一條設置在電路單元背側的金屬線1102,1104與供應電源電性連接。在一些實施例中,至少一連接結構包括:耦合在電路單元1101的汲極襯墊和電路單元1103的源極襯墊之間的通孔1136、耦合在電路單元1107的汲極襯墊和電路單元1105的源極襯墊之間的通孔1137以及耦合在電路單元1105的汲極襯墊和電路單元1103的源極襯墊之間的通孔1138。
如圖11所示,本例中前側的六條M0線1162、1163、1164、1165、1166設置在四個電路單元1101、1103、1105、1107的汲極接觸點和閘極接觸點上以提供前側的繞線。此外,五條M1 線1171、1172、1173、1174、1175設置於六條M0 線1161、1162、1163、1164、1165、1166上方。在一些實施例中,M1 線1171與M0 線1161電性連接以作為四端輸入元件1100的第一輸入引腳,M1 線1172與M0 線1163電性連接以作為四端輸入元件1100的第二輸入引腳,M1 線1174與M0 線1165電性連接以作為四端輸入元件1100的第三輸入引腳,M1 線1175與M0 線1166電性連接以作為四端輸入元件1100的第四輸入引腳,而M1 線1173與M0 線1162、1164電性連接以作為四端輸入元件1100的輸出引腳。
圖12示出了根據本公開的一些實施例示出了用於形成包括多個電路單元結構的半導體元件的示例性方法1200的流程圖。在一些實施例中,半導體元件可以是圖1-11中描述的任何元件。在操作1202中,對於半導體元件中的所述多個電路單元結構中的每一個,會在介電層中形成第一源極接觸點和第二源極接觸點。在操作1204中,對於所述多個電路單元結構中的每一個,第一源極襯墊和第二源極襯墊沉積在介電層上,其中第一源極襯墊和第二源極襯墊分別與第一源極接觸點和第二源極接觸點互相接觸。在操作1206中,對於所述多個電路單元結構中的每一個,閘極襯墊沉積在第一源極襯墊和第二源極襯墊上。在操作1208中,連接結構在第一電路單元結構的第一源極襯墊上形成,其中連接結構延伸到與第一電路單元結構相鄰的第二電路單元結構。在操作1210中,對於所述多個電路單元結構中的每一個,第一汲極襯墊和第二汲極襯墊沉積在閘極襯墊上,其中連接結構在第二電路單元結構的第一汲極襯墊和第一電路單元結構的第一源極襯墊之間形成電性連接。
可選地,在操作1212處,對於所有的所述多個電路單元結構,在半導體元件的背側處的介電層下方形成一個金屬層。在一些實施例中,金屬層包括與負電源電性連接的第一金屬線以及與正電源電性連接的第二金屬線。所述多個電路單元結構的所有第一源極接觸點可以和第一金屬線形成電性連接,並且所述多個電路單元結構的所有第二源極接觸點都可以和第二金屬線形成電性連接。圖12中所示的操作的順序可以根據本公開的不同實施例而改變。
在一實施例中,公開了半導體結構。半導體結構包括:閘極結構,包括閘極襯墊和閘極襯墊上的閘極接觸點;在閘極襯墊下方的第一源極區;在閘極襯墊上方的第一汲極區,其中第一源極區、第一汲極區和閘極結構形成第一電晶體;在閘極襯墊下方的第二源極區;閘極襯墊上方的第二汲極區,其中第二源極區、第二汲極區和閘極結構形成第二電晶體;以及至少一條金屬線,位於第一源極區和第二源極區下方,並且與至少一個供應電源電性連接。在一些實施例中,所述第一電晶體是第一型的金屬-氧化物-半導體場效電晶體(MOSFET);所述第二電晶體是第二型的MOSFET;以及所述第一型MOSFET和所述第二型MOSFET是相反的類型。在一些實施例中,所述第一汲極區包括第一汲極襯墊和所述第一汲極襯墊上的第一汲極接觸點;所述第二汲極區包括第二汲極襯墊和所述第二汲極襯墊上的第二汲極接觸點;以及所述閘極接觸點、所述第一汲極接觸點和所述第二汲極接觸點中的每一者都與設置於所述閘極結構上的多條第一金屬線中的其中一條電性連接。在一些實施例中,所述第一源極區包括第一源極襯墊和所述第一源極襯墊下方的第一源極接觸點;所述第二源極區包括第二源極襯墊和所述第二源極襯墊下方的第二源極接觸點;以及所述第一源極接觸點和所述第二源極接觸點中的每一個都與設置於所述閘極結構下方的金屬層中的多條第二金屬線中的其中一條電性連接。在一些實施例中,所述半導體結構,更包括:多個第一通孔,通過所述閘極襯墊形成,並且連接所述第一汲極襯墊與所述第一源極襯墊;以及多個第二通孔,通過所述閘極襯墊形成,並且連接所述第二汲極襯墊和所述第二源極襯墊。在一些實施例中,所述第一源極接觸點和所述第二源極接觸點在所述金屬層上形成一個介電層;所述第一源極襯墊和所述第二源極襯墊在所述介電層上形成一個源極襯墊層;以及所述源極襯墊層包括位於所述第一源極襯墊和所述第二源極襯墊之間的淺溝渠隔離(STI)。在一些實施例中,所述第一源極接觸點和所述第二源極接觸點的其中一者通過所述閘極結構下方的所述多條第二金屬線中的其中一條與正電源電性連接;以及所述第一源極接觸點和所述第二源極接觸點中的另一者通過所述閘極結構下方的所述多條第二金屬線中的其中一條與負電源電性連接。在一些實施例中,所述多條第一金屬線包括位於電路單元前側處的金屬0線;所述多條第二金屬線包括位於所述電路單元背側處的金屬0線;以及所述多條第二金屬線與所述多條第一金屬線互相平行。在一些實施例中,所述多個第一金屬線包括位於所述電路單元的前側處的所述金屬0線;所述多個第二金屬線包括位於所述電路單元的背側處的所述金屬0線;以及所述多條第二金屬線垂直於所述多條第一金屬線。
在另一個實施例中,公開了半導體元件。半導體元件包括:多個電路單元結構和至少一個連接結構,每一個連接結構設置於所述多個電路單元結構中的兩個相鄰電路單元結構之間。所述多個電路單元結構中的每一個都包括:閘極結構,包括閘極襯墊與閘極襯墊上方的閘極接觸點;設置於閘極襯墊下方的第一源極區;設置於閘極襯墊上方的第一汲極區;設置於閘極襯墊下方的第二源極區以及設置於閘極襯墊上的第二汲極區。在一些實施例中,所述第一源極區、所述第一汲極區和所述閘極結構組成了第一型的第一電晶體;所述第二源極區、所述第二汲極區和所述閘極結構組成了第二型的第二電晶體;並且所述第一型的第一電晶體和所述第二型的第二電晶體是不同的類型。在一些實施例中,所述第一汲極區包括第一汲極襯墊和所述第一汲極襯墊上的第一汲極接觸點;所述第二汲極區包括第二汲極襯墊和所述第二汲極襯墊上的第二汲極接觸點;所述第一源極區包括第一源極襯墊和所述第一源極襯墊下方的第一源極接觸點;以及所述第二源極區包括第二源極襯墊和所述第二源極襯墊下方的第二源極接觸點。在一些實施例中,所述多個電路單元結構的所有所述第一源極接觸點通過設置於所述半導體元件的背側的第一金屬線與第一供應電源電性連接;以及所述多個電路單元結構的所有所述第二源極接觸點通過設置於所述半導體元件的所述背側處的第二金屬線與第二供應電源電性連接。在一些實施例中,至少一連接結構包括至少以下一種:在所述兩個相鄰電路單元結構的其中一者的所述第一汲極襯墊與所述兩個相鄰電路單元結構中的另一者的所述第一源極襯墊之間形成物理耦合的通孔;內層金屬,分別通過所述內層金屬上方及下方的兩個所述通孔,在所述兩個相鄰電路單元結構的其中一者的所述第一汲極襯墊以及所述兩個相鄰電路單元結構中的另一者的所述第一源極襯墊之間形成電性連接;共汲極襯墊,由所述兩個相鄰電路單元結構共用;以及在所述兩個相鄰電路單元結構的其中一者的所述第一源極襯墊和所述半導體元件的前側處的金屬線之間形成物理耦合的通孔。在一些實施例中,半導體元件,更包括:第一金屬層,包括在所述閘極接觸點、所述第一汲極接觸點和所述第二汲極接觸點上形成的多條金屬0線;以及第二金屬層,包括在所述第一金屬層上形成的多條金屬1線,其中:所述多條金屬0線中的每一條沿第一方向延伸;所述多條金屬1線中的每一條沿著垂直於所述第一方向的第二方向延伸;並且所述多個電路單元結構中的每一者具有一個沿著所述第一方向的第一長度以及沿著所述第二方向的第二長度。在一些實施例中,所述第二長度是所述第一金屬層的第一金屬間距的3到5.5倍;以及所述第一長度是所述第二金屬層的第二金屬間距的2到3倍。在一些實施例中,所述第二長度是所述第一金屬層的第一金屬間距的2到3倍;以及所述第一長度是所述第二金屬層的第二金屬間距的3到5倍。
在又一個實施例中,公開了用於形成包括多個電路單元結構的半導體元件的方法。方法包括:對於所述多個電路單元結構中的每一個,在介電層中形成第一源極接觸點和第二源極接觸點;對於所述多個電路單元結構中的每一個,在介電層上沉積第一源極襯墊和第二源極襯墊,其中第一源極襯墊和第二源極襯墊分別與第一源極接觸點和第二源極接觸點接觸;對於所述多個電路單元結構中的每一個,在第一源極襯墊和第二源極襯墊上沉積閘極襯墊;在第一電路單元結構的第一源極襯墊上形成連接結構,其中連接結構延伸到與第一電路單元結構相鄰的第二電路單元結構;並且,對於所述多個電路單元結構中的每一個,在閘極襯墊上沉積第一汲極襯墊和第二汲極襯墊,其中連接結構在第二電路單元結構的第一汲極襯墊和第一電路單元結構的第一源極襯墊之間形成電性連接。在一些實施例中,所述連接結構至少包括以下一種:通孔,在所述第二電路單元結構的所述第一汲極襯墊和所述第一電路單元結構的所述第一源極襯墊之間形成物理耦合;或者內層金屬,分別通過所述內層金屬上方及下方的兩個所述通孔,在所述第二電路單元結構的所述第一汲極襯墊和所述第一電路單元結構的所述第一源極襯墊之間形成電性連接。在一些實施例中,所述的方法,更包括:對於所有的所述多個電路單元結構,在所述半導體元件的背側處形成位於所述介電層下方的金屬層,其中:所述金屬層包括電性連接到負電源的第一金屬線和電性連接到正電源的第二金屬線;所述多個電路單元結構中的所有所述第一源極接觸點電性連接到所述第一金屬線;以及所述多個電路單元結構中的所有所述第二源極接觸點電性連接到所述第二金屬線。
以上概述了若干實施例的特徵,以使熟習此項技術者可更佳地理解本發明的各個態樣。熟習此項技術者應理解,他們可容易地使用本發明作為設計或修改其他製程及結構的基礎來施行與本文中所介紹的實施例相同的目的及/或達成與本文中所介紹的實施例相同的優點。熟習此項技術者亦應認識到,該些等效結構並不背離本發明的精神及範圍,而且他們可在不背離本發明的精神及範圍的條件下對本文作出各種改變、代替及更改。
100:電晶體 112:源極接觸點 122、622、624、628、722、724、728、822、824、828、922、924、1022:源極襯墊 130、630、730、830、930:閘極襯墊 142、642、644、646、742、744、746、842、844、942、944、1046:汲極襯墊 152、952、954、1052、1054:汲極接觸點 200-1、200-2:半導體結構 202、204、316、326、336、346、602、604、702、704、802、804、860、902、904、1002、1004、1102、1104:金屬線 210、610、710、810、910:介電層 212、214:源極接觸點 222、224:源極襯墊 225:淺溝渠隔離 230:閘極/閘極襯墊 232、234、612、614、636、712、714、735、737、812、814、836、854、912、914、1036、1136、1137、1138、VB、VD、VG:通孔 235、236:通孔/通道/垂直奈米線/垂直奈米線通道/奈米片 242、244:汲極襯墊 250、950、1051、1053:閘極接觸點 252、254:汲極接觸點 260:M0金屬線/金屬0線/金屬層 262、318、338:第一金屬間距 270:M1金屬線/金屬1線/金屬層 272、328、348:第二金屬間距 291:第一長度/寬度 292:第二長度/高度 310、320、330、340:金屬層 312、332、Drain_Pad_H、NSH、VD_H、VG_H:高度 314、334:寬度 400-1:示例性電路 400-2、500:示例性電路/反及閘 411、412、413、421、422、431、432、511、521、522、523、524:節點/連接點 600-1、700-1、800-1:元件 600-2、700-2、800-2:電路圖 600-3、700-3、800-3:上視圖 601、603、701、703、801、803、1001、1003、1101、1103、1105、1107:電路單元 626、726、826:介電部分 636、736、836:連接結構 736:內層金屬 900:半導體元件/反相器 962、964、966、1062、1064、1066、1068、1161、1162、1163、1164、1165、1166:M0 線 971、972、1071、1072、1073、1171、1172、1173、1174、1175:M1 線 1000:反及閘/半導體元件 1044:共汲極襯墊 1100:半導體元件 1200:示例性方法 1202、1204、1206、1208、1210、1212:操作 D:汲極 G:閘極 S:源極 VDD、VSS:電源
結合附圖閱讀以下詳細說明,會最佳地理解本發明的各個態樣。應注意,根據本行業中的標準慣例,各種特徵並非按比例繪製。事實上,為使論述清晰起見,可任意增加或減小各種特徵的尺寸。在整個說明書和附圖中,類似的編號表示類似的特徵。 圖1根據本公開的一些實施例示出了示例性垂直型電晶體的透視圖。 圖2A根據本公開的一些實施例圖示出了示例性半導體結構的剖視圖。 圖2B根據本公開的一些實施例圖示出了示例性半導體結構的前側的上視圖。 圖3A根據本公開的一些實施例示出了在示例性半導體結構中位於前側的金屬線的示例性佈局。 圖3B根據本公開的一些實施例示出了在示例性半導體結構中位於前側的金屬線的另一個示例性佈局。 圖3B是沿圖3A的垂直平面B-B'的第一示例性結構的垂直剖面圖。 圖4A根據本公開的一些實施例示出了包括兩個電路單元的示例性電路。 圖4B根據本公開的一些實施例示出了包括兩個電路單元的示例性電路的透視圖。 圖5根據本公開的一些實施例示出了包括三個電路單元的示例性電路的透視圖。 圖6A根據本公開的一些實施例示出了具有連接結構的兩個相鄰電路單元的透視圖。 圖6B根據本公開的一些實施例示出了圖6A中的連接結構的電路圖和上視圖。 圖7A根據本公開的一些實施例示出了兩個相鄰電路單元與另一個連接結構的透視視圖。 圖7B根據本公開的一些實施例示出了圖7A中的連接結構的電路圖和上視圖。 圖8A根據本公開的一些實施例示出了兩個相鄰電路單元和另一個連接結構的透視圖。 圖8B根據本公開的一些實施例示出了圖8A中的連接結構的電路圖和上視圖。 圖9根據本公開的一些實施例示出了包括單一電路單元的示例性半導體元件的透視圖。 圖10根據本公開的一些實施例示出了包括兩個電路單元的示例性半導體元件的透視圖。 圖11根據本公開的一些實施例示出了包括四個電路單元的示例性半導體元件的透視圖。 圖12示出了根據本公開的一些實施例說明用於形成包括多個電路單元結構的半導體元件的示例性方法的流程圖。
100:電晶體
112:源極接觸點
122:源極襯墊
130:閘極襯墊
142:汲極襯墊
152:汲極接觸點
D:汲極
G:閘極
S:源極

Claims (1)

  1. 一種具有背側電源結構的垂直型半導體元件結構、包括: 閘極結構,包括閘極襯墊和位於所述閘極襯墊上方的閘極接觸點; 第一源極區,位於所述閘極襯墊下方; 第一汲極區,位於所述閘極襯墊上方,其中所述第一源極區、所述第一汲極區和所述閘極結構形成第一電晶體; 第二源極區,位於所述閘極襯墊下方; 第二汲極區,位於所述閘極襯墊上方,其中所述第二源極區、所述第二汲極區和所述閘極結構形成第二電晶體;以及 至少一條金屬線,所述金屬線位於所述第一源極區和所述第二源極區下方,並且電性連接到至少一個供應電源。
TW111101035A 2021-08-30 2022-01-11 具有背側電源結構的垂直型半導體元件及其形成方法 TW202310419A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/461,476 2021-08-30
US17/461,476 US11948974B2 (en) 2021-08-30 2021-08-30 Semiconductor device including vertical transistor with back side power structure

Publications (1)

Publication Number Publication Date
TW202310419A true TW202310419A (zh) 2023-03-01

Family

ID=84464588

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111101035A TW202310419A (zh) 2021-08-30 2022-01-11 具有背側電源結構的垂直型半導體元件及其形成方法

Country Status (3)

Country Link
US (2) US11948974B2 (zh)
CN (1) CN115497874A (zh)
TW (1) TW202310419A (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10811415B2 (en) * 2018-10-25 2020-10-20 Samsung Electronics Co., Ltd. Semiconductor device and method for making the same
US11652139B2 (en) * 2020-09-30 2023-05-16 Tokyo Electron Limited Three-dimensional universal CMOS device
US20220254925A1 (en) * 2021-02-09 2022-08-11 Tokyo Electron Limited 3d devices with 3d diffusion breaks and method of forming the same
US20220293523A1 (en) * 2021-03-11 2022-09-15 Tokyo Electron Limited Three-dimensional device with vertical core and bundled wiring
WO2022192362A1 (en) * 2021-03-11 2022-09-15 Tokyo Electron Limited 3d device with a plurality of core wiring layout architecture

Also Published As

Publication number Publication date
CN115497874A (zh) 2022-12-20
US11948974B2 (en) 2024-04-02
US20240194734A1 (en) 2024-06-13
US20230069119A1 (en) 2023-03-02

Similar Documents

Publication Publication Date Title
US20210408026A1 (en) Vertical memory devices
US11764224B2 (en) Semiconductor integrated circuit device
US11688814B2 (en) Semiconductor integrated circuit device
US7989846B2 (en) Semiconductor device with three-dimensional field effect transistor structure
TW202029461A (zh) 積體電路
CN107039505A (zh) 静态随机存取存储单元的布局
TWI787553B (zh) 半導體元件及其製造方法
US11450671B2 (en) Semiconductor apparatus having stacked devices and method of manufacture thereof
TWI776630B (zh) 中段製程之佈局技術
US11894375B2 (en) Semiconductor structure and method of forming the same
CN110326099A (zh) 半导体集成电路装置
TW202310419A (zh) 具有背側電源結構的垂直型半導體元件及其形成方法
TW202349251A (zh) 積體電路系統及積體電路的設計方法
US20210184038A1 (en) Semiconductor devices
TW202308078A (zh) 半導體器件、半導體單元結構及其形成方法
TW202240849A (zh) 使用cfet的雙列正反器之雙重交叉耦合
CN114597248A (zh) 带半导体间隔件的鳍式晶体管
TW201921682A (zh) 在採用高密度金屬佈線中之高效能電池設計