TW202307592A - 用於調整製程窗之方法及其相關非暫時性電腦可讀媒體 - Google Patents

用於調整製程窗之方法及其相關非暫時性電腦可讀媒體 Download PDF

Info

Publication number
TW202307592A
TW202307592A TW111142331A TW111142331A TW202307592A TW 202307592 A TW202307592 A TW 202307592A TW 111142331 A TW111142331 A TW 111142331A TW 111142331 A TW111142331 A TW 111142331A TW 202307592 A TW202307592 A TW 202307592A
Authority
TW
Taiwan
Prior art keywords
pattern
characteristic
dose
mask
simulated
Prior art date
Application number
TW111142331A
Other languages
English (en)
Other versions
TWI824809B (zh
Inventor
因根 史齊橈 柯恩拉德 范
亞伯拉罕 史拉奇特
瓦地母 尤瑞維契 帝默許科夫
瑪爾連 庫伊曼
雷爾 瑪莉 克萊爾 凡
赫曼紐斯 艾德里亞諾斯 狄倫
史蒂芬 亨奇
路易斯 艾伯多 科林納 山塔瑪利亞 科林納
愛琴 蔣
王富明
蘇德沙南 拉格納丹
Original Assignee
荷蘭商Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asml荷蘭公司 filed Critical 荷蘭商Asml荷蘭公司
Publication of TW202307592A publication Critical patent/TW202307592A/zh
Application granted granted Critical
Publication of TWI824809B publication Critical patent/TWI824809B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70558Dose control, i.e. achievement of a desired dose
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Management, Administration, Business Operations System, And Electronic Commerce (AREA)
  • User Interface Of Digital Computer (AREA)
  • General Factory Administration (AREA)
  • Supply And Distribution Of Alternating Current (AREA)
  • Image Analysis (AREA)
  • Image Generation (AREA)

Abstract

本文中描述關於改良與一晶片之製造相關聯的一模擬製程及解決方案(例如經重定向圖案)的方法。一種方法包括獲得複數個劑量-焦點設定,及基於與該複數個劑量-焦點設定中之每一設定相關聯的一印刷圖案之特性之量測值的一參考分佈。該方法進一步包括基於一調整模型及該複數個劑量-焦點設定,判定該特性之機率密度函數(PDF)使得減小該PDF與該參考分佈之間的一誤差。該PDF可為該調整模型及與劑量相關聯之方差的函數,該調整模型經組態以改變對該PDF之非線性劑量敏感度貢獻的一比例。可基於該特性之該經判定PDF而調整一製程窗。

Description

用於調整製程窗之方法及其相關非暫時性電腦可讀媒體
本發明係關於改良器件製造製程之效能之技術。該等技術可結合微影裝置或度量衡裝置而使用。
微影裝置為將所要圖案施加至基板之目標部分上之機器。微影裝置可用於例如積體電路(IC)之製造中。在彼情況下,被替代地稱作遮罩或倍縮光罩之圖案化器件可用以產生對應於IC之個別層之電路圖案,且可將此圖案成像至具有輻射敏感材料(抗蝕劑)層之基板(例如矽晶圓)上之目標部分(例如包含晶粒之部分、一個晶粒或若干晶粒)上。一般而言,單一基板將含有經順次地曝光之鄰近目標部分之網路。已知微影裝置包括:所謂步進器,其中藉由一次性將整個圖案曝光至目標部分上來輻照每一目標部分;及所謂掃描器,其中藉由在給定方向(「掃描」方向)上經由光束而掃描圖案同時平行或反平行於此方向而同步地掃描基板來輻照每一目標部分。
在將電路圖案自圖案化器件轉印至基板之前,基板可經歷各種工序,諸如上底漆、抗蝕劑塗佈及軟烘烤。在曝光之後,基板可經受其他工序,諸如曝光後烘烤(PEB)、顯影、硬烘烤,及經轉印電路圖案之量測/檢測。此工序陣列係用作製造一器件(例如IC)之個別層的基礎。基板接著可經歷各種製程,諸如蝕刻、離子植入(摻雜)、金屬化、氧化、化學-機械拋光等,該等製程皆意欲精整器件之個別層。若在器件中需要若干層,則針對每一層來重複整個工序或其變體。最終,在基板上之每一目標部分中將存在一器件。接著藉由諸如切塊或鋸切之技術來使此等器件彼此分離,據此,可將個別器件安裝於載體上、連接至銷釘,等。
因此,製造諸如半導體器件之器件通常涉及使用數個製造製程來處理基板(例如半導體晶圓)以形成該等器件之各種特徵及多個層。通常使用例如沈積、微影、蝕刻、化學機械拋光及離子植入來製造及處理此等層及特徵。可在一基板上之複數個晶粒上製造多個器件,且接著將該等器件分離成個別器件。此器件製造製程可被認為是圖案化製程。圖案化製程涉及使用微影裝置中之圖案化器件進行圖案化步驟,諸如光學及/或奈米壓印微影,以將圖案化器件上之圖案轉印至基板,且圖案化製程通常但視情況涉及一或多個相關圖案處理步驟,諸如藉由顯影裝置進行抗蝕劑顯影、使用烘烤工具來烘烤基板、使用蝕刻裝置而使用圖案進行蝕刻等。
根據一實施例,提供一種校準一模擬製程之方法。該方法包括:(i)基於一印刷圖案之一臨限故障率獲得該印刷圖案之一特性之特性極限,及(ii)基於該等特性極限獲得一參考製程窗;及校準該模擬製程使得一經模擬製程窗係在該參考製程窗之一可接受臨限值內。該校準該模擬製程包括:執行一或多個製程模型以判定經模擬圖案;及調整與該一或多個製程模型相關聯之參數值直至該經模擬圖案之一特性滿足該等特性極限為止。
此外,根據一實施例,提供一種用於產生與一圖案化製程相關聯之一經重定向圖案之方法。該方法包括:獲得(i)與一目標圖案相關聯之特性極限,該等特性極限為超出其將對應於該目標圖案之一印刷圖案認為有缺陷的特性之值,及(ii)一源遮罩最佳化(SMO)製程,其經組態以基於與該目標圖案之特性相關聯之一臨限故障率計算劑量及/或遮罩參數;及藉由使用該目標圖案模擬該源遮罩最佳化製程,產生該經重定向圖案,與該經重定向圖案相關聯之特性進一步屬於與該目標圖案相關聯之該等特性極限。
此外,根據一實施例,提供一種用於調整一製程窗之方法。該方法包括:獲得:(i)一劑量機率密度函數(劑量PDF)以判定劑量之一機率,該劑量PDF依據以下各者而變化:(a)一特徵之一特性及(b)一遮罩特性之一偏差,該遮罩特性係與用以將該特徵印刷於一基板上之一遮罩相關聯;(ii)一遮罩機率密度函數(遮罩PDF)以判定該遮罩特性之該偏差的一機率;藉由對(i)該劑量PDF與(ii)遍及遮罩特性值之一給定範圍的該遮罩PDF進行迴旋而判定與該特性相關聯的該機率密度函數;及基於與該特性相關聯之該經判定機率密度函數,調整與一圖案化製程相關聯之一製程窗。
此外,根據一實施例,提供一種用於調整一製程窗之方法。該方法包括:獲得:(i)複數個劑量-焦點設定,及(ii)基於與該複數個劑量-焦點設定中之每一設定相關聯的一印刷圖案之特性之量測值的一參考分佈;基於一調整模型及該複數個劑量-焦點設定,判定該特性之機率密度函數(PDF)使得該PDF與該參考分佈之間的一誤差減小,該PDF係該調整模型及與劑量相關聯之方差的函數,該調整模型經組態以改變對該PDF之非線性劑量敏感度貢獻的一比例;及基於該特性之該經判定PDF而調整與一圖案化製程相關聯的一製程窗。
此外,根據一實施例,提供一種非暫時性電腦可讀媒體,其包含在由一或多個處理器執行時致使包括以下各者之操作的指令:(i)基於一印刷圖案之一臨限故障率獲得該印刷圖案之一特性之特性極限,及(ii)基於該等特性極限獲得一參考製程窗;及校準該模擬製程使得一經模擬製程窗係在該參考製程窗之一可接受臨限值內。該校準該模擬製程包括:執行一或多個製程模型以判定經模擬圖案;及調整與該一或多個製程模型相關聯之參數值直至該經模擬圖案之一特性滿足該等特性極限為止。
此外,根據一實施例,提供一種非暫時性電腦可讀媒體,其包含在由一或多個處理器執行時致使包括以下各者之操作的指令:獲得(i)與目標圖案相關聯之特性極限,該等特性極限為超出其將對應於該目標圖案之一印刷圖案認為有缺陷的特性之值,及(ii)一源遮罩最佳化(SMO)製程,其經組態以基於與該目標圖案之特性相關聯之一臨限故障率計算劑量及/或遮罩參數;及藉由使用該目標圖案模擬該源遮罩最佳化製程,產生一經重定向圖案,與該經重定向圖案相關聯之特性進一步屬於與該目標圖案相關聯之該等特性極限。
此外,根據一實施例,提供一種非暫時性電腦可讀媒體,其包含在由一或多個處理器執行時致使包括以下各者之操作的指令:獲得:(i)一劑量機率密度函數(劑量PDF)以判定劑量之一機率,該劑量PDF依據以下各者而變化:(a)一特徵之一特性及(b)一遮罩特性之一偏差,該遮罩特性係與用以將該特徵印刷於一基板上之一遮罩相關聯;(ii)一遮罩機率密度函數(遮罩PDF)以判定該遮罩特性之該偏差的一機率;藉由對(i)該劑量PDF與(ii)遍及遮罩特性值之一給定範圍的該遮罩PDF進行迴旋而判定與該特性相關聯的該機率密度函數;及基於與該特性相關聯之該經判定機率密度函數,調整與一圖案化製程相關聯之一製程窗。
此外,根據一實施例,提供一種非暫時性電腦可讀媒體,其包含在由一或多個處理器執行時致使包括以下各者之操作的指令:獲得:(i)複數個劑量-焦點設定,及(ii)基於與該複數個劑量-焦點設定中之每一設定相關聯的一印刷圖案之特性之量測值的一參考分佈;基於一調整模型及該複數個劑量-焦點設定,判定該特性之機率密度函數(PDF)使得該PDF與該參考分佈之間的一誤差減小,該PDF係該調整模型及與劑量相關聯之方差的函數,該調整模型經組態以改變對該PDF之非線性劑量敏感度貢獻的一比例;及基於該特性之該經判定PDF而調整與一圖案化製程相關聯的一製程窗。
在詳細地描述實施例之前,有指導性的是呈現可供實施實施例之實例環境。
圖1示意性地描繪微影裝置LA之實施例。該裝置包含: -      照明系統(照明器) IL,其經組態以調節輻射光束B (例如UV輻射或DUV輻射); -      支撐結構(例如遮罩台) MT,其經建構以支撐圖案化器件(例如遮罩) MA,且連接至經組態以根據某些參數來準確地定位該圖案化器件之第一定位器PM; -      基板台(例如晶圓台) WT (例如WTa、WTb或此兩者),其經建構以固持基板(例如抗蝕劑塗佈晶圓) W,且連接至用於根據某些參數來準確地定位該基板之第二定位器PW;及 -      投影系統(例如折射投影透鏡系統) PS,其經組態以將由圖案化器件MA賦予至輻射光束B之圖案投影至基板W之目標部分C (例如包含一或多個晶粒且經常被稱作場)上,該投影系統被支撐於參考框架(RF)上。
如此處所描繪,裝置屬於透射類型(例如使用透射遮罩)。替代地,裝置可屬於反射類型(例如使用上文所提及之類型之可程式化鏡面陣列,或使用反射遮罩)。
照明器IL自輻射源SO接收輻射光束。舉例而言,當源為準分子雷射時,源及微影裝置可為單獨實體。在此等狀況下,不認為源形成微影裝置之部分,且輻射光束係憑藉包含例如合適導向鏡及/或光束擴展器之光束遞送系統BD而自源SO傳遞至照明器IL。在其他狀況下,舉例而言,當源為水銀燈時,源可為裝置之整體部分。源SO及照明器IL連同光束遞送系統BD在需要時可被稱作輻射系統。
照明器IL可變更光束之強度分佈。照明器可經配置以限制輻射光束之徑向範圍,使得在照明器IL之光瞳平面中之環形區內的強度分佈為非零。另外或替代地,照明器IL可操作以限制光束在光瞳平面中之分佈使得在光瞳平面中之複數個同等間隔之區段中的強度分佈為非零。輻射光束在照明器IL之光瞳平面中之強度分佈可被稱作照明模式。
因此,照明器IL可包含經組態以調整光束之(角度/空間)強度分佈之調整器AM。通常,可調節照明器之光瞳平面中之強度分佈的至少外部徑向範圍及/或內部徑向範圍(通常分別被稱作σ外部及σ內部)。照明器IL可操作以變化光束之角度分佈。舉例而言,照明器可操作以變更強度分佈為非零的光瞳平面中之區段之數目及角度範圍。藉由調整光束在照明器之光瞳平面中之強度分佈,可達成不同照明模式。舉例而言,藉由限制照明器IL之光瞳平面中之強度分佈之徑向及角範圍,強度分佈可具有多極分佈,諸如偶極、四極或六極分佈。可例如藉由將提供彼照明模式之光學件插入至照明器IL中或使用空間光調變器來獲得所要照明模式。
照明器IL可操作以變更光束之偏振且可操作以使用調整器AM來調整偏振。橫越照明器IL之光瞳平面之輻射光束的偏振狀態可被稱作偏振模式。使用不同偏振模式可允許在形成於基板W上之影像中達成較大對比度。輻射光束可為非偏振的。替代地,照明器可經配置以使輻射光束線性地偏振。輻射光束之偏振方向可橫越照明器IL之光瞳平面而變化。輻射之偏振方向在照明器IL之光瞳平面中之不同區中可不同。可取決於照明模式來選擇輻射之偏振狀態。對於多極照明模式,輻射光束之每一極之偏振可大體上垂直於照明器IL之光瞳平面中之彼極的位置向量。舉例而言,對於偶極照明模式,輻射可在實質上垂直於將偶極之兩個對置區段二等分之線的方向上線性地偏振。輻射光束可在可被稱作X偏振狀態及Y偏振狀態之兩個不同正交方向中之一者上偏振。對於四極照明模式,每一極之區段中之輻射可在實質上垂直於將彼區段二等分之線之方向上線性地偏振。此偏振模式可被稱作XY偏振。相似地,對於六極照明模式,每一極之區段中之輻射可在實質上垂直於將彼區段二等分之線之方向上線性地偏振。此偏振模式可被稱作TE偏振。
另外,照明器IL通常包含各種其他組件,諸如積光器IN及聚光器CO。照明系統可包括用於引導、塑形或控制輻射的各種類型之光學組件,諸如折射、反射、磁性、電磁、靜電或其他類型之光學組件或其任何組合。
因此,照明器提供在橫截面中具有所要均一性及強度分佈的經調節輻射光束B。
支撐結構MT以取決於圖案化器件之定向、微影裝置之設計及其他條件(諸如圖案化器件是否被固持於真空環境中)之方式來支撐圖案化器件。支撐結構可使用機械、真空、靜電或其他夾持技術來固持圖案化器件。支撐結構可為例如框架或台,其可視需要而固定或可移動。支撐結構可確保圖案化器件例如相對於投影系統處於所要位置。可認為本文對術語「倍縮光罩」或「遮罩」之任何使用皆與更一般之術語「圖案化器件」同義。
本文中所使用之術語「圖案化器件」應被廣泛地解譯為係指可用以在基板之目標部分中賦予圖案的任何器件。在一實施例中,圖案化器件為可用以在輻射光束之橫截面中向輻射光束賦予圖案以便在基板之目標部分中產生圖案的任何器件。應注意,舉例而言,若被賦予至輻射光束之圖案包括相移特徵或所謂的輔助特徵,則該圖案可不確切地對應於基板之目標部分中之所要圖案。通常,被賦予至輻射光束之圖案將對應於目標部分中所產生之器件(諸如積體電路)中的特定功能層。
圖案化器件可為透射的或反射的。圖案化器件之實例包括遮罩、可程式化鏡面陣列,及可程式化LCD面板。遮罩在微影中係熟知的,且包括諸如二元、交變相移及衰減相移之遮罩類型,以及各種混合式遮罩類型。可程式化鏡面陣列之一實例使用小鏡面之矩陣配置,該等小鏡面中之每一者可個別地傾斜,以便使入射輻射光束在不同方向上反射。傾斜鏡面在由鏡面矩陣反射之輻射光束中賦予圖案。
本文所使用之術語「投影系統」應被廣泛地解譯為涵蓋適於所使用之曝光輻射或適於諸如浸潤液體之使用或真空之使用之其他因素的任何類型之投影系統,包括折射、反射、反射折射、磁性、電磁及靜電光學系統,或其任何組合。可認為本文中對術語「投影透鏡」之任何使用皆與更一般之術語「投影系統」同義。
投影系統PS具有可非均一且可影響成像於基板W上之圖案之光學轉移功能。對於非偏振輻射,此等效應可由兩個純量圖相當良好地描述,該兩個純量圖描述依據射出投影系統PS之輻射之光瞳平面中之位置而變化的該輻射之透射(變跡)及相對相位(像差)。可將可被稱作透射圖及相對相位圖之此等純量圖表達為基底函數全集之線性組合。特別適宜的集合為任尼克(Zernike)多項式,其形成單位圓上所定義之正交多項式集合。每一純量圖之判定可涉及判定此展開式中之係數。由於任尼克多項式在單位圓上正交,故可藉由依次演算經量測純量圖與每一任尼克多項式之內積且將此內積除以彼任尼克多項式之範數之平方來判定任尼克係數。
透射圖及相對相位圖係場及系統相依的。亦即,一般而言,每一投影系統PS將針對每一場點(亦即,針對投影系統PS之影像平面中之每一空間部位)具有一不同任尼克展開式。可藉由將例如來自投影系統PS之物件平面(亦即,圖案化器件MA之平面)中之類點源之輻射投影通過投影系統PS且使用剪切干涉計以量測波前(亦即,具有相同相位之點之軌跡)來判定投影系統PS在其光瞳平面中之相對相位。剪切干涉計係共同路徑干涉計且因此,有利的是,無需次級參考光束來量測波前。剪切干涉計可包含:繞射光柵,例如投影系統之影像平面(亦即基板台WT)中之二維柵格;及偵測器,其經配置以偵測與投影系統PS之光瞳平面共軛的平面中之干涉圖案。干涉圖案係與輻射之相位相對於在剪切方向上之光瞳平面中之座標的導數相關。偵測器可包含感測元件陣列,諸如(例如)電荷耦合器件(CCD)。
微影裝置之投影系統PS可不產生可見條紋,且因此,可使用相位步進技術(諸如(例如)移動繞射光柵)來增強波前判定之準確度。可在繞射光柵之平面中且在垂直於量測之掃描方向之方向上執行步進。步進範圍可為一個光柵週期,且可使用至少三個(均一地分佈)相位步進。因此,舉例而言,可在y方向上執行三個掃描量測,在x方向上針對一不同位置執行每一掃描量測。繞射光柵之此步進將相位變化有效地變換成強度變化,從而允許判定相位資訊。光柵可在垂直於繞射光柵之方向(z方向)上步進以校準偵測器。
可在兩個垂直方向上依序掃描繞射光柵,該兩個垂直方向可與投影系統PS之座標系之軸線(x及y)重合或可與此等軸線成諸如45度之角度。可遍及整數個光柵週期(例如,一個光柵週期)執行掃描。掃描使在一個方向上之相位變化達到平均數,從而允許重建構在另一方向上之相位變化。此情形允許依據兩個方向來判定波前。
可藉由將例如來自投影系統PS之物件平面(亦即,圖案化器件MA之平面)中之類點源之輻射投影通過投影系統PS且使用偵測器來量測與投影系統PS之光瞳平面共軛的平面中之輻射強度來判定投影系統PS在其光瞳平面中之透射(變跡)。可使用與用以量測波前以判定像差的偵測器同一個偵測器。
投影系統PS可包含複數個光學(例如透鏡)元件且可進一步包含一調整機構AM,該調整機構經組態以調整光學元件中之一或多者以便校正像差(橫越貫穿場之光瞳平面之相位變化)。為了達成此調整,調整機構可操作而以一或多種不同方式操控投影系統PS內之一或多個光學(例如透鏡)元件。投影系統可具有座標系,其中該投影系統之光軸在z方向上延伸。調整機構可操作以進行以下各項之任何組合:使一或多個光學元件位移;使一或多個光學元件傾斜;及/或使一或多個光學元件變形。光學元件之位移可在任何方向(x、y、z或其組合)上進行。光學元件之傾斜通常在垂直於光軸之平面外,藉由圍繞在x及/或y方向上之軸線旋轉而進行,但對於非旋轉對稱之非球面光學元件可使用圍繞z軸之旋轉。光學元件之變形可包括低頻形狀(例如像散)及/或高頻形狀(例如,自由形式非球面)。可(例如)藉由使用一或多個致動器以對光學元件之一或多個側施加力及/或藉由使用一或多個加熱元件以加熱光學元件之一或多個選定區來執行光學元件之變形。一般而言,沒有可能調整投影系統PS以校正變跡(橫越光瞳平面之透射變化)。可在設計用於微影裝置LA之圖案化器件(例如遮罩) MA時使用投影系統PS之透射圖。使用計算微影技術,圖案化器件MA可經設計為用以至少部分地校正變跡。
微影裝置可屬於具有兩個(雙載物台)或多於兩個台(例如兩個或多於兩個基板台WTa、WTb、兩個或多於兩個圖案化器件台、在無專用於(例如)促進量測及/或清潔等之基板的情況下在投影系統下方之基板台WTa及台WTb)之類型。在此等「多載物台」機器中,可並行地使用額外台,或可對一或多個台進行預備步驟,同時將一或多個其他台用於曝光。舉例而言,可進行使用對準感測器AS之對準量測及/或使用位階感測器LS之位階(高度、傾角等)量測。
微影裝置亦可屬於以下類型:其中基板之至少一部分可由具有相對較高折射率之液體(例如水)覆蓋,以便填充投影系統與基板之間的空間。亦可將浸潤液體施加至微影裝置中之其他空間,例如圖案化器件與投影系統之間的空間。浸潤技術在此項技術中被熟知用於增加投影系統之數值孔徑。本文中所使用之術語「浸潤」並不意謂諸如基板之結構必須浸沒於液體中,而是僅意謂液體在曝光期間位於投影系統與基板之間。
因此,在微影裝置之操作中,輻射光束經調節且由照明系統IL提供。輻射光束B入射於被固持於支撐結構(例如遮罩台) MT上之圖案化器件(例如遮罩) MA上,且係由該圖案化器件而圖案化。在已橫穿圖案化器件MA之情況下,輻射光束B傳遞通過投影系統PS,投影系統PS將該光束聚焦至基板W之目標部分C上。憑藉第二定位器PW及位置感測器IF (例如干涉器件、線性編碼器、2D編碼器或電容式感測器),可準確地移動基板台WT,例如以便使不同目標部分C定位於輻射光束B之路徑中。相似地,第一定位器PM及另一位置感測器(其未在圖1中明確地描繪)可用以例如在自遮罩庫之機械擷取之後或在掃描期間相對於輻射光束B之路徑來準確地定位圖案化器件MA。一般而言,可憑藉形成第一定位器PM之部分之長衝程模組(粗略定位)及短衝程模組(精細定位)來實現支撐結構MT之移動。相似地,可使用形成第二定位器PW之部分之長衝程模組及短衝程模組來實現基板台WT之移動。在步進器(相對於掃描器)之狀況下,支撐結構MT可僅連接至短衝程致動器,或可固定。可使用圖案化器件對準標記M1、M2及基板對準標記P1、P2來對準圖案化器件MA及基板W。儘管如所說明之基板對準標記佔據專用目標部分,但該等基板對準標記可位於目標部分之間的空間中(此等標記被稱為切割道對準標記)。相似地,在多於一個晶粒被提供於圖案化器件MA上之情形中,圖案化器件對準標記可位於該等晶粒之間。
所描繪裝置可用於以下模式中之至少一者中: 1.   在步進模式中,在將被賦予至輻射光束之整個圖案一次性投影至目標部分C上時,使支撐結構MT及基板台WT保持基本上靜止(亦即,單次靜態曝光)。接著,使基板台WT在X及/或Y方向上移位,使得可曝光不同目標部分C。在步進模式中,曝光場之最大大小限制單次靜態曝光中所成像之目標部分C之大小。 2.   在掃描模式中,在將被賦予至輻射光束之圖案投影至目標部分C上時,同步地掃描支撐結構MT及基板台WT (亦即,單次動態曝光)。可藉由投影系統PS之放大率(縮小率)及影像反轉特性來判定基板台WT相對於支撐結構MT之速度及方向。在掃描模式中,曝光場之最大大小限制單次動態曝光中之目標部分之寬度(在非掃描方向上),而掃描運動之長度判定目標部分之高度(在掃描方向上)。 3.   在另一模式中,在將被賦予至輻射光束之圖案投影至目標部分C上時,使支撐結構MT保持基本上靜止,從而固持可程式化圖案化器件,且移動或掃描基板台WT。在此模式中,通常使用脈衝式輻射源,且在基板台WT之每一移動之後或在掃描期間之順次輻射脈衝之間根據需要而更新可程式化圖案化器件。此操作模式可易於應用於利用可程式化圖案化器件(諸如上文所提及之類型之可程式化鏡面陣列)之無遮罩微影。
亦可使用上文所描述之使用模式之組合及/或變化或完全不同的使用模式。
儘管在本文中可特定地參考微影裝置在IC製造中之使用,但應理解,本文中所描述之微影裝置可具有其他應用,諸如製造整合式光學系統、用於磁疇記憶體之導引及偵測圖案、液晶顯示器(LCD)、薄膜磁頭等。熟習此項技術者應瞭解,在此等替代應用之內容背景中,可認為本文對術語「晶圓」或「晶粒」之任何使用分別與更一般之術語「基板」或「目標部分」同義。可在曝光之前或之後在例如塗佈顯影系統(通常將抗蝕劑層施加至基板且顯影經曝光抗蝕劑之工具)或度量衡或檢測工具中處理本文所提及之基板。適用時,可將本文中之揭示內容應用於此類及其他基板處理工具。另外,可將基板處理多於一次,例如以便產生多層IC,使得本文中所使用之術語基板亦可指已經含有多個經處理層之基板。
本文中所使用之術語「輻射」及「光束」涵蓋所有類型之電磁輻射,包括紫外線(UV)輻射(例如具有為365 nm、248 nm、193 nm、157 nm或126 nm之波長)及極紫外線(EUV)輻射(例如具有在5 nm至20 nm之範圍內之波長),以及粒子束,諸如離子束或電子束。
圖案化器件上或由圖案化器件提供之各種圖案可具有不同製程窗,亦即,將產生在規格內之圖案所根據之處理變數之空間。關於潛在系統性缺陷之圖案規格之實例包括檢查頸縮、線拉回、線薄化、CD、邊緣置放、重疊、抗蝕劑頂部損耗、抗蝕劑底切及/或橋接。可藉由合併圖案化器件或其區域上之每一個別圖案之製程窗(例如,使該等製程窗重疊)來獲得所有該等圖案之製程窗。所有圖案之製程窗之邊界含有個別圖案中之一些之製程窗之邊界。換言之,此等個別圖案限制所有圖案之製程窗。此等圖案可被稱作「熱點」或「製程窗限制圖案(PWLP)」,「熱點」與「製程窗限制圖案(PWLP)」可在本文中可互換地使用。當控制圖案化製程之部分時,有可能且經濟的是集中於熱點。當熱點並未有缺陷時,最有可能的是,所有圖案未有缺陷。
如圖2中所展示,微影裝置LA可形成微影製造單元LC (有時亦被稱作微影製造單元(lithocell)或叢集)之部分,微影製造單元LC亦包括用以對基板執行曝光前製程及曝光後製程之裝置。通常,此等裝置包括用以沈積一或多個抗蝕劑層之一或多個旋塗器SC、用以顯影經曝光抗蝕劑之一或多個顯影器DE、一或多個冷卻板CH及/或一或多個烘烤板BK。基板處置器或機器人RO自輸入/輸出埠I/O1、I/O2拾取一或多個基板,在不同製程裝置之間移動基板且將基板遞送至微影裝置之裝載匣LB。常常被集體地稱作塗佈顯影系統(track)之此等裝置由塗佈顯影系統控制單元TCU控制,塗佈顯影系統控制單元TCU自身受監督控制系統SCS控制,監督控制系統SCS亦經由微影控制單元LACU而控制微影裝置。因此,不同裝置可經操作以最大化產出量及處理效率。
為了正確且一致地曝光由微影裝置曝光之基板及/或為了監視包括至少一個圖案轉印步驟(例如光學微影步驟)之圖案化製程(例如器件製造製程)之一部分,需要檢測基板或其他物件以量測或判定一或多個屬性,諸如對準、疊對(其可為例如上覆層中之結構之間或同一層中之已藉由例如雙重圖案化製程而分別地提供至該層之結構之間)、線厚度、臨界尺寸(CD)、焦點偏移、材料屬性等。因此,經定位有微影製造單元LC之製造設施通常亦包括度量衡系統MET,該度量衡系統量測已在該微影製造單元中處理的基板W中之一些或全部或該微影製造單元中之其他物件。度量衡系統MET可為微影製造單元LC之部分,舉例而言,其可為微影裝置LA之部分(諸如對準感測器AS)。
一或多個經量測參數可包括例如:形成於經圖案化基板中或上之順次層之間的疊對、例如形成於經圖案化基板中或上之特徵之臨界尺寸(CD) (例如臨界線寬)、光學微影步驟之聚焦或聚焦誤差、光學微影步驟之劑量或劑量誤差、光學微影步驟之光學像差,等。可對產品基板自身之目標執行此量測及/或對提供於基板上之專用度量衡目標執行此量測。可在抗蝕劑顯影後但在蝕刻前執行量測,或可在蝕刻後執行量測。
存在用於對在圖案化製程中形成之結構進行量測的各種技術,包括使用掃描電子顯微鏡、以影像為基礎之量測工具及/或各種特殊化工具。如上文所論述,特殊化度量衡工具之快速及非侵入性形式為輻射光束經引導至基板之表面上之目標上且量測經散射(經繞射/經反射)光束之屬性的度量衡工具。藉由評估由基板散射之輻射之一或多個屬性,可判定基板之一或多個屬性。此可被稱為以繞射為基礎之度量衡。此以繞射為基礎之度量衡之一個此類應用係在目標內之特徵不對稱性之量測中。此特徵不對稱性之量測可用作例如疊對之量度,但其他應用亦係已知的。舉例而言,可藉由比較繞射光譜之相對部分(例如,比較週期性光柵之繞射光譜中之-1階與+1階)而量測不對稱性。此量測可如以上所描述來完成,且如例如全文以引用方式併入本文中之美國專利申請公開案US2006-066855中所描述來完成。以繞射為基礎之度量衡之另一應用係在目標內之特徵寬度(CD)之量測中。此等技術可使用下文所描述之裝置及方法。
因此,在器件製造製程(例如圖案化製程或微影製程)中,可使基板或其他物件在該製程期間或之後經受各種類型之量測。量測可判定一特定基板是否有缺陷、可建立對製程及用於製程中之裝置之調整(例如,將基板上之兩個層對準或將圖案化器件對準至基板)、可量測製程及裝置之效能,或可用於其他目的。量測之實例包括光學成像(例如光學顯微鏡)、非成像光學量測(例如,基於繞射之量測,諸如ASML YieldStar度量衡工具、ASML SMASH 度量衡系統)、機械量測(例如,使用觸控筆之剖面探測、原子力顯微法(AFM)),及/或非光學成像(例如掃描電子顯微法(SEM))。如全文以引用方式併入本文中之美國專利第6,961,116號中所描述之智慧型對準感測器混合式(SMASH)系統使用自參考干涉計,該自參考干涉計產生對準標記物之兩個重疊且相對旋轉之影像、偵測在使影像之傅立葉變換進行干涉之光瞳平面中之強度,且自兩個影像之繞射階之間的相位差提取位置資訊,該相位差表現為經干涉階中之強度變化。
可將度量衡結果直接或間接地提供至監督控制系統SCS。若偵測到誤差,則可對後續基板之曝光(尤其在可足夠迅速且快速完成檢測使得該批量之一或多個其他基板仍待曝光之情況下)及/或對經曝光基板之後續曝光進行調整。又,已經曝光之基板可被剝離及重工以改良產率,或被捨棄,藉此避免對已知有缺陷之基板執行進一步處理。在基板之僅一些目標部分有缺陷之狀況下,可僅對良好的彼等目標部分執行進一步曝光。
在度量衡系統MET內,度量衡裝置用以判定基板之一或多個屬性,且尤其判定不同基板之一或多個屬性如何變化或同一基板之不同層在不同層間如何變化。如上文所提及,度量衡裝置可整合至微影裝置LA或微影製造單元LC中,或可為單機器件。
為了實現度量衡,可在基板上提供一或多個目標。在一實施例中,目標經專門設計且可包含週期性結構。在一實施例中,目標為器件圖案之部分,例如為器件圖案之週期性結構。在一實施例中,器件圖案為記憶體器件之週期性結構(例如雙極電晶體(BPT)、位元線接點(BLC)等結構)。
在一實施例中,基板上之目標可包含一或多個1-D週期性結構(例如光柵),其經印刷成使得在顯影之後,週期性結構特徵係由固體抗蝕劑線形成。在一實施例中,目標可包含一或多個2-D週期性結構(例如光柵),其經印刷成使得在顯影之後,該一或多個週期性結構係由抗蝕劑中之固體抗蝕劑導柱或通孔形成。長條、導柱或通孔可替代地經蝕刻至基板中(例如經蝕刻至基板上之一或多個層中)。
在一實施例中,圖案化製程之所關注參數中之一者為疊對。可使用暗場散射量測來量測疊對,其中阻擋零階繞射(對應於鏡面反射),且僅處理高階。可在PCT專利申請公開案第WO 2009/078708號及第WO 2009/106279號中找到暗場度量衡之實例,該等專利申請公開案之全文特此以引用方式併入。美國專利申請公開案US2011-0027704、US2011-0043791及US2012-0242970中已描述該技術之進一步開發,該等專利申請公開案之全文特此以引用方式併入。使用繞射階之暗場偵測的以繞射為基礎之疊對實現對較小目標之疊對量測。此等目標可小於照明光點且可由基板上之器件產品結構環繞。在一實施例中,可在一個輻射捕捉中量測多個目標。
圖3描繪實例檢測裝置(例如散射計)。其包含將輻射投影至基板W上之寬頻帶(白光)輻射投影儀2。重新引導輻射傳遞至光譜儀偵測器4,該光譜儀偵測器量測鏡面反射輻射之光譜10 (依據波長而變化的強度),如(例如)在左下方的曲線圖中所展示。根據此資料,可藉由處理器PU例如藉由嚴密耦合波分析及非線性回歸或藉由與圖3之右下方所展示之經模擬光譜庫的比較來重建構導致偵測到之光譜的結構或剖面。一般而言,對於重建構,結構之一般形式係已知的,且自供製造結構之製程之知識來假定一些變數,從而僅留下結構之少許變數以自經量測資料予以判定。此檢測裝置可經組態為正入射檢測裝置或斜入射檢測裝置。
圖4中展示可使用之另一檢測裝置。在此器件中,由輻射源2發射之輻射係使用透鏡系統12而準直且透射通過干涉濾光器13及偏振器17、由部分反射表面16反射且經由物鏡15而聚焦至基板W上之光點S中,物鏡具有高數值孔徑(NA),理想地為至少0.9或至少0.95。浸潤檢測裝置(使用相對較高折射率之流體,諸如水)甚至可具有大於1之數值孔徑。
如在微影裝置LA中一樣,可在量測操作期間提供一或多個基板台以固持基板W。該等基板台可在形式上與圖1之基板台WT相似或相同。在檢測裝置與微影裝置整合之實例中,該等基板台可甚至為同一基板台。可將粗略定位器及精細定位器提供至第二定位器PW,該第二定位器經組態以相對於量測光學系統準確地定位基板。提供各種感測器及致動器例如以獲取所關注目標之位置,且將所關注目標帶入至物鏡15下方之位置中。通常將對橫越基板W之不同部位處之目標進行許多量測。可在X及Y方向上移動基板支撐件以獲取不同目標,且可在Z方向上移動基板支撐件以獲得目標相對於光學系統之焦點之所要部位。舉例而言,當實務上光學系統可保持實質上靜止(通常在X及Y方向上,但可能亦在Z方向上)且僅基板移動時,方便地將操作考慮並描述為如同物鏡被帶入至相對於基板之不同部位。倘若基板及光學系統之相對位置正確,則原則上無關緊要的是,基板與光學系統中之哪一者在真實世界中移動,或其兩者皆移動,抑或光學系統之一部分之組合移動(例如,在Z方向及/或傾斜方向上),其中光學系統之剩餘部分靜止且基板移動(例如,在X及Y方向上,且視情況亦在Z方向及/或傾斜方向上)。
由基板W重新引導之輻射接著通過部分反射表面16傳遞至偵測器18中以便使光譜被偵測。偵測器18可位於背向投影式焦平面11處(亦即,透鏡系統15之焦距處)或平面11可運用輔助光學件(圖中未繪示)而再成像至偵測器18上。偵測器可為二維偵測器,使得可量測基板目標30之二維角度散射光譜。偵測器18可為例如CCD或CMOS感測器陣列,且可使用為例如每圖框40毫秒之積分時間。
參考光束可用以例如量測入射輻射之強度。為進行此量測,當輻射光束入射於部分反射表面16上時,將輻射光束之部分通過部分反射表面16作為參考光束而透射朝向參考鏡面14。接著將參考光束投影至同一偵測器18之不同部分上或替代地投影至不同偵測器(圖中未繪示)上。
一或多個干涉濾光器13可用以選擇在為比如405 nm至790 nm或甚至更低(諸如200 nm至300 nm)之範圍內的所關注波長。干涉濾光器可為可調諧的,而非包含不同濾光器之集合。可使用光柵代替干涉濾光器。孔徑光闌或空間光調變器(圖中未繪示)可提供於照明路徑中以控制輻射在目標上之入射角之範圍。
偵測器18可量測在單一波長(或窄波長範圍)下之重新引導輻射之強度、分離地在多個波長下之重新引導輻射之強度,或遍及一波長範圍而積分之重新引導輻射之強度。此外,偵測器可分離地量測橫向磁偏振輻射及橫向電偏振輻射之強度,及/或橫向磁偏振輻射與橫向電偏振輻射之間的相位差。
基板W上之目標30可為1-D光柵,其經印刷成使得在顯影之後,長條係由固體抗蝕劑線形成。目標30可為2-D光柵,其經印刷成使得在顯影之後,光柵係由抗蝕劑中之固體抗蝕劑導柱或通孔形成。長條、導柱或通孔可經蝕刻至基板中或基板上(例如,經蝕刻至基板上之一或多個層中)。(例如長條、導柱或通孔之)圖案對圖案化製程中之處理改變(例如微影投影裝置(特別是投影系統PS)中之光學像差、焦點改變、劑量改變等)敏感且將表現為經印刷光柵中之變化。因此,經印刷光柵之經量測資料用以重建構光柵。可根據印刷步驟及/或其他檢測製程之知識,將1-D光柵之一或多個參數(諸如線寬及/或形狀)或2-D光柵之一或多個參數(諸如導柱或通孔寬度或長度或形狀)輸入至由處理器PU執行之重建構製程。
除了藉由重建構進行參數之量測以外,角度解析散射量測亦用於產品及/或抗蝕劑圖案中之特徵之不對稱性之量測。不對稱性量測之一特定應用係用於疊對之量測,其中目標30包含疊置於另一組週期性特徵上的一組週期性特徵。舉例而言,在全文併入本文中之美國專利申請公開案US2006-066855中描述使用圖3或圖4之器具進行之不對稱性量測之概念。簡單地陳述,雖然目標之繞射光譜中之繞射階的位置僅藉由目標之週期性而判定,但繞射光譜中之不對稱性指示構成目標之個別特徵中的不對稱性。在圖4之器具中(其中偵測器18可為影像感測器),繞射階之此不對稱性直接呈現為由偵測器18記錄之光瞳影像中之不對稱性。可藉由單元PU中之數位影像處理來量測此不對稱性,且相對於已知疊對值來校準此不對稱性。
圖5說明典型目標30之平面圖,及圖4之裝置中之照明光點S的範圍。為了獲得免受來自周圍結構之干涉的繞射光譜,在一實施例中,目標30為大於照明光點S之寬度(例如直徑)的週期性結構(例如光柵)。光點S之寬度可小於目標之寬度及長度。換言之,目標係由照明「填充不足」,且繞射信號基本上不含來自目標自身外部之產品特徵及其類似者之任何信號。照明配置2、12、13、17可經組態以提供橫越接物鏡15之背焦平面之均一強度的照明。替代地,藉由例如在照明路徑中包括孔徑,照明可限於同軸方向或離軸方向。
圖6示意性地描繪基於使用度量衡所獲得之量測資料而進行目標圖案30'之一或多個所關注變數之值之判定的實例製程。由偵測器18偵測到之輻射提供用於目標30'之經量測輻射分佈108。
對於給定目標30',可使用例如數值馬克士威求解程序210自參數化模型206計算/模擬輻射分佈208。參數化模型206展示構成目標及與該目標相關聯的各種材料之實例層。參數化模型206可包括用於在考慮中的目標之部分之特徵及層之變數中的一或多者,其可變化且被導出。如圖6中所展示,該等變數中之一或多者可包括一或多個層之厚度 t、一或多個特徵之寬度 w(例如CD)、一或多個特徵之高度 h及/或一或多個特徵之側壁角α。儘管圖中未繪示,但變數中之一或多者可進一步包括但不限於:層中之一或多者之折射率(例如真折射率或複折射率、折射率張量等)、一或多個層之消光係數、一或多個層之吸收率、在顯影期間之抗蝕劑損耗、一或多個特徵之基腳,及/或一或多個特徵之線邊緣粗糙度。該等變數之初始值可為針對經量測之目標所預期的值。接著在212處比較經量測輻射分佈108與所計算輻射分佈208以判定兩者之間的差。若存在差,則可變化參數化模型206之變數中之一或多者之值,演算新的所計算輻射分佈208且將其與經量測輻射分佈108比較直至在經量測輻射分佈108與所計算輻射分佈208之間存在足夠匹配為止。彼時,參數化模型206之變數之值提供實際目標30'之幾何形狀的良好或最佳匹配。在一實施例中,當經量測輻射分佈108與所計算輻射分佈208之間的差在容許臨限值內時存在足夠匹配。
圖案化製程之變數被稱為「處理變數」。圖案化製程可包括微影裝置中之圖案之實際轉印上游及下游的製程。圖7展示處理變數370之實例類別。第一類別可為微影裝置或用於微影製程中之任何其他裝置之變數310。此類別之實例包括微影裝置之照明、投影系統、基板載物台等之變數。第二類別可為在圖案化製程中執行之一或多個工序之變數320。此類別之實例包括焦點控制或焦點量測、劑量控制或劑量量測、頻寬、曝光持續時間、顯影溫度、用於顯影中之化學成份等。第三類別可為設計佈局及其在圖案化器件中或使用圖案化器件進行之實施之變數330。此類別之實例可包括輔助特徵之形狀及/或部位、藉由解析度增強技術(RET)而應用之調整、遮罩特徵之CD等。第四類別可為基板之變數340。實例包括抗蝕劑層下方之結構之特性、抗蝕劑層之化學成份及/或實體尺寸等。第五類別可為圖案化製程之一或多個變數之時間變化的特性350。此類別之實例包括高頻載物台移動(例如,頻率、振幅等)、高頻雷射頻寬改變(例如頻率、振幅等)及/或高頻雷射波長改變之特性。此等高頻改變或移動為高於用以調整基礎變數(例如,載物台位置、雷射強度)之機構之回應時間的高頻改變或移動。第六類別可為微影裝置中之圖案轉印上游或下游的製程之特性360,該等製程諸如旋塗、曝光後烘烤(PEB)、顯影、蝕刻、沈積、摻雜及/或封裝。
如應瞭解,此等變數中之許多變數(若非全部)將對圖案化製程之參數有影響且常常對所關注參數有影響。圖案化製程之參數之非限制性實例可包括臨界尺寸(CD)、臨界尺寸均一性(CDU)、焦點、疊對、邊緣位置或置放、側壁角、圖案移位等。常常,此等參數表達自標稱值(例如設計值、平均值等)之誤差。參數值可為個別圖案之特性之值或圖案群組之特性之統計量(例如平均值、方差等)。
處理變數中之一些或全部或與其相關之參數之值可藉由合適方法予以判定。舉例而言,可自運用各種度量衡工具(例如基板度量衡工具)獲得之資料判定值。可自圖案化製程中之裝置之各種感測器或系統(例如,微影裝置之感測器(諸如位階量測感測器或對準感測器)、微影裝置之控制系統(例如基板或圖案化器件台控制系統)、塗佈顯影系統工具中之感測器等)獲得值。該等值可來自圖案化製程之操作者。
圖8說明用於模型化及/或模擬圖案化製程之部分的例示性流程圖。如應瞭解,模型可表示不同圖案化製程且無需包含下文所描述之所有模型。源模型1200表示圖案化器件之照明之光學特性(包括輻射強度分佈、頻寬及/或相位分佈)。源模型1200可表示照明之光學特性,其包括但不限於:數值孔徑設定、照明均方偏差(σ)設定以及任何特定照明形狀(例如離軸輻射形狀,諸如環形、四極、偶極等),其中均方偏差(或σ)為照明器之外部徑向範圍。
投影光學件模型1210表示投影光學件之光學特性(包括由投影光學件造成的輻射強度分佈及/或相位分佈之改變)。投影光學件模型1210可表示投影光學件之光學特性,包括像差、失真、一或多個折射率、一或多個實體大小、一或多個實體尺寸等。
圖案化器件/設計佈局模型模組1220捕捉設計特徵如何被佈置於圖案化器件之圖案中且可包括圖案化器件之詳細實體屬性之表示,如例如全文係以引用方式併入之美國專利第7,587,704號中所描述。在一實施例中,圖案化器件/設計佈局模型模組1220表示設計佈局(例如對應於積體電路、記憶體、電子器件等之特徵之器件設計佈局)之光學特性(包括由給定設計佈局造成的輻射強度分佈及/或相位分佈之改變),其為圖案化器件上或由圖案化器件形成之特徵配置之表示。由於可改變用於微影投影裝置中之圖案化器件,因此需要使圖案化器件之光學屬性與至少包括照明及投影光學件的微影投影裝置之其餘部分之光學屬性分離。模擬之目標常常為準確地預測例如邊緣置放及CD,可接著比較該等邊緣置放及CD與器件設計。器件設計通常被定義為預OPC圖案化器件佈局,且將以諸如GDSII或OASIS之標準化數位檔案格式之形式被提供。
可自源模型1200、投影光學件模型1210及圖案化器件/設計佈局模型1220模擬空中影像1230。空中影像(AI)為基板位階處之輻射強度分佈。微影投影裝置之光學屬性(例如照明、圖案化器件及投影光學件之屬性)規定空中影像。
基板上之抗蝕劑層係由空中影像曝光,且該空中影像經轉印至抗蝕劑層而作為其中之潛伏「抗蝕劑影像」(RI)。可將抗蝕劑影像(RI)定義為抗蝕劑層中之抗蝕劑之溶解度的空間分佈。可使用抗蝕劑模型1240自空中影像1230模擬抗蝕劑影像1250。可使用抗蝕劑模型以自空中影像演算抗蝕劑影像,可在全部揭示內容特此以引用方式併入之美國專利申請公開案第US 2009-0157360號中找到此情形之實例。抗蝕劑模型通常描述在抗蝕劑曝光、曝光後烘烤(PEB)及顯影期間出現的化學製程之效應,以便預測例如形成於基板上之抗蝕劑特徵之輪廓,且因此其通常僅與抗蝕劑層之此等屬性(例如在曝光、曝光後烘烤及顯影期間出現的化學製程之效應)相關。在一實施例中,可捕捉抗蝕劑層之光學屬性,例如折射率、膜厚度、傳播及偏振效應,作為投影光學件模型1210之部分。
因此,一般而言,光學模型與抗蝕劑模型之間的連接為抗蝕劑層內之經模擬空中影像強度,其起因於輻射至基板上之投影、抗蝕劑界面處之折射及抗蝕劑膜堆疊中之多個反射。輻射強度分佈(空中影像強度)係藉由入射能量之吸收而變為潛伏「抗蝕劑影像」,其係藉由擴散製程及各種負載效應予以進一步修改。足夠快以用於全晶片應用之高效模擬方法藉由2維空中(及抗蝕劑)影像而近似抗蝕劑堆疊中之實際3維強度分佈。
在一實施例中,可將抗蝕劑影像用作至圖案轉印後製程模型模組1260之輸入。圖案轉印後製程模型1260界定一或多個抗蝕劑顯影後製程(例如蝕刻、顯影等)之效能。
圖案化製程之模擬可例如預測抗蝕劑及/或經蝕刻影像中之輪廓、CD、邊緣置放(例如邊緣置放誤差)等。因此,該模擬之目標係準確地預測例如經印刷圖案之邊緣置放,及/或空中影像強度斜率,及/或CD等。可將此等值與預期設計比較以例如校正圖案化製程,識別預測出現缺陷之地點等。預期設計通常被定義為預OPC設計佈局,其可以諸如GDSII或OASIS或其他檔案格式之標準化數位檔案格式而提供。
因此,模型公式化描述總製程之大多數(若非全部)已知物理性質及化學方法,且模型參數中每一者理想地對應於一相異物理或化學效應。模型公式化因此設定關於模型可用以模擬總體製造製程之良好程度之上限。
圖9說明用於模型化及/或模擬度量衡製程的例示性流程圖。如應瞭解,以下模型可表示不同度量衡製程且無需包含下文所描述之所有模型(例如可將一些模型組合)。源模型1300表示度量衡目標之照明之光學特性(包括輻射強度分佈、輻射波長、偏振等)。源模型1300可表示照明之光學特性,包括但不限於:波長、偏振、照明均方偏差(σ)設定(其中均方偏差(或σ)為照明器中之照明之徑向範圍)、任何特定照明形狀(例如離軸輻射形狀,諸如環形、四極、偶極等)等。
度量衡光學件模型1310表示度量衡光學件之光學特性(包括由度量衡光學件造成的輻射強度分佈及/或相位分佈之改變)。度量衡光學件1310可表示由度量衡光學件對度量衡目標之照明之光學特性,及自度量衡目標重新引導之輻射朝向度量衡裝置偵測器之轉印的光學特性。度量衡光學件模型可表示涉及目標之照明及自度量衡目標重新引導之輻射朝向偵測器之轉印的各種特性,包括像差、失真、一或多個折射率、一或多個實體大小、一或多個實體尺寸等。
度量衡目標模型1320可表示由度量衡目標重新引導之照明的光學特性(包括由度量衡目標造成的照明輻射強度分佈及/或相位分佈之改變)。因此,度量衡目標模型1320可模型化藉由度量衡目標進行之照明輻射至重新引導輻射之轉換。因此,度量衡目標模型可模擬自度量衡目標重新引導之輻射之所得照明分佈。度量衡目標模型可表示涉及目標之照明及自度量衡重新引導之輻射之產生的各種特性,包括一或多個折射率、度量衡之一或多個實體大小、度量衡目標之實體佈局等。由於可改變所使用之度量衡目標,因此需要使度量衡目標之光學屬性與至少包括照明及投影光學件及偵測器的度量衡裝置之其餘部分之光學屬性分離。模擬之目標常常為準確地預測例如強度、相位等,其可接著用以導出圖案化製程之所關注參數,諸如疊對、CD、焦點等。
可自源模型1300、度量衡光學件模型1310及度量衡目標模型1320模擬光瞳或空中影像1330。光瞳或空中影像為偵測器位階處之輻射強度分佈。度量衡光學件及度量衡目標之光學屬性(例如照明、度量衡目標及度量衡光學件之屬性)規定光瞳或空中影像。
度量衡裝置之偵測器經曝光至光瞳或空中影像且偵測該光瞳或空中影像之一或多個光學屬性(例如強度、相位等)。偵測模型模組1340表示如何藉由度量衡裝置之偵測器來偵測來自度量衡光學件之輻射。偵測模型可描述偵測器如何偵測光瞳或空中影像,且可包括信號對雜訊、對偵測器上之入射輻射之敏感度等。因此,一般而言,度量衡光學件模型與偵測器模型之間的連接為經模擬光瞳或空中影像,其起因於由光學件對度量衡目標之照明、由目標對輻射之重新引導及重新引導輻射至偵測器之轉印。輻射分佈(光瞳或空中影像)由於偵測器上之入射能量之吸收而變為偵測信號。
度量衡製程之模擬可例如基於由偵測器對光瞳或空中影像之偵測來預測偵測器處之空間強度信號、空間相位信號等,或來自偵測系統之其他演算之值,諸如疊對、CD等值。因此,模擬之目標為準確預測例如對應於度量衡目標的偵測器信號或諸如疊對、CD之經導出值。可將此等值與預期設計值比較以例如校正圖案化製程,識別預測出現缺陷之地點等。
因此,模型公式化描述總體度量衡製程之大多數(若並非全部)已知物理性質及化學方法,且模型參數中之每一者理想地對應於度量衡製程中之相異物理及/或化學效應。
在一實施例中,可在本文中所描述之方法中使用來自圖8中所描述之模擬製程之資料及來自上文所論述之度量衡工具或度量衡模擬之度量衡資料。舉例而言,該資料可用以建立與基板、製程變數及/或遮罩參數相關聯之特性之間的關係。舉例而言,印刷於基板上之特徵之CD、劑量值與遮罩CD之間的關係。在一實施例中,可在模擬製程之一或多個模型中實施額外關係以產生例如缺陷感知圖案化製程、目標佈局之重定向等。
圖案化器件上或由圖案化器件提供之各種圖案可具有不同製程窗。亦即,將在規格內產生圖案所根據之處理變數的空間。關於潛在系統性缺陷之圖案規格之實例包括檢查頸縮、線拉回、線薄化、CD、邊緣置放、重疊、抗蝕劑頂部損耗、抗蝕劑底切及/或橋接。舉例而言,在兩個處理變數(亦即,劑量及焦點)上界定製程窗,使得在圖案化之後獲得的CD可在圖案之特徵的所要CD之±10%內。可藉由合併個別圖案之製程窗(例如,使該等製程窗重疊)來獲得圖案化器件或其區域上之所有圖案之製程窗。
通常,圖案可包括以某種方式配置以形成圖案的一或多個特徵之若干例項(例如,特徵A之10 6個例項、特徵B之10 4個例項等)。在圖案化製程期間,一或多個特徵可在某些(例如,劑量/焦點)設定下發生故障,從而導致基板中之缺陷,藉此影響圖案化製程之良率。因此,為了達成所要良率或所選擇良率,選擇適當範圍之劑量/焦點值或處理窗係重要的。舉例而言,例如設計者或製造商可選擇高良率(例如,99.9%)或可選擇良率範圍(例如,98%至99.99%)。
舉例而言,使用對預OPC佈局之基於規則之修改(被稱為「重定向」)來改良用於特定特徵之製程窗。參見K. Lucas等人之「Process, Design, and OPC Requirements for the 65 nm Device Generation」(Proc. SPIE,第5040卷,第408頁,2003年)。用於預OPC佈局之基於規則之重定向的一種途徑包括選擇性偏置及圖案移位。此途徑藉由選擇性地改變OPC軟體用作所要最終結果之目標邊緣置放,可改良用於某些臨界特徵之完整製程窗效能,同時仍僅在標稱製程條件下演算OPC校正。因此,代替最小化設計尺寸與經模擬邊緣置放之間的誤差,OPC軟體替代地最小化經重定向尺寸與經模擬邊緣置放之間的誤差。
OPC軟體之使用者可以多種方式重定向設計以改良製程窗效能。在重定向之最簡單實例中,可將規則應用至特定特徵以改良其可印刷性及製程窗。舉例而言,眾所周知,隔離線與密集線相比具有較不良製程窗,但製程裕度隨著特徵大小增加而改良。可應用簡單規則以增加小的隔離線之大小,藉此改良製程窗。已開發出其他基於規則之重定向方法,其中除CD之外之度量用以判定經重定向邊緣置放,諸如正規化影像對數斜率(NILS)或對遮罩CD誤差之敏感度(MEF或遮罩誤差增強因數(MEEF))。
基於規則之重定向方法可改良橫越製程窗之特徵之可印刷性,但其遭受若干缺點。此等方法可變得相當複雜且僅基於預OPC佈局。一旦將OPC校正加至設計,依據製程條件而變化的印刷效能就可變得與自預OPC設計所預料的情形相當不同,從而引入顯著誤差源且防止重定向達成所要結果。
圖10說明用於與特定特徵相關之重定向之現有製程的另一實例。在工序P10中,半導體製造製程開始於具有關聯(初步)設計規則之特定設計意圖1001 (例如由在蝕刻後影像AEI中具有特定臨界尺寸CD的通孔所連接之線)的開發。舉例而言,設計規則包括可支援之最小線節距/CD、最小通孔節距/CD、2D線或1D線。在工序P20中,設定初始目標CD (例如顯影後影像ADI中之圖案之CD)以用於成像,初始目標CD可基於來自先前節點(例如先前製造基板)之經驗/外插及早期缺陷檢測實驗。
另外,在工序P30中,通常基於在給出由劑量/遮罩/焦點漂移或變化引起的此等目標CD的情況下最小化剪輯之各種量規(例如出於量測目的而使用的所選擇圖案或特徵)中之偏差(亦被稱作漂移)的權重函數,執行源-遮罩最佳化(SMO)以判定最佳照明光瞳。
在SMO製程之後,在工序P40中,自SMO之結果選擇遮罩圖案(例如>1k個特徵,每特徵具有許多量規)以製造遮罩且使用該遮罩以使基板上之圖案成像(互換地被稱作曝光或印刷)。使用經成像基板上之圖案以經由包括OPC製程之圖案化製程模擬來校準製程參數,諸如抗蝕劑參數、度量衡參數(例如數十個參數)或其他圖案化製程相關參數。藉由使用經OPC之遮罩圖案曝光另一基板且量測經曝光基板之CD來驗證OPC校準。在此處接受某一殘餘誤差。
一旦校準OPC製程,則在工序P50中,執行實驗設計(DOE),例如使用聚焦曝光矩陣(FEM)以曝光基板。使用亮場或電子束檢測工具(例如,掃描電子顯微法(SEM)或透射電子顯微法(TEM))來檢測經曝光基板之缺陷。對於較成熟製程,電氣測試結構及檢測工具(諸如SEM/TEM)用以改進檢測演算法,而以高捕捉及低妨害率捕捉適當量之缺陷。
在工序P60中,執行製程調整及/或更新設計規則(例如,將某些輔助特徵添加至OPC集合,一些輔助特徵可自該OPC集合捨棄)。由於相對於故障率之目標CD之非理想選擇,亦更新(亦即「重定向」)目標CD以改良ADI、AEI、AEI (2L)處之缺陷率至可接受的故障率,使得達成最小圖案相關良率。在重定向之後,可再次執行包括SMO、OPC、檢測等之製程及進一步重定向CD以進一步改良良率。
上文所論述之現有製程對於客戶之每測試器件可能花費長達1年的時間,其中調諧半導體製造製程直至其對於基板之每一個別製程步驟及整合層具有足夠良率為止。並行地,亦開發其他解決方案(例如OVL目標,及用於製程工具之控制及監測解決方案)以限制製造中之變化且改良總良率。可需要複雜度增加的若干測試器件以得到最終高容量製造(HVM)製程,該製程產生具有足夠圖案化良率之晶片。在一實例中,良率係由於圖案化幾何容許度或設計規則而產生。然而,歸因於更隨機/不可預測的漂移(例如粒子),亦存在參數良率或良率損失。舉例而言,與晶片之運行相關的參數良率,諸如電氣效能、熱效能,其用以判定器件是否工作,相對於要求而言器件是否過慢或消耗更多功率等。
上文所論述之現有製程之挑戰中的一者為:由於製程自身、圖案化製程之參數與製程變數之間的各種相互相依性,需要在下一循環(或反覆)中考量來自第一循環(或反覆)之學習。除使用蠻力外,難以平行化。因此,歸因於冗長的循環時間而延長了顯影時間。
現有製程具有若干缺點。第一缺點為,對於每一製程反覆,必須製造若干新遮罩。其次,度量衡/檢測循環可為極冗長的(通常數週)。第三,習知SMO製程並非察覺到基於目標CD之極端側處之極限(例如,過大/過小特徵)的實際故障率。習知SMO僅針對最佳可變性進行最佳化,例如在用於給定目標CD之製程變數±劑量、±焦點或±遮罩偏置方面。此最佳化可為次佳的,此係因為其嚴重地依賴於使用者基於(常常專屬)檢測模型提供正確的CD目標。
本發明描述使用以缺陷為基礎之製程窗自度量衡/檢測資料判定特性極限(例如與抗蝕劑CD相關聯)之替代機制。以缺陷為基礎之製程窗係指基於與待印刷於基板上之圖案相關聯之故障率資料而判定的製程變數值。在一實施例中,判定(例如,經由電腦硬體系統100)與圖案化製程相關聯的以缺陷為基礎之製程涉及:基於圖案化製程之故障率量測獲得(i)與圖案化製程相關聯之特性(例如,CD)之特性極限,及(ii)依據圖案化製程之製程變數及/或製程變數之方差而定義的特性(例如,CD)之機率密度函數(PDF)。基於特性極限及特性之機率密度函數,判定圖案化製程之所估計故障率。另外,依據製程變數判定以缺陷為基礎之製程窗,使得特性之所估計故障率小於所選擇故障率臨限值(可互換地被稱作所要故障率或目標故障率)。舉例而言,識別製程窗涉及判定所估計故障率與所選擇臨限值之相交點之間的製程變數之範圍。
在一實施例中,藉由基於轉換函數將用於給定設定之製程變數(例如,劑量)之機率密度函數轉換成用於該設定之特性(例如,CD)之機率密度函數而獲得特性極限。轉換函數係基於製程變數之函數予以判定。基於特性分佈(例如,CD分佈),針對給定製程變數設定及特徵之故障率之量測來判定特性極限。
用以判定特性極限(亦被稱作與特徵相關聯之參數極限)及以缺陷為基礎之製程窗的方法之實例在2017年12月22日申請之美國專利申請案第62,609,755號及2018年11月30日申請的(亦作為PCT申請案PCT/EP2018/085159申請的)美國專利申請案第62/773,259號中加以描述,該等申請案之全文係以引用方式併入本文中。自用以校準故障率模型之若干特徵獲得度量衡資料或檢測資料,該故障率模型可用以判定用於任何(例如,內插)特徵大小之模擬器中的特性極限。
根據本發明,以缺陷為基礎之製程窗用於改良模擬結果及重定向。圖11為根據本發明之一實施例的實施以缺陷為基礎之製程窗及特性極限以改良習知圖案化製程模擬(例如SMO、重定向、OPC等)之例示性模擬製程的流程圖。
方法1100開始於工序P30,其在目標佈局(例如全晶片佈局之剪輯)上模擬SMO製程,如圖10中所論述。舉例而言,目標佈局可包括多於一百萬個或數十萬個特徵。SMO模擬之結果可為FEM、最佳化遮罩參數(例如遮罩偏置及CD)、最佳化源、最佳化焦點、最佳化照明光瞳等。
工序P1101涉及對校準集合(例如,包括數十個量規或目標佈局之所選擇圖案)執行以缺陷為基礎之製程窗(DB-PW)校準以判定與待印刷於基板上之圖案相關的特性極限(例如,CD極限)及局部CD均一性。在DB-PW校準之後,可將特性極限用於目標佈局之任何給定圖案。用以判定特性極限(亦被稱作與特徵相關聯之參數極限)及以缺陷為基礎之製程窗的方法之實例描述於PCT申請案第PCT/EP2018/085159號中,該申請案之全文係以引用方式併入本文中。
與P1101並行地,使用(例如) 10,000個量規來執行涉及OPC校準(如圖10中所論述)之工序P40。在一實施例中,對與用於DB-PW之量規或圖案相比大得多的數目個量規或圖案執行OPC校準。在一實施例中,分離DB-PW校準及OPC校準。DB-PW校準可花費更多時間,此係因為其涉及執行度量衡量測以判定經印刷基板上之實際CD及缺陷,而OPC校準並不涉及任何度量衡量測或以缺陷為基礎之計算。
在一實施例中,OPC校準涉及校準例如抗蝕劑參數以確保基板上之經模擬圖案接近於所要抗蝕劑目標(例如抗蝕劑中之CD)。在一實施例中,OPC之輸出為包括例如輔助特徵、主要特徵、曲線遮罩圖案、直線圖案之遮罩圖案。在一實施例中,OPC校準使用實質上大數目個圖案或量規(例如,100,000個量規),而DB-PW僅使用量規之子集(例如,數十個量規)。
在一實施例中,DB-PW校準產生或使用特徵之特性之故障率模型及機率密度函數。舉例而言,可基於經印刷基板之經量測資料來模型化與圖案相關聯之CD分佈。在一實施例中,此故障率模型及CD分佈模型可用以判定CD極限,此係因為僅對數十個量規進行校準且接著預測針對任何量規或圖案將印刷何種CD。舉例而言,CD分佈及故障率模型可為擬合於基於度量衡之資料(例如,經量測之CD及故障率)上的高斯模型。經擬合模型可用以判定針對所要故障率或目標故障率之CD極限。在一實施例中,CD極限可被視為硬極限,例如,低於CD極限,特徵被視為缺陷。
在一實施例中,DB-PW校準亦校準局部CD均一性(LCDU)如何表現之回應。在一實例中,柏桑(Bossung)行為描述CD之劑量敏感度,而局部LCDU描述CD方差,其可給出基礎CD分佈之量度。
來自工序P1101及P40之輸出(例如,CD極限及抗蝕劑參數、劑量-焦點矩陣、遮罩參數等)可用以在工序P1103中執行「動態重定向」。例示性動態重定向係關於圖15加以論述。
在一實施例中,在工序P1104中,可進一步擴展方法1100以基於顯影後影像(ADI)及/或蝕刻後影像(AEI)資料來判定最佳製程窗。舉例而言,可在顯影步驟處基於CD行為而判定ADI製程窗。可基於蝕刻後步驟處之CD行為而判定AEI製程窗。在一實施例中,描述CD隨著ADI或AEI參數改變而改變之ADI及/或AEI模型可用以判定CD行為。在一實例中,AEI模型可預測蝕刻之後的CD。可對照CD極限檢查蝕刻後CD以判定蝕刻後CD是否在可接受範圍內。若不在可接受範圍內,則可產生重定向目標佈局,或可修改蝕刻製程使得蝕刻後CD係在由DB-PW判定之CD極限內。在一實施例中,在工序P1105中,最佳製程窗亦可用以對全晶片之良率進行預測。
可在PCT申請案第PCT/EP2018/085159號中詳細論述之以下方程式(1)中表示用以判定CD極限之實例方法,該申請案之全文係以引用方式併入本文中。
Figure 02_image001
在以上方程式(1)中,(i) R fail 為每製程變數(例如,劑量、抗蝕劑相關及/或蝕刻相關)之設定的特徵之故障率;(ii)
Figure 02_image003
係指在某一製程條件(由「 d」表示)下基於製程P1101中之經量測資料的CD PDF模型;及(iii)
Figure 02_image005
Figure 02_image007
之累積分佈函數,其提供處於及超出
Figure 02_image009
時之故障的總機率。可在製程變數(例如劑量、抗蝕劑相關、蝕刻變數)之一或多個設定下以對應故障率判定CD極限,其中發生故障之特徵並不影響彼此之故障率(亦即,吾人可將每一故障視為「隔離故障」),但存在足夠量的發生故障之特徵以限制經量測或判定之故障率之方差。在一實施例中,
Figure 02_image011
可根據如下文所描述之本發明予以判定。舉例而言,
Figure 02_image013
可經判定為劑量及遮罩變化的函數。在另一實例中,
Figure 02_image015
可基於調整歸因於劑量貢獻而引起的變化之調整模型予以判定。
故障率模型可進一步用以判定遍及製程變數(例如,劑量、抗蝕劑、蝕刻)之製程窗(更多細節參見PCT申請案第PCT/EP2018/085159號)。舉例而言,製程窗可為劑量值(「 d」)之範圍,在該範圍內,所估計故障率可小於10 - 9。在一實施例中,可例如使用以下方程式(2)自所要良率(例如,對於10 6個特徵,99.9%)判定所要故障率:
Figure 02_image017
在一實施例中,可使用所估計故障率(例如,在方程式2中)計算良率如下:
Figure 02_image019
在以上方程式(3)中,
Figure 02_image021
為針對N個個別特徵在特定劑量( d)下之良率,且
Figure 02_image023
為所估計故障率(例如在方程式2中)。基於此所計算良率,可選擇製程窗,在該製程窗中,所計算良率大於或等於所要良率(例如,99.9%)。
圖12A至圖12B說明使用圖案之校準集合來判定CD極限及故障率資料的例示性方法。在一實施例中,經模擬抗蝕劑圖案可對應於使用如下所解釋之方程式(4)進行的DB-PW校準之經量測資料(在工序P1101中)。
Figure 02_image025
在以上之方程式(4)中,
Figure 02_image027
為基於自DB-PW資料判定之特徵之CD的特性極限之實例,
Figure 02_image029
為基於在兩個不同高度(例如在抗蝕劑層之頂部處之
Figure 02_image031
,及在抗蝕劑層之底部處之
Figure 02_image033
)下之經模擬抗蝕劑剖面(例如參看圖12C)之CD值而判定的CD裕度。在一實施例中,SMO或相關製程模擬器可經修改以包括方程式(4)以判定在抗蝕劑之頂部或底部處之裕度(參見圖12C)。
在一實施例中,如圖12A中所展示,量規#G可為具有可變化之4個參數 px py CDxCDy的孔陣列,其中 p表示在x方向上之節距且 CDx表示在x方向上之CD。在一實施例中,自量規#G,可產生多個量規#G0、#G1、#G2、#G3及#G4,如圖12B中所展示。舉例而言,在圖12B中,#G1為單列特徵、#G2為豎直行特徵、#G3為對角配置之特徵。對於每一量規,可判定CD極限。舉例而言,CD極限可為CD L , G0、CD L , G1、CD L , G2、CD L , G3及CD L , G4。相似地,對於每一量規,模擬器可產生例如抗蝕劑CD H1/H2 G0、H1/H2 G1、H1/H2 G2、H1/H2 G3及H1/H2 G4。以此方式,方程式(4)將
Figure 02_image035
與經模擬CD值相關。圖12C中說明實例抗蝕劑剖面及量測CD所處之頂部及底部位置。
圖13展示根據本發明之一實施例的使用抗蝕劑剖面之頂部及底部處之CD來判定以缺陷為基礎之製程窗的例示性方法。在本實例中,對20 nm接觸孔並使用環形照明模型來執行製程模擬。在一實施例中,使用例如基於經量測資料之故障率模型來判定製程窗及
Figure 02_image037
。又,可使用如本文中所論述之經修改製程來模擬此製程窗。舉例而言,使用包括方程式(4)之經校準模擬製程(例如,關於圖14A及圖14B所論述)。因而,可在半導體製造製程中早先有利地模擬以缺陷為基礎之製程窗PW1。舉例而言,藉由在抗蝕劑之底部及頂部處量測且假定關於
Figure 02_image037
之某一裕度,可判定製程窗PW1。製程窗PW1之邊緣展示剖面或此等極限在抗蝕劑剖面之不同深度處如何表現。在一實施例中,裕度為可用於適用於抗蝕劑之底部及頂部的CD改變之量。接著,可經由劑量及焦點矩陣判定製程窗PW1。因此,基於抗蝕劑剖面,可比較CD極限;且基於CD極限,可判定製程窗。在此實施例中,在假定無局部CD變化的情況下,獲得以缺陷為基礎之製程窗PW1。在一些其他實施例中,考慮LCDU,且製程窗可相應地改變。
圖14A及圖14B展示根據本發明之一實施例的用以判定經校準模擬製程之例示性方法。圖14A說明基於自經印刷基板獲得之實驗資料及經印刷基板之檢測資料的例示性DB-PW(更多細節參見PCT申請案第PCT/EP2018/085159號)。參看圖14A,可藉由針對每個製程條件執行(例如,在電腦硬體系統100之處理器上)故障率模型(例如,P1101)來判定故障率。另外,基於給定所要故障率或可接受故障率,可判定可接受製程窗PW2 (點線)。另外,可對可接受製程窗PW2執行橢圓擬合(或任何其他種類之合適擬合)以判定例如具有最大曝光寬容度之橢圓製程窗PW3。
在圖14A中,製程窗cPW為基於針對具有20奈米平均接觸孔之29個接觸點的習知OPC模擬而獲得的製程窗,PW2之輪廓處於固定故障率或可接受故障率,且PW3之輪廓為在窗PW2內之橢圓擬合,其中自焦點及CD視角,PW3可被認為係最大製程窗。可觀測到,經典製程窗cPW不同於DB-PW PW2/PW3。基於製程窗PW2/PW3,可判定最佳劑量為約53 mJ/cm 2,最佳CD為約22.5 nm且最佳焦點位置在窗之中心處為-11奈米。此有利地提供實驗設定,且可使用之製程窗之大小可操作圖案化製程使得印刷圖案將在某一故障率內。
圖14B說明使用經校準模擬製程所產生的實例經模擬製程窗PW2'及PW3',其中基於根據本發明之一實施例的DB-PW資料(例如圖14A中所展示)來校準模擬製程之參數。在本實例中,DB-PW資料(例如CD lim或PW2)導引模擬器瞭解在抗蝕劑之底部處及頂部處可用的裕度。因此,用於模擬製程中之模型之參數經修改以匹配實驗結果。在本實例中,經調整模擬參數為吸收率/dilLB=5 μm - 1,抗蝕劑厚度T resist= 44 nm,Z meas=  T resist的15%。在一實施例中,亦可應用或修改AI之模糊、光斑及其他參數,使得經模擬製程窗(例如,PW3')對準或緊密地匹配於DB-PW (例如PW3)。根據本發明,不僅可擬合與經典CD-柏桑相關之參數(例如抗蝕劑之σ blur、光斑、SEM偏移等),而且可擬合與例如「CD極限」相關聯的參數,CD極限藉由在自模擬獲得之圖案之抗蝕劑剖面的不同高度下之CD予以特性化。
根據本發明,存在經校準模擬製程之若干優點。在一實施例中,亦可校準局部CD回應使得經量測之CD變化可與關於例如劑量敏感度之經模擬CD變化相關。另外,校準可擴展為包括遮罩之CD變化,從而造成抗蝕劑中之CD變化。在另一實例中,亦可執行模擬以判定製程窗在劑量增大、抗蝕劑或抗蝕劑之敏感度增大時如何變化。又,可執行模擬以研究在遮罩位階處之CD偏置改變的情況下會發生的情形。
額外劑量可改良製程窗,但可改變目標CD及最佳焦點。舉例而言,隨著調整劑量,局部CD均一性減小,CD目標偏置減小,且CD窗生長。然而,劑量增大亦可增大聚焦深度(DoF),此可為非所需的。自生產率視角,可需要將劑量保持儘可能地低,但自效能視角(例如,增大之PW),可能需要較高劑量。由此,基於若干參數(劑量、CD窗、LCDU@BEBF、BF、DoF)之改變,可選擇解之間的劑量值。
在另一實例中,在表2中,可調整遮罩偏置,從而導致目標CD偏置增大。舉例而言,可在20%遮罩偏置下獲得最佳CD窗。又,在20%之遮罩偏置下,亦相應地獲得在最佳焦點改變及DoF下之LCDU。
在一些實施例中,曝光寬容度係劑量範圍且DoF係窗(例如,圖14A中之PW2)之範圍。在一實施例中,曝光寬容度可轉換成CD窗,例如在較高劑量下之CD減去較低劑量之CD。
在另一實例中,可調整抗蝕劑參數以在針對不同抗蝕劑材料(例如,CAR抗蝕劑對非CAR抗蝕劑)之給定吸收速率下判定最佳抗蝕劑厚度。
在一實施例中,若可模型化抗蝕劑之行為(例如,光子吸收率或局部變化CD變化如何取決於抗蝕劑之厚度),則抗蝕劑厚度可變化以判定最佳製程窗。可理解,前述抗蝕劑參數呈現為實例且不限制本發明之範疇。在一實施例中,可以實驗方式判定抗蝕劑參數之間的額外關係且基於抗蝕劑相關量測來校準模擬製程。
在一實施例中,可存在判定DoF之若干因素。舉例而言,使用柏桑曲線、局部CDU降級及實際抗蝕劑剖面及對CD裕度之影響而判定經典CD窗。亦可使用本發明之實施例來模擬此類行為。
圖14C說明用以展示DoF之改變如何影響產生非顯而易見製程窗之CD裕度的實例模擬。圖14C說明在不同DoF處可用之裕度,曲線Mup指示與頂部抗蝕劑CD相關聯的上部裕度,曲線Mb指示與底部抗蝕劑CD相關聯的內部裕度,且曲線Mtot指示預期依據焦點而具有的總裕度。曲線指示DoF相當顯著地影響抗蝕劑剖面,指示在DoF過於遠離中心(例如最佳焦點或標稱焦點)時在抗蝕劑剖面之底部處或頂部處可用較小CD裕度。
在一實施例中,可實施與圖案化製程相關之模擬製程之校準,如關於圖15所論述。舉例而言,方法1500包括詳細論述如下之工序P1501及P1503。
工序P1501包括:(i)基於印刷圖案之臨限故障率獲得印刷圖案之特性之特性極限1501,及(ii)基於特性極限1501獲得參考製程窗1503。
在一實施例中,藉由基於經模擬圖案之特性及印刷圖案之特性擬合特性模型之參數來判定特性模型。
在一實施例中,獲得(例如,經由電腦硬體系統100)印刷圖案之特性之特性極限1501包含:經由微影裝置將目標佈局之所選擇圖案印刷於基板上;基於印刷圖案之檢測資料判定(例如,經由電腦硬體系統100)每一所選擇圖案之故障率;基於每一所選擇圖案之故障率及特性擬合故障率模型,該故障率模型經組態以判定與圖案之特性相關聯的故障率;及執行(例如,在一電腦硬體系統100之處理器上)該故障率模型,以判定所選擇圖案之特性之特性極限1501使得滿足臨限故障率。
在一實施例中,在獲得特性極限1501時,不僅可校準特性極限1501而且可校準圖案之CD之行為及相關高階矩(例如,方差、偏斜、峰度等)。在一實施例中,校準例如方差及偏斜度有助於將特性模型之預測與模擬資料較佳地匹配。校準CD分佈之實例在先前提及之PCT申請案PCT/EP2018/085159中進行了詳細論述。在一實施例中,藉由調整製程變數(包括例如光斑、抗蝕劑模糊)經由劑量/焦點來校準CD。可藉由劑量敏感度(「ILS」)及/或MEEF模擬來校準方差,例如如本文所論述。在一實施例中,亦可遍及窗特性化高階矩,且擬合高階矩以匹配預測。
工序P1503包括校準(例如,經由電腦硬體系統100)模擬製程使得經模擬製程窗係在參考製程窗1503之可接受臨限值內。在一實施例中,經模擬圖案包含基板之層內之圖案的剖面。在一實施例中,經模擬圖案之特性包含該層之頂部處之第一特性與該層之底部處之第二特性之間的差。在一實施例中,模擬製程包含:源遮罩最佳化製程及光學近接校正製程,其經組態以調整遮罩參數、源參數或製程參數以使印刷圖案在目標特性值之可接受臨限值內。
在一實施例中,獲得參考製程窗1503包括基於與印刷基板相關聯之檢測資料判定(例如,經由電腦硬體系統100)對應於滿足特性極限1501之每一所選擇圖案之特性的劑量及焦點之值。
在一實施例中,模擬製程之校準包括:執行(例如,在電腦硬體系統100之處理器上)一或多個製程模型以判定經模擬圖案;及調整與一或多個製程模型相關聯之參數值,直至經模擬圖案之特性滿足特性極限1501為止。在一實施例中,校準包括基於經模擬圖案之特性判定(例如,經由電腦硬體系統100)經模擬製程窗及經模擬製程窗是否在參考製程窗1503之可接受臨限值內。
在一實施例中,一或多個製程模型包括特性模型,該特性模型係基於經模擬圖案及與印刷圖案相關聯之特性極限1501而擬合。在一實施例中,藉由基於經模擬圖案之特性及印刷圖案之特性擬合特性模型之參數來判定特性模型。
在一實施例中,一或多個製程模型之參數可包括特性模型之參數。舉例而言,解釋特定製程(例如ADI或AEI)中之CD之行為的平均值、方差、偏斜度等。
在一實施例中,一或多個製程模型之參數可包括與相關聯於圖案化製程之空中模型相關聯的空中影像參數。
在一實施例中,一或多個製程模型之參數可包括與關於圖案化製程之抗蝕劑模型相關聯的抗蝕劑參數。在一實施例中,抗蝕劑參數包括以下各者中之一或多者:空中影像之模糊相關參數、抗蝕劑層之抗蝕劑厚度、與抗蝕劑(材料)相關之吸收量、抗蝕劑層之表面張力、抗蝕劑層處之應力及應變,或抗蝕劑模型或模擬器中包括之其他抗蝕劑相關參數。在一實施例中,此等抗蝕劑參數對CD之影響在本質上可為線性或非線性的。
在一實施例中,一或多個製程模型之參數可包括與相關聯於圖案化製程之蝕刻模型相關聯的蝕刻參數。
在一實施例中,模擬製程之校準係反覆製程。一或多個反覆包括:使用待印刷於基板上之所選擇圖案來執行(例如,在電腦硬體系統100之處理器上)一或多個製程模型,以判定基板上之經模擬圖案;調整與一或多個製程模型相關聯的參數之值使得經模擬圖案之特性滿足與所選擇圖案相關聯的特性極限1501;及基於經模擬圖案之特性判定(例如,經由電腦硬體系統100)經模擬製程窗及經模擬製程窗是否在參考製程窗1503之可接受臨限值內。
在一實施例中,一或多個製程模型之參數之調整包含調整與特性模型相關聯之參數之值,使得頂部處之經模擬圖案之特性與底部處之經模擬圖案之特性之間的裕度相對於特性極限1501最大化。
在一實施例中,方法1500進一步包括:獲得熱點圖案之集合,每一熱點圖案為來自目標佈局之使用者選擇之圖案及/或與相比於目標佈局之其他圖案所具有的相對較高故障機率相關聯的圖案;藉由使用熱點圖案之集合及故障率模型來模擬(例如,經由電腦硬體系統100)經校準模擬製程,判定用於每一熱點圖案之以缺陷為基礎之製程窗;及基於每一以缺陷為基礎之製程窗之重疊,判定與臨限故障率相關聯之一或多個製程變數的最佳值。
如早先關於方程式4所提及,可將總故障計算為(1-FR final) = ∏ i(1-FR feature - i) Ni,其中FR feature取決於製程參數(例如劑量/焦點)。
在一實施例中,一或多個製程變數係選自:最佳焦點、最佳劑量、藉由臨限故障率特性化之劑量-焦點窗、像差(例如,其在掃描器上係可選擇/可調諧的)、MSD、OVL (例如,在受到兩個單獨層上之圖案之CD影響的「EPE」設定之狀況下)。
在一實施例中,方法1500進一步包括:基於故障率模型判定(例如,經由電腦硬體系統100)與每一熱點圖案相關聯之良率;及藉由計算與橫越全晶片佈局之每一熱點圖案相關聯的每一良率之乘積來判定全晶片佈局之總良率。
在一實施例中,方法1500進一步包括:藉由模擬經校準模擬製程,判定裕度是否可用於調整經重定向圖案之特性以致使將目標特性值印刷於基板上;及回應於該裕度不可用,經由使用該經重定向圖案模擬該經校準模擬製程而判定對與圖案化製程相關聯之製程的調整使得將目標特性值印刷於基板上。
在一實施例中,對製程之調整包含:調整(例如經由電腦硬體系統100)顯影後影像處之蝕刻偏置中之一或多者的值,包括等向性回蝕或間隔件製程、製程負載之量。在一實施例中,製程負載之量可為由電漿密度、再沈積速率或藉由調整所使用氣體之分壓而判定的蝕刻速率及/或沈積速率之函數。在一實例中,負載可藉由取決於高斯半徑內之開放訊框的蝕刻速率或沈積速率而模型化。
在一實施例中,方法1500進一步包括:使用全晶片佈局模擬經校準模擬製程以判定經模擬特性值與相關聯於全晶片佈局之目標特性值之間的殘餘誤差;經由微影製造檢查判定與全晶片佈局相關聯之經模擬特性值是否滿足所要良率;且回應於未滿足所要良率,經由經校準模擬製程調整源參數、遮罩參數或製程參數以減少殘餘誤差使得滿足所要良率。
一旦根據DB-PW實驗資料校準模擬製程,如上文關於圖11及圖15所論述,經校準模擬製程就可用以執行所謂的以缺陷為基礎之源遮罩最佳化,包括(例如)重定向。在一實施例中,重定向係指基於使目標圖案偏置而產生更新之圖案。在本實施例中,重定向可藉由基於自故障率模型及CD分佈模型獲得之CD極限使特性(例如,目標CD)偏置來進行。在一實施例中,可如本文中或全文併入本文中之PCT/EP2018/085159中所論述而判定CD分佈模型。根據本發明所判定之CD分佈模型可針對特定劑量及/或遮罩偏置提供對CD之較準確預測,如稍後在本發明中將論述。
圖18說明根據本發明之動態重定向之實例。在一實施例中,結合源-遮罩最佳化製程來使用DB-PW資料。舉例而言,在SMO內,用以平衡CD裕度之±劑量、±遮罩偏置及ΔBF (亦即,最佳焦點之改變)。舉例而言,相對於根據DB-PW實驗獲得之CD極限(例如,如在工序P1101中)所判定的CD裕度。又,在SMO內,若可得到CD極限,則可將動態重定向應用於目標圖案之特徵。
動態重定向開始於初始目標圖案TP181,亦被稱作初始目標剪輯TP181或具有目標圖案之剪輯。目標圖案TP181之重定向係基於所預測的目標圖案特性之漂移(例如,CD漂移)。在一實施例中,對於給定故障率,吾人可估計CD上有多少劑量及遮罩漂移。本文中論述用以判定漂移的基於實例劑量及遮罩之方程式。
在本實例中,初始目標圖案TP181包括如所展示而配置之複數個接觸孔。特性極限資料L181 (如所說明)用以判定經重定向圖案。在一實例中,特性極限L181為基於DB-PW實驗而判定之CD極限L181。在一實例中,基於CD極限L181重定向初始目標圖案TP181之特徵TF181。
特性極限資料L181包括由DB-PW實驗判定之CD上限CDL1及CD下限CDL2。又,標稱或目標CD TCD被展示為疊對於CD極限資料L181上。亦展示在給定故障率下針對接觸孔之評估之CD漂移EV1及EV2。評估CD漂移之實例係關於圖20及圖21加以論述。亦展示經計算為CD漂移與CD極限之間的差的實例CD裕度。在一實施例中,CD漂移EV1及EV2為在給定故障率下在例如與標稱CD或目標CD之3個標準偏差下計算的CD值。可使用關於(例如)圖11及圖15所論述之經校準模擬製程來模擬此等極值漂移。
框L181中之極限亦展示,相比於在下側(例如CDL2-EV2)上,在上側(例如CDL1-EV1)上可用相對更多裕度。此等裕度指示目標圖案TP181之特徵大小可增大,而非減小特徵大小。因此,如所展示,可產生經重定向圖案RTP181以包括經重定向特徵RTF181,該經重定向特徵相比於TF181中所展示之目標CD具有較大CD。
在一實施例中,可重複以上步驟以產生最終經重定向圖案或經重定向剪輯。此外,在一實施例中,對於經重定向剪輯,亦可最佳化源。
因此,圖18中之重定向製程可預測多少特徵處於CD極限下,哪些特徵超出極限。又,若給定目標佈局中之特徵之出現次數,則可執行統計分析以判定哪一特徵可被保持且哪些特徵可被移除。舉例而言,特徵#1具有為10 - 10之故障率,且特徵#2具有為10 - 6之故障率且若特徵#2類型之數目比特徵#1大得多,則基於裕度可判定是允許特徵#2之目標大小抑或將其重定向。在另一實例中,若未留下裕度以供重定向特徵,則可推薦製程之改變。實例製程改變包括如關於圖16及圖17所論述之抗蝕劑參數、蝕刻參數等之改變。
圖19說明根據本發明之一實施例的與圖案之特性極限(例如,CD極限)相關聯的實例CD漂移及CD裕度。圖20A及圖20B說明根據本發明之一實施例的CD之CD裕度及極值(EV)漂移如何在不同製程條件(例如,劑量、焦點、遮罩偏置等)下如何表現的實例。
在圖19中,實例機率分佈函數PDF191為基於故障率資料以計算特定CD之故障機率的經模型化CD分佈。在一實施例中,可基於劑量及遮罩變化以及在本發明中稍後論述之調整模型而判定CD分佈PDF191。
可計算遍及CD分佈PDF191積分的累積密度函數CDF。在本實例中,可藉由自左至右遍及CD (x軸)積分PDF191來計算左側CDF。相似地,可藉由自右至左遍及CD(x軸)積分PDF191來計算右側CDF。
在一實施例中,可基於印刷基板之故障率資料而判定CD極限(例如,參見工序P1101)。在一實施例中,CD值低於CD極限的圖案被認為係發生故障之圖案。在一實施例中,為了改良圖案化製程之良率,可指定可接受故障率TFR (亦被稱作臨限故障率)。可接受故障率或臨限故障率可高於圖案之實際故障率。接著,可關於此臨限故障率TFR執行重定向或製程調整。
在一實施例中,EV漂移係指在以缺陷為基礎之製程窗之邊緣處所判定的特性或製程變數之值。舉例而言,CD中之EV漂移可為相對於標稱CD或目標CD TCD之CD偏差,其中在DB-PW之極端劑量值及/或極端焦點值下判定CD偏差。在一實施例中,可基於經模型化CD分佈之3個標準偏差而判定EV漂移。在一實施例中,動態重定向製程(例如圖18)反覆地修改特徵之CD值以最小化CD EV漂移或改良CD裕度(在一實施例中最大化)。在一實施例中,最小化EV漂移造成在兩側上最大化CD裕度,如圖19中所說明。在一實施例中,包括本文中所論述之劑量漂移及/或遮罩漂移方程式之經校準SMO可用以藉由使劑量及/或遮罩偏置變化而最小化EV漂移。
在一實施例中,取決於CD分佈或CDF之形狀,在一側上比在另一側上相對更多的CD裕度(nm)可用。舉例而言,具有相對較長尾部之CD分佈在尾部處可具有較小CD裕度。
圖20A展示在CD分佈PDF191或對應CDF向右移位之情況下發生的情形之實例。如所展示,左側處之CD裕度CDM1'增加,但右側處之CDM2'變為負。因此,CDF之移位可致使CD之EV漂移超過CD極限,且較大特徵可能不滿足目標故障率準則。換言之,無裕度可用以增大經重定向CD之大小,且經重定向CD將很可能造成圖案之故障。在一實施例中,兩個CD裕度可變成負的,此係非所要的。圖20B展示CD裕度CDM3為負且左CD裕度為零(此亦為非所要的)的實例。
自源遮罩最佳化視角,EV漂移可與屬於目標故障率之劑量設定相關。換言之,目標故障下之劑量設定可造成PDF之尾部或CDF之移位。因此,根據本發明,源遮罩最佳化器可經組態以藉由查看屬於目標故障率TFR之特定劑量值來追蹤CD裕度。因此,可藉由追蹤劑量追蹤CD裕度或EV漂移。
在一實施例中,僅可最佳化源且可使用以下劑量漂移方程式來追蹤在特定目標速率下之劑量:
Figure 02_image040
在以上方程式(5)中, dose EV 表示在目標故障率或所要故障率 FR target (亦即,TFR)下及在與平均特性值之3個標準偏差下之特性值相關聯的極值漂移下的劑量值,
Figure 02_image042
為圖案之特性之劑量敏感度, LCDU dose 3σ表示與在與平均特性值之3個標準偏差下及在特定劑量下的特性值相關聯的變化,且
Figure 02_image044
表示特性之PDF之累積分佈函數。在一項實施例中,可判定追蹤CD裕度之劑量範圍,而不管其在目標故障率下是為正抑或負。在一項實施例中,可藉由追蹤劑量範圍而最小化焦點控制。
在一實施例中,可最佳化源及遮罩兩者,且CD之漂移可由劑量及遮罩參數(例如遮罩偏置)兩者引起。在此狀況下,可考量遮罩CD變化及劑量CD變化之組合。舉例而言,可使用下文給出之劑量漂移方程式(6)追蹤在特定目標速率TFR下之劑量。又,可使用下文所描述之以下遮罩偏置漂移方程式(7)來追蹤在特定目標速率TFR下之遮罩參數(例如,遮罩偏置)。
在一實施例中,劑量漂移方程式藉由下式給出:
Figure 02_image046
在以上方程式(6)中, dose EV 表示在目標故障率或臨限故障率 FR target (亦即,TFR)下及在與平均特性值之3個標準偏差下之特性值相關聯的極值漂移下的劑量值,
Figure 02_image048
為圖案之特性之劑量敏感度, LCDU dose 表示在特定劑量下之特性之變化、 LCDU total 表示圖案之特性之總變化、 LCDU dose 3σ表示與在與平均特性值之3個標準偏差下及在特定劑量下的特性值相關聯的變化,且
Figure 02_image050
表示特性之PDF之累積分佈函數。
在一實施例中,遮罩偏置漂移方程式係藉由下式給出:
Figure 02_image052
在以上方程式(7)中, mask EV 表示在臨限故障率 FR target 下及在與平均特性值之3個標準偏差下之特性值相關聯的極值漂移下的遮罩偏置,
Figure 02_image054
為與歸因於遮罩偏置而引起的抗蝕劑圖案相關聯的特性之變化, LCDU total 表示圖案之特性之總變化,且
Figure 02_image056
表示特性之PDF之累積分佈函數。
在一實施例中,插入方程式5、6及/或7或與SMO製程結合使用以得到最大CD裕度或最小化漂移。
圖21為用於產生與圖案化製程相關聯之經重定向圖案之方法2100的流程圖。舉例而言,方法2100包括如下詳細論述之工序P2101及P2103。
工序P2101包括:獲得(i)與待印刷於基板上之目標圖案相關聯的特性之目標值,(ii)與目標圖案相關聯之特性極限2101,該等特性極限2101為超出其將對應於目標圖案之印刷圖案認為有缺陷的特性之值,及(iii)源遮罩最佳化(SMO)製程,其經組態以基於與目標圖案之特性相關聯之臨限故障率計算劑量及/或遮罩參數。
在一實施例中,如本文中所論述,基於故障率模型獲得特性極限2101,該故障率模型係使用與基板上之印刷圖案相關聯的故障率資料及臨限故障率來校準,該印刷係使用初始SMO資料來執行。
工序P2103包括藉由使用目標圖案模擬源遮罩最佳化製程產生經重定向圖案,使得經重定向圖案之特性進一步屬於與目標圖案相關聯之特性極限。在實施例中,判定重定向圖案之特性是否進一步在極限內係基於在臨限故障率下與該等特性極限2101相關聯之裕度。在一實施例中,此類裕度增大或在一實施例中經最大化。經重定向圖案之產生包含:使用初始SMO資料模擬源遮罩最佳化製程以判定在臨限故障率下與目標圖案相關聯之特性的漂移;判定(例如,經由電腦硬體系統100)特性極限2101與在臨限故障率下之漂移之間的裕度;及調整目標圖案之特性值使得該等裕度增大而不超過與目標圖案相關聯之特性極限2101,該經調整特性值用以產生經重定向圖案。
在一實施例中,在一實施例中,獲得源遮罩最佳化(SMO)製程包含:包括劑量漂移方程式以基於特性之機率密度函數(PDF)、局部臨界尺寸均一性、圖案之特性之劑量敏感度及臨限故障率來計算劑量;及/或包括遮罩方程式以基於特性之機率密度函數(PDF)、由遮罩偏置引起之局部CD均一性以及臨限故障率來計算遮罩特性。
在一實施例中,劑量漂移方程式係由本文中所論述之方程式(5)或(6)給出,且遮罩方程式係由本文中所論述之方程式(7)給出。在一實施例中,極值漂移可為全局漂移。此等漂移可以SMO製程中所使用之成本函數或優質化函數實施。
在一實施例中,判定(例如經由電腦硬體系統100)經重定向圖案係反覆製程,反覆包含:使用包括最佳化源及最佳化遮罩參數之初始SMO資料及初始目標圖案或經重定向圖案作為輸入來模擬源遮罩最佳化製程,以判定在臨限故障率下與目標圖案相關聯之特性的漂移;判定特性極限2101與在臨限故障率下之特性之漂移之間的裕度;及調整目標圖案之特性值使得該等裕度增大而不超過與目標圖案相關聯之特性極限2101,該經調整特性值用以產生經重定向圖案。
在一實施例中,最大化特性之特性極限與特性之極值之間的裕度,特性之極值為由來自一或多個製程變數之貢獻因素引起的值、所要故障率,例如統計上全局(例如,與整個目標佈局相關之資料,相對於目標佈局之剪輯)變化製程變數。
在一實施例中,特性之極值係由焦點、劑量或全局劑量、量測值與目標值之間的誤差之移動標準偏差(MSD)、抗蝕劑厚度及/或包括酸或抑止劑之抗蝕劑組分引起。
在一實施例中,方法2100進一步包括:使用經重定向圖案來模擬源遮罩最佳化(SMO)製程以判定與全晶片佈局相關聯之經模擬特性值;經由微影製造檢查判定與全晶片佈局相關聯之經模擬特性值是否滿足所要良率;及回應於未滿足所要良率,調整源參數、遮罩參數或製程參數使得滿足所要良率、經調整源參數、遮罩參數或製程參數用以產生最佳化源、最佳化照明光瞳及/或最佳化遮罩。
上文所論述之方法具有若干優點。一個優點為可基於可用CD裕度執行製程調整。使用SMO或經校準SMO模擬製程及基於CD裕度或EV漂移之動態重定向以判定製程調整的實例應用在下文加以進一步詳細論述。藉由考量蝕刻製程、沈積製程或用以在基板上製造最終圖案(或結構)之其他顯影後製程的特性來執行製程調整。
習知SMO考量微影設計目標(例如ADI目標)及考量微影-蝕刻-偏置之規則之特定集合。出於以下原因,可能需要偏置規則。第一,蝕刻製程引入近接效應。此可在最終OPC步驟中減輕,但在SMO中較早考量此情形會導致不同的結果。第二,CD及圖案置放誤差(PPE)或邊緣置放誤差(EPE)自ADI至AEI之轉換極不同。通常,CD誤差在蝕刻之後減小兩倍(例如2倍),但PPE或EPE並未減小。結果,可藉由習知SMO製程獲得次佳光瞳。第三,蝕刻製程可歸因於抗蝕劑剖面之差異而誘發特徵之間的顯著焦點移位。此焦點移位無法由最終OPC步驟修復。
根據本發明,描述考量蝕刻製程之特性的圖案轉印或蝕刻感知SMO。詳言之:(i)蝕刻近接效應(例如特徵之間、2D形狀、CD相對於節距)。此等蝕刻近接效應通常僅係CD相關的;(ii)CD及圖案置放誤差自ADI至AEI之轉換,藉由向各自給出不同權重。實務上,SMO最佳化邊緣位置ADI,該邊緣位置ADI為CD及置放誤差之組合。因此,現在邊緣位置AEI經預測且用於最佳化;(iii)蝕刻誘發之焦點移位。為了使SMO在最佳化中包括此情形,演算抗蝕劑剖面且使用簡單蝕刻模型。舉例而言,為了獲得抗蝕劑剖面,在多個抗蝕劑臨限值下演算邊緣位置。蝕刻模型可接著演算此抗蝕劑剖面如何轉換成CD AEI;且(iv)包括依據微影-蝕刻偏置而變化的預期LCDU減小。此關係可為特徵相依的。其可在ADI處導致次佳LCDU,但在AEI處導致最佳LCDU。
圖16解釋在顯影之後可用的典型選項,使得基板包括實例目標圖案1601 (本文中可互換地被稱作目標AEI)。目標圖案1601包括密集接觸孔陣列(3×3)及隔離接觸孔,每一接觸孔需要具有25 nm大小。在一實例製程中,目標AEI 1601可藉由執行一或多個顯影後處理,包括沈積、蝕刻、原子層蝕刻(ALE)及原子層沈積(ALD)來達成。
在本實例中,參看1602中之製程,沈積製程引起在密集陣列上之沈積比在隔離接觸孔上之沈積少。因此,密集陣列CD增大至30 nm,而隔離接觸孔增大至50 nm,此係由於針對密集陣列及隔離接觸孔之負載效應可不同。另一選項可為在沈積製程之後執行蝕刻製程。在蝕刻製程之後,密集陣列CD減小至20 nm,而隔離接觸孔CD減小至10 nm。再次,CD之差可歸因於對密集陣列及隔離孔之蝕刻負載效應。在另一選項中,可執行ALD或ALE以線性地生長或線性地蝕刻密集陣列及隔離孔。換言之,針對隔離孔及密集陣列之沈積之材料量(或蝕刻材料之量)係相同的,藉此圖案中之每一CD可為30 nm(或針對ALE為20 nm)。
通常,可執行調整以藉由同時進行蝕刻及沈積或在循環中執行每一製程來平衡負載量。舉例而言,首先進行規則沈積、規則蝕刻循環,且接著進行ALE及/或ALD。
在本發明中,SMO或經校準SMO可經修改以包括諸如蝕刻模型、沈積模型或其他相關模型之圖案化後模型,以藉由調諧蝕刻參數或沈積參數來判定蝕刻圖案、沈積圖案及各別圖案之特性值(例如CD、PPE、EPE)。在一實施例中,可基於蝕刻圖案及沈積圖案之幾何參數而擬合蝕刻及/或沈積製程模型。舉例而言,該擬合可基於包括經蝕刻圖案資料、自經蝕刻基板獲得之沈積圖案資料及沈積後之實驗資料。
如早先所論述,根據一實施例,SMO模擬經組態為缺陷感知的。舉例而言,執行檢查以判定經模擬特性是否滿足目標故障率下之CD裕度。在一實施例中,藉由在SMO製程中包括蝕刻模型及/或沈積模型,可對照可用CD裕度檢查蝕刻CD及/或沈積CD。
如圖16中所論述,現有蝕刻製程、沈積製程、ALE、ALD可產生圖案(例如密集圖案或隔離圖案)之並不在可接受極限(例如CD極限)內的蝕刻CD或沈積後CD,此可導致超過目標故障率。因此,在使用蝕刻模型及/或沈積模型及比較結果與在ADI下之臨限故障率相關聯之特性極限的情況下,可進一步改良以缺陷為基礎之製程窗。舉例而言,藉由基於可用CD裕度調整蝕刻或沈積參數或在隔離特徵上與密集陣列中之特徵不同地進行偏置。
參看圖17,如下進一步詳述圖案轉印感知SMO。實例目標AEI圖案1701包含密集接觸孔陣列及隔離孔。在一實施例中,上文論述的使用補充有蝕刻模型之SMO的重定向方法,且應用沈積模型以產生最佳化經重定向圖案1703,其中密集接觸孔陣列DF1相比於隔離孔IF1及IF2具有不同的偏置。經重定向圖案1703亦可伴隨有例如沈積量及ALE量。在一實施例中,CD裕度導引目標AEI圖案1701之偏置及可執行多少蝕刻及沈積,藉此以滿足目標故障率規格之方式考量與密集及隔離孔相關聯之LCDU。在一實施例中,結合SMO模擬,調整蝕刻參數及沈積參數以判定影響最終蝕刻剖面及與其相關聯之CD的蝕刻及沈積之量。因此,亦相對於與目標故障率相關聯之特性極限及CD裕度來執行蝕刻及沈積之量。
在一實施例中,可依據與同一層上或兩個單獨層上之圖案相關聯的PPE (或EPE)來表達特性極限。舉例而言,在沈積及蝕刻循環之後,第一層上之線之所得蝕刻剖面可能不與第二層上之接觸孔對準,從而在基板上之經蝕刻圖案之間產生PPE或EPE。此缺陷可歸因於特徵之間缺乏電連接而影響晶片之功能。可依據蝕刻參數及沈積參數而最小化圖案之間的PPE(或EPE)。在一實施例中,特性極限可為與目標故障率相關聯之PPE裕度(或EPE裕度)。亦可與本文所論述之CD極限相似地判定PPE裕度(或EPE裕度)。舉例而言,可基於印刷基板之PPE或EPE量測及與PPE或EPE相關之故障率來判定特性PDF。在一實施例中,CD裕度及/或EPE裕度(例如)導引模擬製程判定可變化多少局部CD (例如,密集孔CD及隔離孔CD),以得到基於以缺陷為基礎之極限的較佳邊緣置放。
在一實施例中,若模擬判定在蝕刻之後存在對於CD調整之有點過多或過少裕度,但在ADI處存在對於CD調整之足夠裕度,則其指示在顯影階段或微影圖案化步驟處存在較多自由度以進行製程改變,使得蝕刻後CD在所要範圍內,同時滿足目標故障率規格。在另一實例中,蝕刻輪廓之上側及下側(亦即,頂部或底部)上之裕度取決於圖案出故障之縱橫比。基於蝕刻剖面之頂部CD或底部CD,對於蝕刻之後無論什麼特定製程,裕度皆不可用,但在ADI階段處,經重定向圖案滿足以缺陷為基礎之極限。因此,以缺陷為基礎之窗充當導引,指示特定特徵在ADI階段處可能會過於積極地有一點收縮,因此可推薦進行製程更新或必須判定在蝕刻之後提供較大裕度之不同遮罩圖案。
在另一實例中,在蝕刻之後,特徵之CD分佈(或CD行為)可相當不同於ADI處之CD行為。在一實施例中,蝕刻後CD可造成與微影裝置相關聯之焦點移位。原因在於:顯影步驟之後的CD (例如抗蝕劑CD)可更代表與發生圖案轉印相關聯之CD極限不同的CD極限。舉例而言,抗蝕劑之底部處之抗蝕劑CD可判定何種圖案轉印至基板,但CD可對抗蝕劑之頂部處之特徵之行為較敏感。
根據本發明,在蝕刻之後並在顯影之後的以缺陷為基礎之窗不僅可導引模擬器何種蝕刻偏置適當,而且可經由基板之層堆疊模擬抗蝕劑剖面。在一實例中,彼此輕觸或接觸之特徵可對抗蝕劑之頂部處的CD行為較敏感。在另一實例中,合併或封閉之特徵可對抗蝕劑之底部處之CD行為較敏感。基於該貫穿堆疊抗蝕劑剖面,模擬器可判定頂部CD及底部CD。舉例而言,如早先所論述,在使用經模擬抗蝕劑剖面的情況下,可使用頂部CD與底部CD之間的差來將模型擬合至CD極限。因此,在蝕刻之後,亦可使用蝕刻模型及以CD限制為基礎之模型評估頂部處及底部處之CD行為。因此,對蝕刻製程之調整可經判定使得頂部CD及底部CD相關裕度在可接受故障率極限內。
在一實施例中,蝕刻製程模型及/或沈積製程模型可經組態以判定蝕刻參數或沈積參數,諸如蝕刻速率或沈積速率對蝕刻或沈積之入射角敏感。因為此等速率對入射角敏感,所以模擬器可判定(例如)在45度入射角下,速率可極其敏感,從而指示與其他入射角相比,製程極強地沈積或蝕刻。
因此,如上文所論述,若與蝕刻後製程相關聯的極限可用,則此類極限亦可包括於SMO模擬製程中以判定最佳化源、最佳化遮罩及/或製程調整。
本發明之方法具有若干優點。該等方法建議製造僅單一遮罩且執行DB-PW實驗,且隨後校準與圖案化製程或半導體製造製程相關聯之模擬製程。經校準模擬製程允許預測是否可達成所選擇半導體製造製程的足夠良率。又,可接收關於哪些設計係可行的(或不可行的)及關聯目標CD之回饋。其允許與模擬器相關聯之極快速製程循環及校準。以DB-PW為基礎之實驗可最終在大致8小時內擬合,此通常為使用者所需。另一方面,如圖10中所展示,此類判定之習知模擬製程需要若干循環,每一循環需要在可進行重定向之前製造遮罩及缺陷檢測。
動態重定向確保在考量產品良率的同時運用最佳目標CD來最佳化照明光瞳。因而,預期改良之SMO解決方案,例如改良之CD控制以及較佳曝光寬容度。又,動態重定向包括適當漂移(在臨限故障率下),因此隱含地在源遮罩最佳化中,吾人可變化劑量及/或焦點以找到最佳的劑量及焦點。在一實施例中,所觀測之實際上經量測劑量/遮罩方差上之DB-PW的結果可用以藉由在給定目標故障率下監測CD之相關極值漂移來設定對劑量、焦點及/或遮罩偏置之實務範圍極限。追蹤EV漂移會導致顯著不同的SMO解決方案。舉例而言,通常需要針對±30%劑量漂移最佳化EUV,以最小化或至少考量偏斜尾部。本文所描述之方法允許判定每特徵類型之相關漂移。
在一實施例中,可存在如下情形:必須分析硬極限(例如,影響缺陷及產品良率)與軟極限(例如,影響晶片之高效運行,亦被稱作參數良率)之間的折衷。舉例而言,硬極限可為基於DB-PW之CD極限,且軟極限可為將影響器件之參數良率的使用者定義之CD。舉例而言,此類軟極限可為在器件(例如,器件中之印刷基板)之運行期間造成熱問題或電問題的CD值。換言之,軟極限無需與器件之故障相關,但若被超過,則其可能成問題。在此狀況下,動態重定向允許選擇仍提供所要良率同時最佳化器件之效能或參數良率的最大或最小標稱CD。因此,舉例而言,若某一CD裕度可用或經重定向圖案在該裕度內,則其亦可允許增加特徵(例如接觸孔)之大小,此係因為其將引起較佳器件效能。在一實施例中,軟極限可為特徵區域(例如接觸孔區域),其可接觸兩個層之間的區域,或甚至在用於器件中之基板之一個層中的區域。全文係以引用方式併入本文中之美國申請案16/541, 420中詳細地論述了用於基於微影變化之參數最佳化的實例模擬製程。
在一實施例中,可使用ADI及AEI資料兩者來執行相似DB-PW實驗。本文中之方法使得使用者能夠分析是否存在調整遮罩CD以造成所要抗蝕劑CD的靈活性(例如當抗蝕劑具有足夠的CD窗或良率時)。本文中之方法亦使得使用者能夠分析是否調整製程(例如微影-蝕刻偏置、使用另一等向性蝕刻或間隔件製程等)以達成最終AEI CD。因此,本發明方法可提供與製程調整相關之推薦或最終目標CD針對所選擇製程將起作用之推薦。
在新的倍縮光罩設計(例如,用於大容量製造(HVM)中之遮罩)之狀況下,可存在與基板相關之CD誤差。在一實施例中,相較於自經執行歷時相對較長時間段(諸如一週)之參考模擬製程獲得的參考模擬結果,由在固定數目次反覆之後獲得的模擬結果引起的CD誤差。在一實施例中,遍及基板可存在皆歸因於遮罩而引起的殘餘CD誤差,此係由於遮罩圖案可並不藉由進行最佳化歷時實質上長時間段或直至CD誤差為零而判定。在一實施例中,CD誤差亦被稱作來自全晶片OPC之收斂殘差。在一實施例中,可在最終倍縮光罩驗證(例如微影製造檢查(LMC))期間使用收斂殘差以判定OPC全晶片是否導致預期提供所要良率之倍縮光罩。在一實施例中,可判定CD誤差並檢查該等誤差是否在倍縮光罩上之特定熱點之可接受極限內。在一實施例中,熱點可為倍縮光罩上之使用者定義之部位,其被定義為包括臨界圖案之倍縮光罩之部分或具有相對較高缺陷出現機率之部位。
如早先所提及,在PCT申請案PCT/EP2018/085159中,提議基於製程窗之邊緣處之預期CD分佈及經量測故障率而界定以缺陷為基礎之製程窗。早先提議之途徑包括預測在最佳曝光及最佳聚焦(BEBF)條件下在極低機率位準下之CD分佈之形狀的步驟。為了判定CD分佈,預料劑量反應曲線係非線性的,此產生最終CD分佈之偏斜。然而,對於遮罩之影響,假定MEEF為線性的。此暗示遮罩上之高斯分佈轉譯成基板上每遮罩接觸孔之平均CD的高斯分佈。
在一實施例中,本發明提供用以藉由考慮歸因於非線性遮罩誤差增強因數(MEEF)之CD分佈之不對稱性及抗蝕劑LCDU對遮罩特徵大小之相依性來判定以缺陷為基礎之製程窗的改良方法(在圖24中)。
該方法(圖24中)可藉由考量MEEF中之非線性及抗蝕劑LCDU對特徵大小之相依性(藉由使用有限額外量測及/或模擬)而較佳地預測基板上之CD分佈之形狀。
以下描述展示指示MEEF中之非線性影響基板上每遮罩特徵大小(例如,接觸孔大小)之平均CD之分佈的偏斜度的量測。其亦展示抗蝕劑LCDU取決於遮罩特徵大小(例如,接觸孔大小)。另外,解釋了在基板上之CD分佈之預測中可如何考量此兩種效應。
圖22為每遮罩接觸孔平均基板CD減去平均CD之經量測資料(圓點)的標繪圖。如吾人可看到,經量測資料(圓點)之分佈係偏斜的。在本實例中,高斯函數擬合於經量測資料上。然而,高斯擬合併未解釋經量測資料準確。另一方面,根據本方法判定之非線性擬合相較於高斯擬合具有相對更好的擬合。
圖23說明與所標繪之每一遮罩接觸孔相關聯之經量測抗蝕劑LCDU相對於遮罩CD之偏差( δCD mask )的標繪圖。儘管存在大量固有量測雜訊,但經量測資料之移動平均值明確地展示抗蝕劑LCDU隨著減小遮罩接觸孔大小而強烈增大。經量測敏感度強於自與圖案化製程相關聯之模擬(例如,使用Hyperlith軟體)預測之敏感度。在一實例中,移動平均曲線表示遮罩接觸孔大小與基板之局部CDU之間的關係(亦即,CD之標準偏差)。
圖24為用於判定與將印刷於基板上之特徵之特性相關聯的機率密度函數之方法的流程圖。實例方法2400包括使用劑量PDF及遮罩PDF以判定CD PDF的工序P2401及P2403。
工序P2401包括獲得(例如,經由電腦硬體系統100):(i)用以判定劑量之機率的劑量機率密度函數(劑量PDF),及(ii)用以判定遮罩特性之偏差之機率的遮罩機率密度函數(遮罩PDF)。在一實施例中,劑量PDF係依據以下各者而變化:(a)特徵之特性(例如,CD)及(b)遮罩特性之偏差( δCD mask )。在一實施例中,遮罩特性(例如遮罩CD)係與用以在基板上印刷特徵之遮罩相關聯。
工序P2403包括藉由迴旋(i)劑量PDF與(ii)遍及遮罩特性值之給定範圍之遮罩PDF而判定(例如,經由電腦硬體系統100)與特性相關聯的機率密度函數。在一實例中,將與特性相關聯之機率密度函數表示為CD PDF或
Figure 02_image058
在一實施例中,工序P2404包括基於特性之經判定PDF而調整與圖案化製程相關聯之製程窗。在一實施例中,此製程窗可用以判定用於例如本文中所論述之方法1100、1500及2100中的CD限度。在一實施例中,可在圖案化製程期間經由微影裝置使用製程窗。一般熟習此項技術者可理解,判定PDF之應用不限於調整製程窗。PDF可用以改良其他圖案化相關製程、模擬或製造操作。
在一實施例中,接著組合劑量pdf及遮罩PDF以使用實例方程式(8)判定CD PDF:
Figure 02_image060
方程式(8)中之術語在下文進一步論述。
在一實施例中,遮罩PDF併有引起遮罩PDF之偏斜度的非線性遮罩誤差增強因數(MEEF)之相依性。該非線性MEEF係使用遮罩特性與印刷於基板上之特徵的特性之間的關係之逆函數來計算。
在一實施例中,可使用以下方程式(9)來計算遮罩PDF。在一實施例中, PDF mask ( δCD mask )表示在基板上找到 δCD mask 之機率密度函數,其為基板上的與同一遮罩接觸孔相關聯之所有接觸孔之平均CD偏差。
Figure 02_image062
在以上方程式10中, G mask 為用以判定在遮罩上找到給定 size mask 之接觸孔之機率的遮罩特性之高斯分佈, G mask 之平均值 μ mask 係基於遮罩特性與印刷於基板上之特徵的特性之間的關係之逆函數來計算,其由 g mask ( δCD mask )表示, G mask 之標準偏差為 σ mask ,其為基於逆函數及 δCD mask 之經量測標準偏差而判定之標準偏差,且
Figure 02_image064
判定該非線性MEEF。在一實施例中, G mask 可為 size mask 之高斯分佈或
Figure 02_image066
之高斯分佈。
在一實施例中,參看圖25, size mask = g mask ( δCD mask ),其提供 size mask δCD mask 之間的(逆)關係。若遮罩上之孔之大小為 size mask ,則接觸孔大小之平均偏差為
Figure 02_image068
。此亦判定 μ mask σ mask 。在一實例中,該關係可為二階多項式、三階多項式、仿樣擬合或使用經模擬或經量測資料所判定之其他擬合函數。
在一實施例中,劑量PDF併有與基板上之抗蝕劑圖案相關的局部臨界尺寸均一性(LCDU)之相依性。該LCDU係由遮罩特性引起。圖23說明抗蝕劑LCDU與遮罩CD之偏差之間的實例關係。
在一實施例中,使用具有平均劑量及劑量標準偏差之正態分佈、帕松或複合帕松分佈來判定劑量PDF,該平均劑量係藉由針對遮罩特性之給定偏差的劑量與CD之間的關係之逆函數予以判定,且該劑量標準偏差係藉由基於由遮罩特性引起的與基板上之抗蝕劑圖案相關的LCDU予以判定。可理解,劑量PDF不限於正態分佈或帕松分佈。一般熟習此項技術者可選擇其他已知分佈函數以判定劑量PDF,如本文所論述。
在一實施例中,劑量PDF可藉由以下方程式(10)來計算:
Figure 02_image070
在以上方程式10中,劑量 g d ( CD , δCD mask )係使用針對遮罩特性 δCD mask 之給定偏差的特徵之劑量與特性CD之間的關係之逆函數予以判定,且劑量標準偏差
Figure 02_image072
係基於逆函數 g d ( CD , δCD mask )及經量測標準偏差
Figure 02_image074
,其中
Figure 02_image074
表示歸因於針對給定遮罩偏差之劑量及抗蝕劑變化所引起的LCDU (1σ)。
在一實施例中,參看圖26,說明針對不同 δCD mask 的基板上之劑量(D)與CD之間的兩個實例關係。在一實施例中, g d ( CD , δCD mask )表示劑量 DCDδCD mask 之間的(逆)關係。在一實例中, D之高斯分佈或
Figure 02_image077
之帕松分佈,其中
Figure 02_image079
為擬合參數。在一實施例中, g d ( CD , δCD mask )可為二階二變量多項式、高階二變量多項式、仿樣擬合,或基於經模擬或經量測資料擬合的其他擬合函數。
在一實施例中,方法2400進一步包括工序P2405,該工序包括使用與目標佈局相關聯之故障率資料2410執行(例如,在電腦硬體系統100之處理器上)經判定機率密度函數以判定與臨限故障率相關聯之特性極限。在一實施例中,可以與上文關於以缺陷為基礎之製程窗所論述相似的方式獲得故障率資料,且在全文係以引用方式併入本文中之PCT/EP2018/085159進一步詳細地論述該故障率資料。
在一實施例中,提供用以基於對CD分佈之劑量貢獻之加權而判定CD分佈的另一方法。
通常,隨機雜訊為EUV微影之關注區域。隨機雜訊不僅影響局部CD均一性(LCDU)、局部圖案置放誤差及線邊緣粗糙度(LER),其亦經由稱為隨機缺陷之罕見事件造成缺陷。隨機缺陷之CD可變性及故障率對於EUV微影製程控制已變得重要。基於遍及數百個資料點所量測之平均CD的習知製程窗分析不再足以在不考慮目標佈局之CD之整個分佈的情況下判定穩固製程。
如先前所提及且詳述於PCT/EP2018/085159中,軟體產品(例如PFScan)可經組態以判定隨機感知製程窗特性化。軟體產品可採用CD及故障率資料(或缺陷資料)作為輸入。在使用輸入資料的情況下,可執行對CD分佈之統計分析及故障率模型化以產生隨機感知製程窗。判定故障率模型包括CD/LCDU柏桑擬合、CD分佈模型化及故障率製程窗產生。在CD分佈模型化步驟處,藉由將線性(正態) CD分佈與非線性分佈迴旋來模型化CD機率密度函數(PDF),該非線性分佈據報導係由用於顯影後製程之有效劑量變化誘發。
然而,在實際意義上,若干因素促成總LCDU,諸如劑量變化、遮罩誤差及度量衡雜訊、來自蝕刻後製程之蝕刻雜訊、背景雜訊等。結果,用於判定CD PDF之模型化劑量貢獻可能並不足夠。參看圖27,劑量貢獻之可能的高估會引起經模型化CD分佈中之較寬尾部。在本實例中,依據對經量測CD資料(原始CD)之非線性劑量貢獻而模型化或擬合CD PDF。
在本發明中,將額外LCDU貢獻因素應用於CD PDF模型。將調整因數應用於經量測LCDU以調整劑量變化之效應,且將經量測LCDU之另一部分擬合至來自遮罩誤差、SEM雜訊、蝕刻誤差等之模型貢獻。以下方程式(11)表達針對總LCDU之不同貢獻
Figure 02_image081
Figure 02_image083
在以上方程式中, adj _ factor係應用於橫越一或若干給定劑量-焦點矩陣或設定之劑量變化的值或值集合。在一實施例中,橫越不同劑量及焦點設定最佳化 adj _ factor,如本發明中稍後所論述。
在一實施例中,橫越焦點/劑量矩陣應用恆定但最佳化之 adj _ factor值可針對在許多狀況下之簡單圖案(如SRAM及規則通孔陣列)有效地模型化橫越不同劑量-焦點設定之CD分佈。然而,在其他使用案例中,橫越聚焦-曝光設定對 adj _ factor值的調諧變得重要,以使經模型化PDF表示經量測PDF。經量測PDF係指藉由標繪印刷基板之實際經量測CD資料而表示的PDF。
圖28展示使用恆定 adj _ factor值模型化非線性劑量貢獻而橫越不同聚焦-曝光條件擬合的PDF之實例。用於突出顯示之劑量-焦點設定之經擬合PDF與經量測PDF相當遠。愈遠離標稱條件(中心條件),在模型PDF與經量測PDF之間看到愈多差異。此指示針對突出顯示條件之PDF應經進一步最佳化。
在一實施例中,非線性劑量貢獻之PDF (例如
Figure 02_image085
)可基於
Figure 02_image087
而模型化。在一實施例中,基於
Figure 02_image089
而分別模型化其他因素貢獻之PDF (例如 PDF linear )。執行兩個PDF之間的迴旋以判定最終CD分佈(亦被稱作經模型化PDF或經模型化CD PDF),如下文所展示,其中
Figure 02_image091
表示迴旋運算:
Figure 02_image093
在本發明中,基於經模型化PDF與經量測PDF之間的差或誤差而判定每一PDF之模型化參數,使得最小化誤差。根據本發明,引入調整模型及來自其他貢獻因素之額外高斯分佈可有助於有效地模型化經量測CD分佈。
在一實施例中, adj _ factor為參數經最佳化以使得 adj _ factor橫越不同劑量-焦點設定係動態的模型,從而有效地改變對橫越FEM矩陣之經模型化PDF (例如,
Figure 02_image095
)的非線性劑量敏感度貢獻的比例。在每一劑量-焦點設定下同時最佳化非線性及線性分佈兩者,接著最佳化之 adj _ factor係依據焦點及劑量而模型化以用於故障率模型化。
可應用各種最佳化演算法以基於經模型化PDF與經量測PDF之間的諸如均方根誤差(RMS)之度量來最佳化 adj _ factor模型。一個實例為橫越FEM (亦即,劑量-焦點設定)應用自適應力矩估計(Adam)演算法。
圖29為根據本發明之一實施例的用於判定與待印刷於基板上之圖案之特性相關聯的機率密度函數(例如
Figure 02_image097
)之例示性方法的流程圖。
在一實施例中,方法2900可以橫越FEM之恆定 adj _ factor開始以用於劑量貢獻。在一個實施例中,使用者可藉由調整 adj _ factor之值但使其橫越FEM保持相同或使其隨著劑量及焦點變化而手動調諧 adj _ factor。手動調諧有助於使用者發現針對接近標稱之焦點/劑量條件之約略可接受的光點。當擬合誤差RMS大於使用者指定臨限值(例如0.1)時,手動調諧可不令人滿意。在一實施例中,來自手動調諧之最佳結果(具有最小誤差)可用作自動最佳化之初始輸入以節省運行時間。
一旦進行初始設置,便可使自動最佳化穿過焦點及劑量設定之集合。在一實施例中,使用者可指定待最佳化之焦點及劑量設定之取樣以便節省運行時間,而非完整FEM設定。在以下工序P2901及P2903中進一步論述方法2900。
工序P2901包括獲得:(i)複數個劑量-焦點設定2901,及(ii)基於與複數個劑量-焦點設定中之每一設定相關聯的印刷圖案之特性之量測值的參考分佈2903。在一實施例中,參考分佈2903亦被稱作經量測PDF。
工序P2903包括基於調整模型及複數個劑量-焦點設定而判定(例如,經由電腦硬體系統100)特性(例如,
Figure 02_image099
)之機率密度函數(PDF),使得PDF與參考分佈之間的誤差減小,該PDF係調整模型及與劑量相關聯之方差的函數。調整模型經組態以改變對PDF之非線性劑量敏感度貢獻的比例。在一實施例中,調整模型係劑量及焦點之多項式函數。
在一實施例中,工序P2904包括基於特性之經判定PDF而調整與圖案化製程相關聯之製程窗。在一實施例中,此製程窗可用以判定用於例如本文中所論述之方法1100、1500及2100中的CD限度。在一實施例中,可在圖案化製程期間經由微影裝置使用製程窗。一般熟習此項技術者可理解,判定PDF之應用不限於調整製程窗。PDF可用以改良其他圖案化相關製程、模擬或製造操作。
在一實施例中,PDF (例如,
Figure 02_image099
)之判定係反覆製程,反覆包括:基於調整模型判定針對複數個劑量-焦點設定中之給定劑量焦點設定之調節值;基於該調整值判定圖案之特性(例如
Figure 02_image099
)之PDF;判定該PDF與該參考分佈之間的誤差;及針對複數個劑量-焦點設定中之給定劑量及焦點設定調整該調整模型之參數使得最小化誤差。
在一實施例中,PDF (例如
Figure 02_image099
)為第一PDF與第二PDF之迴旋,其中該第一PDF為第一變化之函數,該第一變化為調整模型與劑量之變化的乘積,且該第二PDF為與除貢獻於圖案之特性之變化的劑量之外的因素相關聯的第二變化之函數。
在一實施例中,PDF之判定係反覆製程,反覆包括:對第一PDF與第二PDF進行迴旋以判定圖案之特性PDF;判定該PDF與參考分佈之間的誤差;及調整針對複數個劑量-焦點設定中之給定劑量及焦點設定的第一變化及第二變化之參數使得最小化誤差。
在一實施例中,針對複數個劑量-焦點設定之調整模型之參數的調整係藉由選自適應力矩估計或梯度下降方法之最佳化演算法執行。
在一實施例中,方法2900進一步包括:針對複數個劑量-焦點設定中之每一劑量及每一焦點設定而判定與相對於參考分佈具有最小誤差的PDF相關聯的複數個調整值;及基於該複數個調整值擬合劑量及焦點之多項式函數以判定調整模型,使得最小化經擬合多項式函數與複數個調整模型值之間的差。
圖30A及圖30B分別說明根據本發明之一實施例的調整值( adj _ factor)對劑量及焦點之相依性。圖30A及圖30B展示用以建置調整模型之最佳化調整值。圖30A展示界定非線性CD分佈之調整值 adj _ factor值對於劑量而言主要為線性的,且顯著受劑量影響。 adj _ factor值隨著劑量減小而減小。其展示隨機效應對非線性CD分佈之效應:在較小劑量下隨機效應更大,因此調整值更大,此意謂更大比例的CD變化來自劑量變化。
調整值亦隨著焦點而改變(圖30B),其為高階關係。圖30亦展示在較高劑量下經由焦點之較多變化。調整值隨著散焦增大而增大。
經動態最佳化之調整值具有在所有劑量/焦點條件下模型化CD分佈之較佳效能。在一實施例中,經動態最佳化係指針對每一劑量-焦點設定之不同最佳化調整值。舉例而言,如圖31中所展示,經模型化PDF使用最佳化 adj _ factor模型橫越不同劑量-焦點設定更準確地擬合以模型化非線性劑量貢獻。相比而言,使用恆定調整值之圖28並不橫越遠離標稱條件之劑量-焦點設定擬合CD分佈,如之前所解釋。
在一實施例中,方法2900進一步包括工序P2905,該工序包括使用與圖案相關聯之故障率資料2910執行(例如,在電腦硬體系統100之處理器上)經判定機率密度函數(例如
Figure 02_image103
)以判定與臨限故障率相關聯之特性極限。
在一實施例中,可保存調整模型且將其重新用於其他故障率模型運行。最佳化調整值可對來自同一層之其他特徵起作用,即使其包括不同圖案或圖案群組亦如此。在對來自同一層之不同圖案執行故障率模型之前,執行是否存在可供使用之現有調整模型的檢查。
根據本發明,所揭示元件之組合及子組合構成單獨實施例。舉例而言,第一組合包括基於以缺陷為基礎之製程窗,使用目標圖案或分離產生之OPC後圖案來判定經重定向圖案。第二組合判定經校準模擬製程。在另一組合中,可判定製程調整以模擬或製造滿足臨限故障率之圖案。在另一組合中,微影裝置包含使用如本文中所論述而判定之遮罩圖案所製造的遮罩。
在一實施例中,本文中所論述之方法(例如,1100、1500、2100、2400及2900)可提供為其上記錄有指令的電腦程式產品或非暫時性電腦可讀媒體,該等指令在由電腦執行時實施上文所論述之方法之操作。舉例而言,圖36中之實例電腦系統100包括非暫時性電腦可讀媒體(例如記憶體),其包含在由一或多個處理器(例如104)執行時引起上文所論述之方法之操作(例如工序)的指令。
以下描述提供光學近接校正(OPC)製程。OPC解決以下事實:除了微影投影裝置之任何縮小率以外,投影於基板上之圖案化器件圖案之影像的最終大小及置放將亦與圖案化器件上之對應圖案化器件圖案特徵之大小及置放相同,或僅取決於該大小及置放。應注意,可在本文中互換地利用術語「遮罩」、「倍縮光罩」、「圖案化器件」。又,熟習此項技術者將認識到,尤其是在微影模擬/最佳化之內容背景中,術語「遮罩圖案」、「倍縮光罩圖案」及「圖案化器件圖案」可被互換地使用,此係因為:在微影模擬/最佳化中,未必使用實體圖案化器件,而可使用圖案化器件圖案以表示實體圖案化器件。對於存在於一些圖案化器件圖案上之小特徵大小及高特徵密度,給定特徵之特定邊緣之位置將在某種程度上受到其他鄰近特徵之存在或不存在影響。此等近接效應起因於自一個特徵耦合至另一特徵的微小量之輻射及/或諸如繞射及干涉之非幾何光學效應。相似地,近接效應可起因於在通常跟隨微影之曝光後烘烤(PEB)、抗蝕劑顯影及蝕刻期間之擴散及其他化學效應。
為了確保圖案化器件圖案之經投影影像係根據給定目標設計之要求,應使用圖案化器件圖案之複雜數值模型、校正或預失真來預測及補償近接效應。論文「Full-Chip Lithography Simulation and Design Analysis - How OPC Is Changing IC Design」(C. Spence,Proc. SPIE,第5751卷,第1至14頁(2005年))提供當前「以模型為基礎」之光學近接校正製程的綜述。在典型高端設計中,圖案化器件圖案之幾乎每一特徵皆具有某種修改,以便達成經投影影像至目標設計之高保真度。此等OPC修改可包括邊緣位置或線寬之移位或偏置,及/或意欲輔助其他特徵之投影的「輔助」特徵之應用。
在一器件設計中通常存在數百萬個特徵的情況下,將以模型為基礎之OPC應用於目標設計涉及良好的製程模型及相當大的計算資源。然而,應用OPC通常不為「嚴正科學(exact science)」,而為並不總是補償所有可能近接效應之經驗反覆製程。因此,應藉由設計檢測(例如,使用經校準數值製程模型之密集型全晶片模擬)來驗證OPC之效應(例如,在應用OPC及任何其他RET之後的圖案化器件圖案),以便降低或最小化將設計缺陷建置至圖案化器件圖案中的可能性。此情形係藉由如下各者驅使:製造高端圖案化器件之巨大成本,其在數百萬美元的範圍內;以及對產品製作時程之影響,其係因重工或修復實際圖案化器件(一旦其已被製造)而引起。OPC及全晶片RET驗證兩者可基於如(例如)美國專利第7,003,758號及Y. Cao等人之名為「Optimized Hardware and Software For Fast, Full Chip Simulation」(Proc. SPIE,第5754卷,405(2005年))之論文中描述的數值模型化系統及方法,該等案之全文係以引用方式併入本文中。
OPC之最簡單形式中之一者為選擇性偏置。在給出CD相對於節距資料的情況下,可至少在最佳焦點及曝光處藉由改變圖案化器件位階處之CD而迫使所有不同節距產生相同CD。因此,若特徵在基板位階處過小地印刷,則圖案化器件位階特徵將偏置成稍微大於標稱,且反之亦然。由於自圖案化器件位階至基板位階之圖案轉印製程係非線性的,故偏置之量並非僅僅為在最佳焦點及曝光處之經量測CD誤差乘以縮減比率,而是運用模型化及實驗,可判定適當偏置。選擇性偏置為對近接效應之問題的不完整解決方案,特別是在其僅應用於標稱製程條件下的情況下。儘管此偏置原則上可應用以給出最佳焦點及曝光處之均一CD相對於節距曲線,但一旦曝光製程自標稱條件變化,每一偏置節距曲線就將作出不同的回應,從而引起用於不同特徵之不同製程窗。製程窗為足夠適當地產生特徵所根據之兩個或多於兩個製程參數(例如微影裝置中之焦點及輻射劑量)之值範圍(例如特徵之CD在某一範圍,諸如±10%或±5%內)。因此,為給出相同CD相對於節距之「最佳」偏置甚至可對總製程窗具有負面影響,從而減小(而非放大)所有目標特徵在所要製程容許度內印刷於基板上之焦點及曝光範圍。
已開發供超出以上之一維偏置實例之應用的其他更複雜OPC技術。二維近接效應係線端縮短的。線端具有依據曝光及聚焦而自其所要端點部位「拉回」之傾向。在許多狀況下,長線端之末端縮短程度可比對應線窄化大若干倍。此類型之線端拉回可在線端不能完全橫越其意欲覆蓋之底層(諸如,源極-汲極區上方之多晶矽閘極層)的情況下引起所製造的器件發生嚴重故障。由於此類型之圖案對焦點及曝光高度敏感,因此使線端簡單地偏置成長於設計長度不適當,此係因為最佳焦點及曝光處或在曝光不足條件下之線將過長,從而在延伸之線端觸摸相鄰結構時引起短路,或在電路中之個別特徵之間添加更多空間的情況下引起不必要大的電路大小。由於器件設計及製造之目標中之一者常常為最大化功能元件之數目,同時最小化每晶片所需之面積,因此添加過量間距係非所要的解決方案。
二維OPC途徑可有助於解決線端拉回問題。諸如「錘頭」或「襯線」之額外結構(亦被稱為「輔助特徵」)可添加至線端以將該等線端有效地錨定於適當位置且提供遍及整個製程窗之減小之拉回。即使在最佳焦點及曝光處,此等額外結構仍未被解析,但其變更主特徵之外觀,而未被獨自完全解析。如本文中所使用之「主特徵」意謂在製程窗中之一些或全部條件下意欲印刷於基板上之特徵。輔助特徵可呈現比添加至線端之簡單錘頭更有攻擊性之形式,而達圖案化器件上之圖案不再簡單地為大小增加縮減比率的所要基板圖案之程度。諸如襯線之輔助特徵可應用於比簡單地減小線端拉回更多的狀況。內襯線或外襯線可被施加至任何邊緣,尤其是二維邊緣,以減少隅角圓化或邊緣擠壓。在運用足夠選擇性偏置以及所有大小及極性之輔助特徵的情況下,圖案化器件上之特徵承受與基板位階處所要之最終圖案愈來愈小的類似性。一般而言,圖案化器件圖案變為基板位階圖案之經預失真版本,其中失真意欲抵消或反轉在製造製程期間將出現的圖案變形以在基板上產生儘可能接近於設計者所預期之圖案的圖案。
代替使用連接至主特徵之彼等輔助特徵(例如襯線)或除了使用連接至主特徵之彼等輔助特徵(例如襯線)以外,另一OPC技術亦涉及使用完全獨立及不可解析輔助特徵。此處之術語「獨立」意謂此等輔助特徵之邊緣並不連接至主特徵之邊緣。此等獨立輔助特徵不意欲或希望作為特徵印刷於基板上,而是意欲修改附近主特徵之空中影像以增強彼主特徵之可印刷性及製程容許度。此等輔助特徵(常常被稱作「散射長條」或「SBAR」)可包括:次解析度輔助特徵(SRAF),其為主特徵之邊緣外部之特徵;及次解析度逆特徵(SRIF),其為自主特徵之邊緣內部取出之特徵。SBAR之存在向圖案化器件圖案添加了又一層之複雜度。散射長條之使用之簡單實例為:其中在經隔離線特徵之兩個側上拖曳不可解析散射長條之規則陣列,此具有自空中影像之觀點使經隔離線呈現為更表示緻密線陣列內之單一線之效應,從而引起製程窗在焦點及曝光容許度方面更接近於緻密圖案之焦點及曝光容許度。此經裝飾隔離特徵與緻密圖案之間的共同製程窗相比於如在圖案化器件位階處隔離而拖曳之特徵之情形將具有對焦點及曝光變化之更大的共同容許度。
輔助特徵可被視為圖案化器件上之特徵與圖案化器件圖案中之特徵之間的差異。術語「主特徵」及「輔助特徵」並不暗示圖案化器件上之特定特徵必須被標註為主特徵或輔助特徵。
除了對圖案化器件圖案之最佳化(例如,OPC)以外或替代對圖案化器件圖案之最佳化(例如,OPC),亦可與圖案化器件最佳化聯合地抑或分離地最佳化照明模式,以致力於改良總微影保真度。已使用諸如環形、四極及偶極之許多離軸照明模式且許多離軸照明模式提供針對OPC設計之更多自由度,藉此改良成像結果。如吾人所知,離軸照明為用以解析圖案化器件中含有之精細結構(亦即,目標特徵)之被證實方式。然而,相比於傳統照明模式,離軸照明模式通常提供針對空中影像(AI)之較小輻射強度。因此,變得需要試圖最佳化照明以在較精細解析度與減小輻射強度之間達成最佳平衡。舉例而言,可在Rosenbluth等人,Journal of Microlithography,Microfabrication,Microsystems 1(1),第13至20頁,(2002)之標題為「Optimum Mask and Source Patterns to Print a Given Shape」的論文中發現若干照明最佳化方法,該論文之全文係以引用方式併入本文中。
不斷減小之設計規則的壓力驅動半導體晶片製造者更深入地移至低k 1微影中。朝向較低k 1之微影對RET、曝光工具及針對微影親和設計之需要提出了很高的要求。因此,為了有助於確保可運用可工作製程窗將器件設計產生至基板上,照明模式-圖案化器件圖案最佳化(在一些狀況下被稱作源-遮罩最佳化或SMO)正變成重要RET以達成例如較小特徵。
因此,對於低k 1微影,照明模式及圖案化器件圖案兩者之最佳化可用於確保用於投影臨界器件圖案之可行製程窗。一些演算法(例如,如在Socha等人,「Simultaneous Source Mask Optimization (SMO)」,Proc. SPIE第5853卷,第180至193頁(2005)」中所描述,其以全文引用的方式併入本文中)將照明離散化成獨立照明分佈點,且將圖案化器件圖案離散化成空間頻域中之繞射階,且基於諸如曝光寬容度之製程窗度量而單獨地闡述目標函數(其依據所選擇設計變數而定義),可藉由光學成像模型自照明分佈點強度及圖案化器件圖案繞射階預測製程窗度量。
名為「Fast Freeform Source and Mask Co-Optimization Method」的PCT專利申請公開案第WO 2010/059954號中描述了允許在無約束之情況下且在可實行之時間量內使用目標函數來同時地最佳化照明模式及圖案化器件圖案的另一照明模式及圖案化器件圖案最佳化方法及系統,該專利申請公開案之全文係據此以引用方式併入。名為「Source-Mask Optimization in Lithographic Apparatus」之美國專利申請公開案第2010/0315614號中描述了涉及藉由調整照明分佈之像素來最佳化照明之另一照明及圖案化器件最佳化方法及系統,該美國專利申請公開案之全文係據此以引用方式併入。
現在,上文提及之圖案化器件可包含一或多個圖案化器件圖案。可利用電腦輔助設計(computer-aided design;CAD)程式來產生圖案化器件圖案,此製程常常被稱作電子設計自動化(electronic design automation;EDA)。大多數CAD程式遵循預定設計規則之集合,以便產生用於圖案化器件之功能性圖案化器件圖案。藉由處理及設計限制而設定此等規則。舉例而言,設計規則定義器件特徵(諸如閘、電容器等)或互連線之間的空間容許度,以便確保器件或線彼此不會以非所要方式相互作用。設計規則限制通常被稱作「臨界尺寸」(CD)。器件之臨界尺寸可被定義為線或孔之最小寬度或兩條線或兩個孔之間的最小空間。因此,CD判定經設計器件之總大小及密度。器件製作中之目標中之一者係在基板上如實地再生初始器件設計(經由圖案化器件)。
另外,在系統之最佳化製程中,系統之優值可表示為目標函數(例如,成本函數或優質化函數)。最佳化製程通常歸結為找到最小化或最大化目標函數的系統之參數(設計變數)集合的製程。目標函數可取決於最佳化之目標而具有任何合適形式。舉例而言,目標函數可為系統之某些特性(評估點)相對於此等特性之預期值(例如,理想值)之偏差的加權均方根(RMS);目標函數亦可為此等偏差之最大值。如本文中所使用之術語「設計變數」包含(例如,微影製程、微影投影裝置等之)圖案化製程之參數集合,例如,微影投影裝置之使用者可調整的參數。應瞭解,圖案化製程之任何特性,包括照明模式、圖案化器件圖案(或圖案化器件構造)、投影光學件之特性及/或抗蝕劑特性可處於最佳化中之設計變數當中。目標函數常常為設計變數之非線性函數。接著,標準最佳化技術用以例如最小化或最大化目標函數。本文中之術語「評估點」應被廣泛地解譯為包括系統或製程之任何特性。歸因於系統或製程之實施的實務性,系統之設計變數可限於有限範圍及/或可相互相依。在微影投影裝置之狀況下,約束常常與硬體之實體屬性及特性(諸如可調諧範圍,及/或圖案化器件可製造性設計規則(MRC))相關聯,且評估點可包括基板上之抗蝕劑影像上的實體點,以及諸如劑量及焦點之非實體特性。
因此,在微影投影裝置中,照明系統向圖案化器件提供照明(亦即,輻射),且投影光學件將來自圖案化器件之照明引導至基板上。在一實施例中,投影光學件使得能夠形成空中影像(AI),空中影像係基板上之輻射強度分佈。曝光基板上之抗蝕劑層,且將空中影像轉印至抗蝕劑層以在其中作為潛伏「抗蝕劑影像」(RI)。可將抗蝕劑影像(RI)定義為抗蝕劑層中之抗蝕劑之溶解度的空間分佈。在一實施例中,對微影製程之模擬可模擬空中影像及/或抗蝕劑影像之產生。
圖32說明用於模型化及/或模擬圖案化製程之部分的例示性流程圖。如應瞭解,模型可表示不同圖案化製程且無需包含下文所描述之所有模型。
照明模型31表示用以產生經圖案化輻射光束之照明模式的光學特性(包括輻射強度分佈及/或相位分佈)。照明模型31可表示照明之光學特性,其包括但不限於:數值孔徑設定、照明均方偏差(σ)設定以及任何特定照明模式形狀(例如離軸輻射形狀,諸如環形、四極、偶極等),其中均方偏差(或σ)為照明器之外部徑向範圍。
投影光學件模型32表示投影光學件之光學特性(包括由投影光學件引起的輻射強度分佈及/或相位分佈之改變)。投影光學件模型32可包括由各種因素引起的光學像差,該等因素例如,投影光學件之組件之加熱,由投影光學件之組件的機械連接引起的應力等。投影光學件模型32可表示投影光學件之光學特性,包括選自以下各者中之一或多者:像差、失真、折射率、實體大小、實體尺寸、吸收率等。微影投影裝置之光學屬性(例如,照明、圖案化器件及投影光學件之屬性)規定空中影像。由於可改變用於微影投影裝置中之圖案化器件圖案,故期望使圖案化器件圖案之光學屬性與至少包括照明及投影光學件的微影投影裝置之其餘部分之光學屬性分離。照明模型31及投影光學件模型32可組合成透射交叉係數(TCC)模型。
圖案化器件圖案模型33表示圖案化器件圖案(例如對應於積體電路之特徵的器件設計佈局、記憶體、電子器件等)之光學特性(包括由給定圖案化器件圖案引起的輻射強度分佈及/或相位分佈之改變),其為圖案化器件上或由圖案化器件形成之特徵之配置的表示。圖案化器件模型33捕捉設計特徵如何佈置於圖案化器件之圖案中,且可包括如(例如)全文以引用方式併入本文中的美國專利第7,587,704號所描述之圖案化器件及圖案化器件圖案之詳細實體屬性之表示。
抗蝕劑模型37可用以自空中影像演算抗蝕劑影像。可在全文以引用方式併入本文中之美國專利第8,200,468號中發現此抗蝕劑模型之一實例。抗蝕劑模型通常描述在抗蝕劑曝光、曝光後烘烤(PEB)及顯影期間出現的化學製程之效應,以便預測例如形成於基板上之抗蝕劑特徵之輪廓,且因此其通常僅與抗蝕劑層之此等屬性(例如在曝光、曝光後烘烤及顯影期間出現的化學製程之效應)相關。在一實施例中,抗蝕劑層之光學屬性,例如折射率、膜厚度、傳播及偏振效應-可作為投影光學件模型32之部分被捕捉。
在具有此等模型的情況下,可根據照明模型31、投影光學件模型32及圖案化器件圖案模型33模擬空中影像36。空中影像(AI)為基板位階處之輻射強度分佈。微影投影裝置之光學屬性(例如照明、圖案化器件及投影光學件之屬性)規定空中影像。
基板上之抗蝕劑層係由空中影像曝光,且該空中影像經轉印至抗蝕劑層而作為其中之潛伏「抗蝕劑影像」(RI)。可將抗蝕劑影像(RI)定義為抗蝕劑層中之抗蝕劑之溶解度的空間分佈。可使用抗蝕劑模型37而自空中影像36模擬抗蝕劑影像38。因此,一般而言,光學模型與抗蝕劑模型之間的連接為抗蝕劑層內之經模擬空中影像強度,其起因於輻射至基板上之投影、抗蝕劑界面處之折射及抗蝕劑膜堆疊中之多個反射。輻射強度分佈(空中影像強度)係藉由入射能量之吸收而變為潛伏「抗蝕劑影像」,其係藉由擴散製程及各種負載效應予以進一步修改。足夠快以用於全晶片應用之高效模擬方法藉由2維空中(及抗蝕劑)影像而近似抗蝕劑堆疊中之實際3維強度分佈。
在一實施例中,可將抗蝕劑影像用作至圖案轉印後製程模型39之輸入。圖案轉印後製程模型39界定一或多個抗蝕劑顯影後製程(例如蝕刻、CMP等)之效能且可產生蝕刻後影像。
因此,模型公式化描述總製程之大多數(若非全部)已知物理性質及化學方法,且模型參數中每一者理想地對應於一相異物理或化學效應。模型公式化因此設定關於模型可用以模擬總體製造製程之良好程度之上限。
圖案化製程之模擬可例如預測空中、抗蝕劑及/或經蝕刻影像中之輪廓、CD、邊緣置放(例如邊緣置放誤差)、圖案移位等。因此,該模擬之目標為準確地預測例如經印刷圖案之邊緣置放,及/或輪廓,及/或圖案移位,及/或空中影像強度斜率,及/或CD等。可將此等值與預期設計比較以例如校正圖案化製程,識別預測出現缺陷之地點等。預期設計通常被定義為預OPC設計佈局,其可以諸如GDSII或OASIS或其他檔案格式之標準化數位檔案格式而提供。
用以將圖案化器件圖案變換成各種微影影像(例如,空中影像、抗蝕劑影像等等)、使用彼等技術及模型應用OPC及評估效能(例如,根據製程窗)之技術及模型的細節描述於美國專利申請公開案第US 2008-0301620、2007-0050749、2007-0031745、2008-0309897、2010-0162197、2010-0180251及2011-0099526號中,該等公開案中之每一者的揭示內容全文以引用方式併入本文中。
為了促進評估模型之速度,可自圖案化器件圖案識別一或多個部分,其被稱作「剪輯(clip)」。在一特定實施例中,提取剪輯集合,其表示圖案化器件圖案中之複雜圖案(通常為約50個至1000個剪輯,但可使用任何數目個剪輯)。如熟習此項技術者應瞭解,此等圖案或剪輯表示設計之小部分(亦即,電路、胞元或圖案),且該等剪輯尤其表示需要特定關注及/或驗證之小部分。換言之,剪輯可為圖案化器件圖案之部分,或可相似或具有臨界特徵係藉由經驗而識別(包括由客戶提供之剪輯)、藉由試誤法而識別或藉由執行全晶片模擬而識別的圖案化器件圖案之部分的相似行為。剪輯通常含有一或多個測試圖案或量規圖案。可由客戶基於圖案化器件圖案中需要特定影像最佳化之已知臨界特徵區域而先驗地提供初始較大剪輯集合。替代地,在另一實施例中,可藉由使用識別臨界特徵區域之某種自動化(諸如,機器視覺)或手動演算法而自整個圖案化器件圖案提取初始較大剪輯集合。
此外,圖案化器件上或由圖案化器件提供之各種圖案可具有不同製程窗。亦即,將產生符合規格之圖案所根據之處理變數之空間。關於潛在系統性缺陷之圖案規格之實例包括檢查頸縮、線拉回、線薄化、CD、邊緣置放、重疊、抗蝕劑頂部損耗、抗蝕劑底切及/或橋接。可藉由合併圖案化器件或其區域上之每一個別圖案之製程窗(例如,使該等製程窗重疊)來獲得所有該等圖案之製程窗。所有圖案之製程製程窗之邊界含有一些個別圖案之製程窗之邊界。換言之,此等個別圖案限制所有圖案之製程窗。此等圖案可被稱作「熱點」或「製程窗限制圖案(PWLP)」,「熱點」與「製程窗限制圖案(PWLP)」可在本文中可互換地使用。當使用例如本文中所描述之模型化來設計、修改等等圖案化製程之一部分時,集中於熱點係可能且經濟的。當熱點並未有缺陷時,最有可能的是,所有圖案未有缺陷。
返回圖案化製程之模型化,可使用例如目標函數來執行最佳化,目標函數諸如
Figure 02_image105
其中
Figure 02_image107
係N個設計變數或其值;
Figure 02_image109
可係針對設計變數之值集合
Figure 02_image107
的在第p個評估點處的缺陷度量之函數。
Figure 02_image112
為指派給第p評估點之權重常數。可向比其他評估點或圖案更臨界之評估點或圖案指派較高
Figure 02_image114
值。亦可向具有較大出現次數之圖案及/或評估點指派較高
Figure 02_image114
值。評估點之實例可為基板上之任何實體點或圖案,或圖案化器件圖案上之任何點,或抗蝕劑影像,或空中影像。
目標函數可表示諸如微影投影裝置或基板之圖案化製程之任何合適的特性,例如聚焦、CD、影像移位、影像失真、影像旋轉等。舉例而言,目標函數可為以下微影度量中之一或多者之函數:邊緣置放誤差、臨界尺寸、抗蝕劑輪廓距離、最差缺陷大小、圖案移位、隨機效應、圖案化器件之三維效應、抗蝕劑之三維效應、最佳焦點移位、光瞳填充因數、曝光時間,及/或產出量。由於抗蝕劑影像常常規定基板上之圖案,因此目標函數常常包括表示抗蝕劑影像之一些特性之函數。舉例而言,此評估點之
Figure 02_image109
可簡單地係抗蝕劑影像中之缺陷的總數。設計變數可為任何可調整參數,諸如,照明模式、圖案化器件圖案、投影光學件、劑量、焦點等之可調整參數。
微影裝置可包括可用以調整輻射光束之波前及強度分佈及/或相移之形狀的被集體地稱為「波前操控器」之一或多個組件。可在沿著微影投影裝置之光學路徑之任何部位處,諸如在圖案化器件之前、接近光瞳平面、接近影像平面或接近焦平面來調整波前及強度分佈。投影光學件可用以校正或補償由(例如)照明、圖案化器件、微影投影裝置中之溫度變化及/或微影投影裝置之組件之熱膨脹所造成的波前及強度分佈之某些失真。調整波前及強度分佈可改變評估點及目標函數之值。可自模型模擬此等改變或實際上量測此等改變。
應注意,
Figure 02_image118
之正常加權均方根(RMS)被定義為
Figure 02_image120
,因此,最小化
Figure 02_image118
之加權RMS等效於最小化方程式15中所定義之目標函數
Figure 02_image123
Figure 02_image125
。因此,出於本文中之記法簡單起見,可互換地利用
Figure 02_image118
及方程式15之加權RMS。
另外,若最大化製程窗(PW),則有可能將來自不同PW條件之相同實體部位認為方程式15中之目標函數之不同評估點。舉例而言,若考慮 N個PW條件,則可根據評估點之PW條件來分類該等評估點,且可將目標函數書寫為:
Figure 02_image128
其中
Figure 02_image130
係在第 u個PW條件
Figure 02_image132
下針對設計變數之值集合
Figure 02_image134
的第 p i 個評估點的缺陷量度之函數。當此缺陷量度係故障率時,則最小化以上目標函數等效於最少化各種PW條件下之缺陷,此可導致最大化PW。詳言之,若PW亦包括不同圖案化器件偏置,則最小化以上目標函數亦包括遮罩誤差增強因數(MEEF)之最小化,該遮罩誤差增強因數(MEEF)被定義為基板EPE與經誘發圖案化器件圖案特徵邊緣偏置之間的比率。
設計變數可具有約束,該等約束可被表達為
Figure 02_image136
Figure 02_image138
,其中
Figure 02_image138
為設計變數之可能值集合。該等約束可表示例如微影投影裝置之硬體實施中之實體限定。該等約束可包括選自以下各者之一或多者:調諧範圍、規則控管圖案化器件可製造性(MRC)及/或兩個或多於兩個設計變數之間的相互相依性。
因此,最佳化製程為在約束
Figure 02_image136
Figure 02_image138
下找到例如最小化目標函數之設計變數之值集合,亦即,找到:
Figure 02_image143
圖33中說明根據一實施例的最佳化微影投影裝置之一般方法。此方法包含定義複數個設計變數之多變數目標函數之步驟302。設計變數可包含選自以下各者之任何合適組合:照明模式之一或多個特性(300A) (例如,光瞳填充比,即傳遞通過光瞳或孔隙之照明之輻射的百分比)、投影光學件之一或多個特性(300B)及/或圖案化器件圖案之一或多個特性(300C)。舉例而言,設計變數可包括照明模式之特性(300A)及圖案化器件圖案之特性(300C) (例如,全局偏置),而非投影光學件之特性(300B),此導致SMO。替代地,設計變數可包括照明模式之特性(300A)、投影光學件之特性(300B)及圖案化器件圖案之特性(300C),此導致照明、圖案化器件圖案及投影光學件之最佳化(有時被稱作源-遮罩-透鏡最佳化(SMLO))。在步驟304中,同時地調整設計變數,使得目標函數移動朝向收斂。在步驟306中,判定是否滿足預定義終止條件。預定終止條件可包括各種可能性,例如目標函數可被最小化或最大化(如由所使用之數值技術所需要)、目標函數之值等於臨限值或已超越臨限值、目標函數之值已達到預設誤差極限內,及/或達到目標函數評估之預設數目次反覆。若在步驟306中滿足條件,則方法結束。若在步驟中306未滿足終止條件,則反覆重複步驟304及306直至獲得所要結果。最佳化未必導致用於設計變數之單一值集合,此係因為可存在由諸如光瞳填充因數、抗蝕劑化學反應、產出量等等之因素造成的實體限定。最佳化可提供用於設計變數及關聯效能特性(例如,產出量)之多個值集合,且允許微影裝置之使用者拾取一或多個集合。
在一實施例中,代替演算及/或判定對照明系統及/或投影光學件之光學特性之效應或除了演算及/或判定對照明系統及/或投影光學件之光學特性之效應以外,預想到,照明系統及/或投影光學件之可調整光學特性亦可包括於設計變數中。例示性可調整光學特性可包括一或多個透鏡操控器、用以控制照明系統及/或投影系統之光學元件之溫度的一或多個器件(例如,加熱器)之溫度資料或與溫度資料相關聯之信號、一或多個任尼克係數等。可接著進行SMO或SMLO工序,且可同時調整包括可調整光學特性之設計變數使得目標函數移動朝向收斂。
在圖33中,同時地執行所有設計變數之最佳化。此流程可被稱為同時最佳化、聯合最佳化,或共同最佳化。如本文所使用之術語「同時的」、「同時地」、「聯合的」及「聯合地」意謂照明模式、圖案化器件、投影光學件之特性之設計變數及/或任何其他設計變數被允許同時改變。
替代地,交替地執行所有設計變數之最佳化,如圖34所說明。在此流程中,在每一步驟中,使一些設計變數固定,而最佳化其他設計變數作為目標函數評估之部分;接著,在下一步驟中,使一不同變數集合固定,而最佳化其他變數集合以作為目標函數評估之部分。交替地執行此等步驟直至符合收斂或某些終止條件為止。如圖34之非限制性實例流程圖中所展示,首先,獲得圖案化器件圖案(步驟402),接著,在步驟404中執行照明模式最佳化之步驟,其中最佳化(SO)照明模式之所有設計變數作為合適目標函數之評估之部分,而使所有其他設計變數固定。接著,在下一步驟406中,執行遮罩最佳化(MO),其中最佳化圖案化器件之所有設計變數作為合適目標函數評估之部分,而使所有其他設計變數固定。交替地執行此兩個步驟,直至在步驟408中滿足某些終止條件為止。可使用各種終止條件,諸如,目標函數之值變得等於臨限值、目標函數之值超越臨限值、目標函數之值達到預設誤差極限內或達到目標函數之評估之預設數目次反覆等。應注意,SO-MO交替最佳化用作替代流程之實例。該替代流程可採取許多不同形式,諸如,SO-LO-MO交替最佳化,其中交替地且反覆地執行SO、LO(透鏡最佳化)及MO;或可執行第一SMO一次,接著交替地且反覆地執行LO及MO;等等。最後,在步驟410中獲得最佳化結果之輸出,且製程停止。
如之前所論述之圖案選擇演算法可與同時或交替最佳化整合。舉例而言,當採用交替最佳化時,首先可執行全晶片SO,識別「熱點」及/或「溫點」,接著執行MO。鑒於本發明,次最佳化之眾多排列及組合係可能的,以便達成所要最佳化結果。
圖35展示一個例示性最佳化方法,其中定義且評估目標函數。在步驟502中,獲得設計變數之初始值,包括設計變數之調諧範圍(若存在)。在步驟504中,設置多變數目標函數。在步驟506中,在圍繞用於第一反覆步驟(i=0)之設計變數之起點值之足夠小鄰域內展開目標函數。在步驟508中,應用標準多變數最佳化技術以最小化或最大化目標函數。應注意,最佳化可在最佳化製程期間在508中或在最佳化製程後期具有約束,諸如調諧範圍。使用用於已為了最佳化微影製程而選擇之經識別評估點之給定測試圖案(亦被稱為「量規」)來評估每一反覆。在步驟510中,預測微影回應(例如,空中影像之一或多個某些特性、抗蝕劑影像之一或多個某些特性,或微影製程之一或多個某些特性,諸如製程窗)。在步驟512中,比較步驟510之結果與所要或理想微影回應值。若在步驟514中滿足終止條件,亦即,最佳化產生足夠接近於所要值之微影回應值,則接著在步驟518中輸出設計變數之最終值。輸出步驟亦可包括使用設計變數之最終值來輸出其他函數,諸如輸出光瞳平面(或其他平面)處之波前像差調整映圖、經最佳化照明模式映圖、經最佳化圖案化器件圖案(例如,包括光學近接校正)等。若未滿足終止條件,則在步驟516中,運用第i反覆之結果來更新設計變數之值,且製程返回至步驟506。可應用諸如高斯-牛頓(Gauss-Newton)演算法、雷文柏格-馬括特(Levenberg-Marquardt)演算法、梯度下降演算法、模擬退火、遺傳演算法等之演算法以評估及求解目標函數。
最佳化圖案化製程可擴展製程窗。較大製程窗在製程設計及器件設計方面提供更多靈活性。製程窗可被定義為使抗蝕劑影像在抗蝕劑影像之設計目標之某一極限內的焦點及劑量值集合。應注意,此處所論述之所有方法亦可延伸至可藉由除了曝光劑量及散焦以外之不同或額外基參數而建立的廣義製程窗定義。此等基參數可包括但不限於光學設定,諸如NA、光學均方偏差、光學像差、偏振,或抗蝕劑層之光學常數。舉例而言,如早先所描述,若PW亦涉及不同遮罩偏置,則最佳化可包括最小化MEEF。對焦點及劑量值所定義之製程窗在本發明中僅用作一實例。
下文描述根據一實施例的最大化製程窗之方法。在第一步驟中,自製程窗中之已知條件
Figure 02_image145
開始(其中 f 0為標稱焦點,且 ε 0為標稱劑量),執行在
Figure 02_image147
附近下方之實例目標函數中之一者的最小化:
Figure 02_image149
Figure 02_image151
若允許標稱焦點 f 0及標稱劑量 ε 0移位,則其可與設計變數
Figure 02_image153
聯合地被最佳化。在下一步驟中,若可發現
Figure 02_image155
之值集合使得目標函數在預設極限內,則
Figure 02_image157
係作為製程窗之部分被接受。
替代地,若不允許焦點及劑量移位,則在焦點及劑量固定於標稱焦點 f 0及標稱劑量 ε 0的情況下最佳化設計變數
Figure 02_image159
。在替代實施例中,若可發現
Figure 02_image159
之值集合使得目標函數在預設極限內,則
Figure 02_image157
係作為製程窗之部分被接受。
本發明中早先所描述之方法可用以最小化方程式(18)或(19)之各別目標函數。若設計變數為投影光學件之特性(諸如,任尼克係數),則最小化方程式(18)或(19)之目標函數會導致基於投影光學件最佳化(亦即,LO)之製程窗最大化。若設計變數為除了投影光學件之特性以外的照明模式及圖案化器件圖案之特性,則最小化方程式(18)或(19)之目標函數會導致基於SMLO之製程窗最大化。若設計變數為照明模式及圖案化器件圖案之特性,則最小化方程式(18)或(19)之目標函數會導致基於SMO之製程窗最大化。
上文所描述之最佳化可用以找到用以減少可不利於微影製程之許多實體效應的
Figure 02_image153
之值集合。可針對不同實體效應、對微影裝置之不同組件且在不同條件下連續地進行多個最佳化。
與上文所論述之源/遮罩/源-遮罩最佳化相關的方法包括使用經選擇以最佳化貫穿製程窗之經印刷晶圓輪廓的線性化函數。優質化函數通常基於純光學模型,此係因為光微影系統中之光學件傾向於判定大多數製程約束。在一個實例中,可選擇優質化函數以減小貫穿製程窗之設計佈局的最差邊緣置放誤差。
圖36為說明可輔助實施本文所揭示之方法及流程之電腦系統100的方塊圖。電腦系統100包括用於傳達資訊之匯流排102或其他通信機構,及與匯流排102耦接以用於處理資訊之一處理器104 (或多個處理器104及105)。電腦系統100亦包括耦接至匯流排102以用於儲存待由處理器104執行之資訊及指令的主記憶體106,諸如隨機存取記憶體(RAM)或其他動態儲存器件。主記憶體106亦可用於在待由處理器104執行之指令之執行期間儲存暫時性變數或其他中間資訊。電腦系統100進一步包括耦接至匯流排102以用於儲存用於處理器104之靜態資訊及指令的唯讀記憶體(ROM) 108或其他靜態儲存器件。提供諸如磁碟或光碟之儲存器件110,且儲存器件110耦接至匯流排102以用於儲存資訊及指令。
電腦系統100可經由匯流排102而耦接至用於向電腦使用者顯示資訊之顯示器112,諸如陰極射線管(CRT)或平板顯示器或觸控面板顯示器。包括文數字按鍵及其他按鍵之輸入器件114耦接至匯流排102以用於將資訊及命令選擇傳達至處理器104。另一類型之使用者輸入器件為用於將方向資訊及命令選擇傳達至處理器104且用於控制顯示器112上之游標移動的游標控制件116,諸如滑鼠、軌跡球或游標方向按鍵。此輸入器件通常具有在兩個軸線(第一軸線(例如x)及第二軸線(例如y))中之兩個自由度,其允許該器件指定在一平面中之位置。觸控面板(螢幕)顯示器亦可用作輸入器件。
根據一項實施例,可由電腦系統100回應於處理器104執行主記憶體106中含有之一或多個指令之一或多個序列而執行製程之部分。可將此類指令自另一電腦可讀媒體(諸如儲存器件110)讀取至主記憶體106中。主記憶體106中所含有之指令序列之執行使處理器104執行本文中所描述之製程步驟。呈多處理配置之一或多個處理器亦可用以執行主記憶體106中含有之指令序列。在一替代實施例中,可代替或結合軟體指令而使用硬連線電路系統。因此,本文之描述不限於硬體電路及軟體之任何特定組合。
本文中所使用之術語「電腦可讀媒體」係指參與將指令提供至處理器104以供執行之任何媒體。此媒體可採取許多形式,包括但不限於非揮發性媒體、揮發性媒體及傳輸媒體。非揮發性媒體包括例如光碟或磁碟,諸如儲存器件110。揮發性媒體包括動態記憶體,諸如主記憶體106。傳輸媒體包括同軸纜線、銅線及光纖,包括包含匯流排102之電線。傳輸媒體亦可採取聲波或光波之形式,諸如在射頻(RF)及紅外線(IR)資料通信期間產生之聲波或光波。電腦可讀媒體之常見形式包括例如軟碟、可撓性磁碟、硬碟、磁帶、任何其他磁性媒體、CD-ROM、DVD、任何其他光學媒體、打孔卡、紙帶、具有孔圖案之任何其他實體媒體、RAM、PROM及EPROM、FLASH-EPROM、任何其他記憶體晶片或卡匣、如下文所描述之載波,或可供電腦讀取之任何其他媒體。
可在將一或多個指令之一或多個序列攜載至處理器104以供執行時涉及電腦可讀媒體之各種形式。舉例而言,最初可將該等指令承載於遠端電腦之磁碟上。遠端電腦可將指令載入至其動態記憶體中,且使用數據機經由電話線而發送指令。在電腦系統100本端之數據機可接收電話線上之資料,且使用紅外線傳輸器以將資料轉換成紅外線信號。耦接至匯流排102之紅外線偵測器可接收紅外線信號中所攜載之資料且將資料置放於匯流排102上。匯流排102將資料攜載至主記憶體106,處理器104自該主記憶體106擷取及執行指令。由主記憶體106接收之指令可視情況在由處理器104執行之前或之後儲存於儲存器件110上。
電腦系統100亦理想地包括耦接至匯流排102之通信介面118。通信介面118提供對網路鏈路120之雙向資料通信耦合,網路鏈路120連接至區域網路122。舉例而言,通信介面118可為整合式服務數位網路(ISDN)卡或數據機以提供至對應類型之電話線的資料通信連接。作為另一實例,通信介面118可為區域網路(LAN)卡以提供對相容LAN之資料通信連接。亦可實施無線鏈路。在任何此類實施中,通信介面118發送且接收攜載表示各種類型之資訊之數位資料串流的電信號、電磁信號或光信號。
網路鏈路120通常經由一或多個網路而向其他資料器件提供資料通信。舉例而言,網路鏈路120可經由區域網路122而向主機電腦124或向由網際網路服務提供者(ISP) 126操作之資料設備提供連接。ISP 126又經由全球封包資料通信網路(現在通常被稱作「網際網路」) 128而提供資料通信服務。區域網路122及網際網路128兩者皆使用攜載數位資料串流之電信號、電磁信號或光信號。經由各種網路之信號及在網路鏈路120上且經由通信介面118之信號(該等信號將數位資料攜載至電腦系統100及自電腦系統100攜載數位資料)為輸送資訊的載波之實例形式。
電腦系統100可經由網路、網路鏈路120及通信介面118而發送訊息及接收資料,包括程式碼。在網際網路實例中,伺服器130可能經由網際網路128、ISP 126、區域網路122及通信介面118而傳輸用於應用程式之經請求程式碼。一個此類經下載應用程式可提供例如實施例之照明最佳化。所接收程式碼可在其被接收時由處理器104執行,及/或儲存於儲存器件110或其他非揮發性儲存器中以供稍後執行。以此方式,電腦系統100可獲得呈載波之形式的應用程式碼。
圖37示意性地描繪另一例示性微影投影裝置LA,其包括: - 源收集器模組SO,其用以提供輻射; - 照明系統(照明器) IL,其經組態以調節來自源收集器模組SO之輻射光束B (例如,EUV輻射); - 支撐結構(例如,遮罩台) MT,其經建構以支撐圖案化器件(例如,遮罩或倍縮光罩) MA,且連接至經組態以準確地定位該圖案化器件之第一定位器PM; - 基板台(例如,晶圓台) WT,其經建構以固持基板(例如,抗蝕劑塗佈晶圓) W,且連接至經組態以準確地定位該基板之第二定位器PW;及 - 投影系統(例如,反射投影系統) PS,其經組態以將由圖案化器件MA賦予至輻射光束B之圖案投影至基板W之目標部分C (例如,包含一或多個晶粒)上。
如此處所描繪,裝置LA屬於反射類型(例如使用反射遮罩)。應注意,因為大多數材料在EUV波長範圍內具吸收性,所以圖案化器件可具有包含例如鉬與矽之多堆疊的多層反射器。在一項實例中,多堆疊反射器具有鉬與矽之40個層對,其中每一層之厚度為四分之一波長。可運用X射線微影來產生更小波長。由於大多數材料在EUV及x射線波長下具吸收性,故圖案化器件構形(例如多層反射器之頂部上之TaN吸收體)上之經圖案化吸收材料薄件界定特徵將在何處印刷(正型抗蝕劑)或不印刷(負型抗蝕劑)。
參看圖26,照明器IL自源收集器模組SO接收極紫外線輻射光束。用以產生EUV輻射之方法包括但未必限於:運用在EUV範圍內之一或多個發射譜線將具有至少一個元素(例如氙、鋰或錫)之材料轉換成電漿狀態。在一種此類方法(常常被稱為雷射產生電漿「LPP」)中,可藉由運用雷射光束來輻照燃料(諸如具有譜線發射元素之材料小滴、串流或叢集)而產生電漿。源收集器模組SO可為包括雷射(圖26中未繪示)之EUV輻射系統之部分,該雷射用於提供激發燃料之雷射光束。所得電漿發射輸出輻射,例如EUV輻射,該輻射係使用安置於源收集器模組中之輻射收集器予以收集。舉例而言,當使用CO 2雷射以提供用於燃料激發之雷射光束時,雷射與源收集器模組可為單獨實體。
在此類狀況下,不認為雷射形成微影裝置之部件,且輻射光束係憑藉包含例如合適導向鏡及/或光束擴展器之光束遞送系統而自雷射傳遞至源收集器模組。在其他狀況下,舉例而言,當源為放電產生電漿EUV產生器(常常被稱為DPP源)時,源可為源收集器模組之整體部件。
照明器IL可包含用於調整輻射光束之角強度分佈之調整器。通常,可調整照明器之光瞳平面中之強度分佈的至少外部徑向範圍及/或內部徑向範圍(通常分別被稱作σ外部及σ內部)。另外,照明器IL可包含各種其他組件,諸如琢面化場鏡面器件及琢面化光瞳鏡面器件。照明器可用於調節輻射光束,以在其橫截面中具有所要均一性及強度分佈。
輻射光束B入射於被固持於支撐結構(例如遮罩台) MT上之圖案化器件(例如遮罩) MA上,且係由該圖案化器件而圖案化。在自圖案化器件(例如遮罩) MA反射之後,輻射光束B傳遞通過投影系統PS,投影系統PS將光束聚焦至基板W之目標部分C上。憑藉第二定位器PW及位置感測器PS2 (例如干涉器件、線性編碼器或電容性感測器),可準確地移動基板台WT,例如以便使不同目標部分C定位於輻射光束B之路徑中。相似地,第一定位器PM及另一位置感測器PS1可用以相對於輻射光束B之路徑來準確地定位圖案化器件(例如,遮罩) MA。可使用圖案化器件對準標記M1、M2及基板對準標記P1、P2來對準圖案化器件(例如遮罩) MA及基板W。
所描繪裝置LA可用於以下模式中之至少一者中: 1. 在步進模式中,在將被賦予至輻射光束之整個圖案一次性投影至目標部分C上時,使支撐結構(例如遮罩台) MT及基板台WT保持基本上靜止(亦即,單次靜態曝光)。接著,使基板台WT在X及/或Y方向上移位使得可曝光不同目標部分C。 2. 在掃描模式中,在將被賦予至輻射光束之圖案投影至目標部分C上時,同步地掃描支撐結構(例如,遮罩台) MT及基板台WT (亦即,單次動態曝光)。可藉由投影系統PS之放大率(縮小率)及影像反轉特性來判定基板台WT相對於支撐結構(例如,遮罩台) MT之速度及方向。 3. 在另一模式中,在將被賦予至輻射光束之圖案投影至目標部分C上時,使支撐結構(例如,遮罩台) MT保持基本上靜止,從而固持可程式化圖案化器件,且移動或掃描基板台WT。在此模式中,通常使用脈衝式輻射源,且在基板台WT之每一移動之後或在一掃描期間之順次輻射脈衝之間根據需要而更新可程式化圖案化器件。此操作模式可易於應用於利用可程式化圖案化器件(諸如上文所提及之類型的可程式化鏡面陣列)之無遮罩微影。
圖38更詳細地展示裝置LA,其包括源收集器模組SO、照明系統IL及投影系統PS。源收集器模組SO經建構及配置成使得可將真空環境維持於源收集器模組SO之圍封結構220中。可藉由放電產生電漿源形成EUV輻射發射電漿210。可藉由氣體或蒸氣(例如,Xe氣體、Li蒸氣或Sn蒸氣)而產生EUV輻射,其中產生極熱電漿210以發射在電磁光譜之EUV範圍內之輻射。舉例而言,藉由造成至少部分離子化電漿之放電來產生極熱電漿210。為了高效地產生輻射,可需要為(例如) 10 Pa之分壓之Xe、Li、Sn蒸氣或任何其他合適氣體或蒸氣。在一實施例中,提供受激發錫(Sn)電漿以產生EUV輻射。
由熱電漿210發射之輻射係經由經定位於源腔室211中之開口中或後方的選用氣體障壁或污染物截留器230 (在一些狀況下,亦被稱作污染物障壁或箔片截留器)而自源腔室211傳遞至收集器腔室212中。污染物截留器230可包括通道結構。污染截留器230亦可包括氣體障壁,或氣體障壁與通道結構之組合。如在此項技術中已知,本文中進一步指示之污染物截留器或污染物障壁230至少包括通道結構。
收集器腔室212可包括可為所謂的掠入射收集器之輻射收集器CO。輻射收集器CO具有上游輻射收集器側251及下游輻射收集器側252。橫穿收集器CO之輻射可自光柵光譜濾光器240反射以沿著由點虛線「O」指示之光軸而聚焦於虛擬源點IF中。虛擬源點IF通常被稱作中間焦點,且源收集器模組經配置以使得中間焦點IF位於圍封結構220中之開口221處或附近。虛擬源點IF為輻射發射電漿210之影像。
隨後,輻射橫穿照明系統IL,照明系統IL可包括琢面化場鏡面器件22及琢面化光瞳鏡面器件24,琢面化場鏡面器件22及琢面化光瞳鏡面器件24經配置以提供在圖案化器件MA處輻射光束21之所要角度分佈,以及在圖案化器件MA處之輻射強度之所要均一性。在由支撐結構MT固持之圖案化器件MA處的輻射光束21之反射後,即形成經圖案化光束26,且由投影系統PS將經圖案化光束26經由反射元件28、30而成像至由基板台WT固持之基板W上。
比所展示元件多的元件通常可存在於照明光學件單元IL及投影系統PS中。取決於微影裝置之類型,可視情況存在光柵光譜濾光器240。另外,可存在比諸圖所展示之鏡面多的鏡面,例如,在投影系統PS中可存在比圖38所展示之反射元件多1至6個的額外反射元件。
如圖38所說明之收集器光學件CO被描繪為具有掠入射反射器253、254及255之巢套式收集器,僅僅作為收集器(或收集器鏡面)之實例。掠入射反射器253、254及255經安置成圍繞光軸O軸向地對稱,且此類型之收集器光學件CO理想地結合放電產生電漿輻射源而使用。
替代地,源收集器模組SO可為如圖39所展示之LPP輻射系統之部分。雷射LAS經配置以將雷射能量沈積至諸如氙(Xe)、錫(Sn)或鋰(Li)之燃料中,從而產生具有數十電子伏特之電子溫度之高度離子化電漿210。在此等離子之去激發及再結合期間產生之高能輻射係自電漿發射、由近正入射收集器光學件CO收集,且聚焦至圍封結構220中之開口221上。
本文所揭示之概念可模擬或數學上模型化用於使子波長特徵成像之任何通用成像系統,且可尤其供能夠產生具有愈來愈小之大小之波長的新興成像技術使用。已經在使用中之新興技術包括極紫外線(EUV)微影,其能夠藉由使用ArF雷射來產生193 nm之波長且甚至能夠藉由使用氟雷射來產生157 nm之波長。此外,EUV微影能夠藉由使用同步加速器或藉由運用高能電子來撞擊材料(固體或電漿)而產生在20 nm至5 nm之範圍內之波長,以便產生在此範圍內之光子。
雖然本文中所揭示之概念可用於在諸如矽晶圓之基板上的成像,但應理解,所揭示之概念可與任何類型之微影成像系統一起使用,例如,用於在不同於矽晶圓的基板上之成像的微影成像系統。
儘管可在本文中特定地參考在IC製造中的實施例之使用,但應理解,本文中之實施例可具有許多其他可能應用。舉例而言,其可用於製造整合式光學系統、用於磁疇記憶體之導引及偵測圖案、液晶顯示器(liquid-crystal display,LCD)、薄膜磁頭、微機械系統(micromechanical system,MEM)等。熟習此項技術者將瞭解,在此類替代應用之內容背景中,本文中對術語「倍縮光罩」、「晶圓」或「晶粒」之任何使用可被認為分別與更一般術語「圖案化器件」、「基板」或「目標部分」同義或可與其互換。可在曝光之前或之後在例如塗佈顯影系統(通常將抗蝕劑層施加至基板且顯影經曝光抗蝕劑之工具)或度量衡或檢測工具中處理本文所提及之基板。適用時,可將本文中之揭示內容應用於此類及其他基板處理工具。另外,可將基板處理多於一次(例如)以便產生多層IC,以使得本文中所使用之術語基板亦可指已經含有多個經處理層之基板。
在本發明文件中,如本文所使用之術語「輻射」及「光束」涵蓋所有類型之電磁輻射,包括紫外輻射(例如具有約365 nm、約248 nm、約193 nm、約157 nm或約126 nm之波長)及極紫外(EUV)輻射(例如具有介於5 nm至20 nm範圍內的波長)以及粒子束,諸如離子束或電子束。
如本文中所使用之術語「最佳化(optimizing/optimization)」係指或意謂調整圖案化裝置(例如微影裝置)、圖案化製程等使得結果及/或製程具有較合乎需要的特性,諸如設計圖案於基板上之較高投影準確度、較大製程窗等。因此,如本文所使用之術語「最佳化」係指或意謂識別用於一或多個參數之一或多個值的製程,該一或多個值相比於用於彼等一或多個參數之一或多個值之初始集合提供至少一個相關度量之改良,例如,局部最佳。應相應地解釋「最佳」及其他相關術語。在一實施例中,可反覆地應用最佳化步驟,以提供一或多個度量之進一步改良。
可以任何方便形式來實施本發明之態樣。舉例而言,一實施例可由一或多個適當電腦程式實施,該一或多個適當電腦程式可在可為有形載體媒體(例如,磁碟)或無形載體媒體(例如,通信信號)之適當載體媒體上進行。可使用可具體地採取可程式化電腦之形式的合適裝置來實施本發明之實施例,該可程式化電腦執行經配置以實施如本文中所描述之方法之電腦程式。因此,本發明之實施例可以硬體、韌體、軟體或其任何組合予以實施。本發明之實施例亦可被實施為儲存於機器可讀媒體上之指令,該等指令可由一或多個處理器讀取及執行。機器可讀媒體可包括用於儲存或傳輸以可由機器(例如計算器件)讀取之形式之資訊的任何機構。舉例而言,機器可讀媒體可包括唯讀記憶體(ROM);隨機存取記憶體(RAM);磁碟儲存媒體;光學儲存媒體;快閃記憶體器件;電形式、光形式、聲形式或其他形式之傳播信號(例如載波、紅外線信號、數位信號等),及其他者。另外,韌體、軟體、常式、指令可在本文中被描述為執行某些動作。然而,應瞭解,此等描述僅僅出於方便起見,且此等動作事實上係由計算器件、處理器、控制器或執行韌體、軟體、常式、指令等之器件引起。
可藉由以下條項進一步描述本發明之實施例。 1.     一種校準一模擬製程之方法,該方法包含: (i)基於一印刷圖案之一臨限故障率獲得該印刷圖案之一特性之特性極限,及(ii)基於該等特性極限獲得一參考製程窗;及 校準該模擬製程使得一經模擬製程窗係在該參考製程窗之一可接受臨限值內,該校準該模擬製程包含: 執行一或多個製程模型以判定經模擬圖案;及 基於該經模擬圖案之一特性以及該等特性極限調整與該一或多個製程模型相關聯之參數值。 2.     如條項1之方法,其中該模擬製程包含:一源遮罩最佳化製程及一光學近接校正製程,其經組態以調整遮罩參數、源參數或製程參數以使該印刷圖案在一目標特性值之一可接受臨限值內。 3.     如條項1之方法,其中該一或多個製程模型包括一特性模型,該特性模型係基於該經模擬圖案及與該印刷圖案相關聯之該等特性極限而擬合。 4.     如條項3之方法,其中藉由基於該經模擬圖案之該特性及該印刷圖案之該特性擬合該特性模型之參數來判定該特性模型。 5.     如條項4之方法,其中該經模擬圖案及該印刷圖案之該特性為一臨界尺寸、一基板之不同層上之兩個圖案之間的一邊緣置放誤差,或該基板之相同或不同層上之兩個圖案之間的一圖案置放誤差。 6.     如條項5之方法,其中該經模擬圖案包含該基板之一層內之該圖案的一剖面。 7.     如條項6之方法,其中該經模擬圖案之該特性包含該層之一頂部處之一第一特性與該層之一底部處之一第二特性之間的一差。 8.     如條項1之方法,其中該一或多個製程模型之該參數包含以下各者中之一或多者: 該特性模型之一參數; 與相關聯於該圖案化製程之一空中模型相關聯的一空中影像參數; 與相關聯於該圖案化製程之一抗蝕劑模型相關聯的一抗蝕劑參數;及 與相關聯於該圖案化製程之一蝕刻模型相關聯的一蝕刻參數。 9.     如條項8之方法,其中該一或多個製程模型之該參數包含選自一空中影像之一模糊、一抗蝕劑厚度或與抗蝕劑相關之一吸收量的一或多個參數。 10.    如條項1之方法,其中該獲得該印刷圖案之該特性之該等特性極限包含: 經由一微影裝置將一目標佈局之所選擇圖案印刷於一基板上; 基於該等印刷圖案之檢測資料判定每一所選擇圖案之故障率; 基於每一所選擇圖案之該等故障率及該特性,擬合一故障率模型,該故障率模型經組態以判定與一圖案之一特性相關聯之一故障率;及 執行該故障率模型以判定該等所選擇圖案之該特性之該等特性極限使得滿足該臨限故障率。 11.    如條項1之方法,其中該獲得該參考製程窗包含: 基於與一印刷基板相關聯之檢測資料,判定對應於每一所選擇圖案之該特性的滿足該等特性極限之劑量及焦點之值。 12.    如條項1之方法,其中該校準該模擬製程係一反覆製程,一反覆包含: (a)使用待印刷於一基板上之一所選擇圖案執行該一或多個製程模型,以判定該基板上之該經模擬圖案; (b)調整與該一或多個製程模型相關聯之該等參數之值,使得該經模擬圖案之該特性滿足與該所選擇圖案相關聯之該等特性極限; (c)基於該經模擬圖案之該特性判定該經模擬製程窗以及該經模擬製程窗是否在該參考製程窗之一可接受臨限值內;及 (d)回應於未滿足該可接受臨限值,執行步驟(a)至(c)。 13.    如條項1之方法,其中該調整該一或多個製程模型之該等參數包含: 調整與該特性模型相關聯之參數之值,使得相對於該等特性極限最大化一頂部處與一底部處的該經模擬圖案之該特性之間的一裕度。 14.    如條項1之方法,其進一步包含: 獲得熱點圖案之一集合,每一熱點圖案係自一目標佈局之一使用者選擇之圖案及/或與相比於該目標佈局之其他圖案所具有的相對較高故障機率相關聯的一圖案; 藉由使用熱點圖案之該集合及該故障率模型模擬該經校準模擬製程,來判定用於每一熱點圖案之一以缺陷為基礎之製程窗;及 基於每一以缺陷為基礎之製程窗之重疊,判定與該臨限故障率相關聯之一或多個製程變數的最佳值。 15.    如條項14之方法,其中該一或多個製程變數係選自:一最佳焦點、一最佳劑量、藉由該臨限故障率而特性化之一劑量-焦點窗、一透鏡系統之像差、位階設定、疊對設定。 16.    如條項1之方法,其進一步包含: 基於該故障率模型判定與每一熱點圖案相關聯之良率; 藉由計算與橫越一全晶片佈局之每一熱點圖案相關聯的每一良率之一乘積來判定該全晶片佈局之一總良率。 17.    如條項1之方法,其進一步包含: 藉由模擬該經校準模擬製程,判定一裕度是否可用以調整一經重定向圖案之特性以致使一目標特性值待印刷於一基板上;及 回應於該裕度不可用,經由使用該經重定向圖案模擬該經校準模擬製程,判定對與該圖案化製程相關聯之一製程的調整使得該目標特性值將印刷於該基板上。 18.    如條項17之方法,其中對該製程之調整包含以下中之至少一者:調整一顯影後影像處之一蝕刻偏置,包括一等向性回蝕或一間隔件製程、製程負載之一量、一蝕刻速率、一沈積速率及蝕刻或沈積之一入射角。 19.    如條項1之方法,其進一步包含: 使用一全晶片佈局模擬該經校準模擬製程以判定與該全晶片佈局相關聯的經模擬特性值與目標特性值之間的一殘餘誤差; 經由微影製造檢查判定與該全晶片佈局相關聯之該等經模擬特性值是否滿足一所要良率;及 回應於未滿足該所要良率,經由該經校準模擬製程調整源參數、遮罩參數或製程參數以減小該殘餘誤差使得滿足該所要良率。 20.    一種用於產生與一圖案化製程相關聯之一經重定向圖案之方法,該方法包含: 獲得(i)與一目標圖案相關聯之特性極限,該等特性極限為超出其將對應於該目標圖案之一印刷圖案認為有缺陷的特性之值,及(ii)一源遮罩最佳化(SMO)製程,其經組態以基於與該目標圖案之特性相關聯之一臨限故障率計算劑量及/或遮罩參數;及 藉由使用該目標圖案模擬該源遮罩最佳化製程,產生該經重定向圖案,與該經重定向圖案相關聯之特性進一步屬於與該目標圖案相關聯之該等特性極限。 21.    如條項20之方法,其中基於一故障率模型獲得該等特性極限,該故障率模型係使用與一基板上之該印刷圖案相關聯的故障率資料及該臨限故障率予以校準,該印刷係使用一初始SMO資料來執行。 22.    如條項20之方法,其中該獲得該源遮罩最佳化(SMO)製程包含: 包括一劑量漂移方程式以基於一特性之一機率密度函數(PDF)、局部臨界尺寸均一性、一圖案之特性之劑量敏感度及該臨限故障率來計算劑量。 23.    如條項22之方法,其中該劑量漂移方程式係由下式給出:
Figure 02_image164
其中 dose EV 表示在該臨限故障率 FR target 下及與在與一平均特性值之3個標準偏差下之特性值相關聯的一極值漂移下的一劑量值,
Figure 02_image166
為一圖案之特性之劑量敏感度, LCDU dose 3σ表示與在與一平均特性值之3個標準偏差下及在一特定劑量下的特性值相關聯的一變化,且
Figure 02_image168
表示該特性之該PDF之一累積分佈函數。 24.    如條項22之方法,其中該劑量漂移方程式係由下式給出:
Figure 02_image170
其中 dose EV 表示在該臨限故障率 FR target 下及與在與一平均特性值之3個標準偏差下之特性值相關聯的一極值漂移下的一劑量值,
Figure 02_image166
為一圖案之特性之劑量敏感度, LCDU dose 表示在一特定劑量下之該特性之一變化, LCDU total 表示該圖案之該特性之一總變化、 LCDU dose 3σ表示與在與一平均特性值之3個標準偏差下及在一特定劑量下的特性值相關聯的一變化,且
Figure 02_image173
表示該特性之該PDF之一累積分佈函數。 25.    如條項20之方法,其中該獲得該源遮罩最佳化(SMO)製程包含: 包括一遮罩偏置漂移方程式以基於一特性之一機率密度函數(PDF)、由一遮罩偏置造成之局部CD均一性及該臨限故障率計算一遮罩特性。 26.    如條項25之方法,其中該遮罩偏置漂移方程式係由下式給出:
Figure 02_image175
其中 mask EV 表示在該臨限故障率 FR target 下及在與一平均特性值之3個標準偏差下之特性值相關聯的一極值漂移下的一遮罩偏置,
Figure 02_image177
為與歸因於該遮罩偏置而引起的一抗蝕劑圖案相關聯的特性之變化, LCDU total 表示該圖案之該特性之一總變化,且
Figure 02_image179
表示該特性之該PDF之一累積分佈函數。 27.    如條項20之方法,其中產生該經重定向圖案使得與該臨限故障率下之該等特性極限相關聯的裕度增大,該產生該經重定向圖案包含: 使用一初始SMO資料來模擬該源遮罩最佳化製程以判定在該臨限故障率下與該目標圖案相關聯之一特性的漂移; 判定在該臨限故障率下在該等特性極限與該等漂移之間的裕度;及 調整該目標圖案之一特性值使得該等裕度增大而不超過與該目標圖案相關聯之該等特性極限,該經調整特性值用以產生該經重定向圖案。 28.    如條項20之方法,其中該產生該經重定向圖案係一反覆製程,一反覆包含: (a)使用包括一最佳化源及最佳化遮罩參數之初始SMO資料及一初始目標圖案或一經重定向圖案作為輸入來模擬該源遮罩最佳化製程,以判定在該臨限故障率下與該目標圖案相關聯之一特性的漂移; (b)判定在該臨限故障率下該特性之該等特性極限與該等漂移之間的裕度; (c)調整該目標圖案之一特性值使得該等裕度增大而不超過與該目標圖案相關聯之該等特性極限,該經調整特性值用以產生該經重定向圖案;及 (d)回應於該等裕度超過該等特性極限或未最大化,執行步驟(a)至(c)。 29.    如條項28之方法,其中最大化該等特性之該特性極限與該特性之一極值之間的一裕度,該特性之該極值為由在該臨限故障率下來自一或多個製程變數之貢獻因素所引起的一值。 30.    如條項20之方法,其中該特性之該極值係由焦點、劑量、量測值與一目標值之間的誤差之一移動標準偏差(MSD)、一抗蝕劑厚度及/或包括酸或抑止劑之抗蝕劑組分引起。 31.    如條項20之方法,其進一步包含: 使用該經重定向圖案來模擬該源遮罩最佳化(SMO)製程以判定與一全晶片佈局相關聯之經模擬特性值; 經由微影製造檢查判定與該全晶片佈局相關聯之該等經模擬特性值是否滿足一所要良率;及 回應於未滿足該所要良率,調整源參數、遮罩參數或製程參數使得滿足該所要良率,該等經調整源參數、遮罩參數或製程參數用以產生一最佳化源、一最佳化照明光瞳及/或一最佳化遮罩。 32.    一種用於調整一製程窗之方法,其包含: 獲得:(i)一劑量機率密度函數(劑量PDF)以判定劑量之一機率,該劑量PDF依據以下各者而變化:(a)一特徵之一特性及(b)一遮罩特性之一偏差,該遮罩特性係與用以將該特徵印刷於一基板上之一遮罩相關聯;(ii)一遮罩機率密度函數(遮罩PDF)以判定該遮罩特性之該偏差的一機率; 藉由對(i)該劑量PDF與(ii)遍及遮罩特性值之一給定範圍的該遮罩PDF進行迴旋而判定與該特性相關聯的該機率密度函數;及 基於與該特性相關聯之該經判定機率密度函數,調整與一圖案化製程相關聯之一製程窗。 33.    如條項32之方法,其中該遮罩PDF併有造成該遮罩PDF之一偏斜度的一非線性遮罩誤差增強因數(MEEF)之相依性,其中該非線性MEEF係使用該遮罩特性與印刷於該基板上之該特徵的該特性之間的一關係之一逆函數來計算。 34.    如條項33之方法,其中該遮罩PDF可藉由下式計算:
Figure 02_image181
其中 G mask 為該遮罩特性之一高斯分佈, G mask 之一平均值 μ mask ,係基於該遮罩特性與印刷於該基板上之該特徵的該特性之間的一關係之一逆函數來計算,其由 g mask ( δCD mask )表示, G mask 之一標準偏差為 σ mask ,其為基於該逆函數及 δCD mask 之經量測標準偏差而判定的標準偏差,且
Figure 02_image183
判定該非線性MEEF。 35.    如條項32之方法,其中該劑量PDF併有與該基板上之一抗蝕劑圖案相關的局部臨界尺寸均一性(LCDU)之相依性,該LCDU係由該遮罩特性引起。 36.    如條項35之方法,其中使用具有一平均劑量及一劑量標準偏差之一正態分佈或帕松分佈來判定該劑量PDF,該平均劑量係藉由針對該遮罩特性之一給定偏差的該劑量與CD之間的該關係之一逆函數予以判定,且該劑量標準偏差係藉由基於與該基板上之該抗蝕劑圖案相關的由該遮罩特性引起的LCDU予以判定。 37.    如條項36之方法,其中該劑量PDF可藉由下式計算:
Figure 02_image185
其中該劑量 g d ( CD , δCD mask )係使用針對該遮罩特性 δCD mask 一給定偏差的該特徵之該劑量與該特性CD之間的關係之一逆函數予以判定,且該劑量標準偏差
Figure 02_image187
係基於該逆函數 g d ( CD , δCD mask )及該經量測標準偏差
Figure 02_image189
,其中
Figure 02_image189
表示歸因於針對一給定遮罩偏差之劑量及抗蝕劑變化所引起的LCDU (1σ)。 38.    如條項32之方法,其進一步包含: 使用與一目標佈局相關聯之故障率資料執行該經判定機率密度函數以判定與一臨限故障率相關聯之特性極限。 39.    一種用於調整一製程窗之方法,其包含: 獲得:(i)複數個劑量-焦點設定,及(ii)基於與該複數個劑量-焦點設定中之每一設定相關聯的一印刷圖案之特性之量測值的一參考分佈; 基於一調整模型及該複數個劑量-焦點設定,判定該特性之機率密度函數(PDF)使得該PDF與該參考分佈之間的一誤差減小,該PDF係該調整模型及與劑量相關聯之方差的函數,該調整模型經組態以改變對該PDF之非線性劑量敏感度貢獻的一比例;及 基於該特性之該經判定PDF而調整與一圖案化製程相關聯的一製程窗。 40.    如條項39之方法,其中該判定該PDF係一反覆製程,一反覆包含: 基於該調整模型判定針對該複數個劑量-焦點設定中之一給定劑量焦點設定之一調整值; 基於該調整值判定一圖案之特性的PDF; 判定該PDF與該參考分佈之間的誤差;及 針對該複數個劑量-焦點設定之該給定劑量及焦點設定調整該調整模型之參數使得最小化該誤差。 41.    如條項39之方法,其中該PDF為一第一PDF與一第二PDF之一迴旋,其中該第一PDF為一第一變化之函數,該第一變化為該調整模型與劑量之變化的一乘積,且該第二PDF為與除貢獻於一圖案之特性之變化的劑量之外的因素相關聯的一第二變化之函數。 42.    如條項41之方法,其中該判定該PDF係一反覆製程,一反覆包含: 對該第一PDF與該第二PDF進行迴旋以判定一圖案之特性的PDF; 判定該PDF與該參考分佈之間的誤差;及 針對該複數個劑量-焦點設定中之一給定劑量及焦點設定調整該第一變化及該第二變化之參數使得最小化該誤差。 43.    如條項40至42中任一項之方法,其中針對該複數個劑量-焦點設定調整該調整模型之該等參數係藉由選自以下各者之一最佳化演算法執行:自適應力矩估計或一梯度下降方法。 44.    如條項39之方法,其中該調整模型係劑量及焦點之一多項式函數。 45.    如條項42之方法,其進一步包含: 針對該複數個劑量-焦點設定中之每一劑量及每一焦點設定而判定與相對於該參考分佈具有最小誤差的PDF相關聯的複數個調整值;及 基於該複數個調整值擬合劑量及焦點之一多項式函數以判定該調整模型,使得最小化該經擬合多項式函數與該複數個調整模型值之間的一差。 46.    如條項39之方法,其進一步包含: 使用與該圖案相關聯之故障率資料執行該經判定機率密度函數以判定與一臨限故障率相關聯之特性極限。 47.    一種非暫時性電腦可讀媒體,其包含在由一或多個處理器執行時致使包含以下各者之操作的指令: (i)基於一印刷圖案之一臨限故障率獲得該印刷圖案之一特性之特性極限,及(ii)基於該等特性極限獲得一參考製程窗;及 校準該模擬製程使得一經模擬製程窗係在該參考製程窗之一可接受臨限值內,該校準該模擬製程包含: 執行一或多個製程模型以判定經模擬圖案;及 調整與該一或多個製程模型相關聯之參數值,直至該經模擬圖案之一特性滿足該等特性極限為止。 48.    如條項47之非暫時性電腦可讀媒體,其中該模擬製程包含:一源遮罩最佳化製程及一光學近接校正製程,其經組態以調整遮罩參數、源參數或製程參數以使該印刷圖案在一目標特性值之一可接受臨限值內。 49.    如條項47之非暫時性電腦可讀媒體,其中該一或多個製程模型包括一特性模型,該特性模型係基於該經模擬圖案及與該印刷圖案相關聯之該等特性極限而擬合。 50.    如條項49之非暫時性電腦可讀媒體,其中該特性模型藉由基於該經模擬圖案之該特性及該印刷圖案之該特性擬合該特性模型之參數予以判定。 51.    如條項50之非暫時性電腦可讀媒體,其中該經模擬圖案及該印刷圖案之該特性為一臨界尺寸、一基板之不同層上之兩個圖案之間的一邊緣置放誤差,或該基板之相同或不同層上之兩個圖案之間的一圖案置放誤差。 52.    如條項51之非暫時性電腦可讀媒體,其中該經模擬圖案包含一基板之一層內的圖案之一剖面。 53.    如條項52之非暫時性電腦可讀媒體,其中該經模擬圖案之該特性包含該層之一頂部處之一第一特性與該層之一底部處之一第二特性之間的一差。 54.    如條項47之非暫時性電腦可讀媒體,其中該一或多個製程模型之該參數包含以下各者中之一或多者: 該特性模型之一參數; 與相關聯於該圖案化製程之一空中模型相關聯的一空中影像參數; 與相關聯於該圖案化製程之一抗蝕劑模型相關聯的一抗蝕劑參數;及 與相關聯於該圖案化製程之一蝕刻模型相關聯的一蝕刻參數。 55.    如條項54之非暫時性電腦可讀媒體,其中該一或多個製程模型之該參數包含選自一空中影像之一模糊、一抗蝕劑厚度或與抗蝕劑相關之一吸收量的一或多個參數。 56.    如條項47之非暫時性電腦可讀媒體,其中該獲得該印刷圖案之該特性之該等特性極限包含: 經由一微影裝置將一目標佈局之所選擇圖案印刷於一基板上; 基於該等印刷圖案之檢測資料判定每一所選擇圖案之故障率; 基於每一所選擇圖案之該等故障率及該特性,擬合一故障率模型,該故障率模型經組態以判定與一圖案之一特性相關聯之一故障率;及 執行該故障率模型以判定該等所選擇圖案之該特性之該等特性極限使得滿足該臨限故障率。 57.    如條項47之非暫時性電腦可讀媒體,其中該獲得該參考製程窗包含: 基於與一印刷基板相關聯之檢測資料,判定對應於每一所選擇圖案之該特性的滿足該等特性極限之劑量及焦點之值。 58.    如條項47之非暫時性電腦可讀媒體,其中該校準該模擬製程係一反覆製程,一反覆包含: (a)使用待印刷於一基板上之一所選擇圖案執行該一或多個製程模型,以判定該基板上之該經模擬圖案; (b)調整與該一或多個製程模型相關聯之該等參數之值,使得該經模擬圖案之該特性滿足與該所選擇圖案相關聯之該等特性極限; (c)基於該經模擬圖案之該特性判定該經模擬製程窗以及該經模擬製程窗是否在該參考製程窗之一可接受臨限值內;及 (d)回應於未滿足該可接受臨限值,執行步驟(a)至(c)。 59.    如條項47之非暫時性電腦可讀媒體,其中該調整該一或多個製程模型之該等參數包含: 調整與該特性模型相關聯之參數之值,使得相對於該等特性極限最大化一頂部處與一底部處的該經模擬圖案之該特性之間的一裕度。 60.    如條項47之非暫時性電腦可讀媒體,其進一步包含: 獲得熱點圖案之一集合,每一熱點圖案係自一目標佈局之一使用者選擇之圖案及/或與相比於該目標佈局之其他圖案所具有的相對較高故障機率相關聯的一圖案; 藉由使用熱點圖案之該集合及該故障率模型模擬該經校準模擬製程,來判定用於每一熱點圖案之一以缺陷為基礎之製程窗;及 基於每一以缺陷為基礎之製程窗之重疊,判定與該臨限故障率相關聯之一或多個製程變數的最佳值。 61.    如條項60之非暫時性電腦可讀媒體,其中該一或多個製程變數係選自:一最佳焦點、一最佳劑量、藉由該臨限故障率而特性化之一劑量-焦點窗、一透鏡系統之像差、位階設定、疊對設定。 62.    如條項47之非暫時性電腦可讀媒體,其進一步包含: 基於該故障率模型判定與每一熱點圖案相關聯之良率; 藉由計算與橫越一全晶片佈局之每一熱點圖案相關聯的每一良率之一乘積來判定該全晶片佈局之一總良率。 63.    如條項47之非暫時性電腦可讀媒體,其進一步包含: 藉由模擬該經校準模擬製程,判定一裕度是否可用以調整一經重定向圖案之特性以致使一目標特性值待印刷於一基板上;及 回應於該裕度不可用,經由使用該經重定向圖案模擬該經校準模擬製程,判定對與該圖案化製程相關聯之一製程的調整使得該目標特性值將印刷於該基板上。 64.    如條項63之非暫時性電腦可讀媒體,其中對該製程之調整包含以下中之至少一者:調整一顯影後影像處之一蝕刻偏置,包括一等向性回蝕或一間隔件製程、製程負載之一量、一蝕刻速率、一沈積速率及蝕刻或沈積之一入射角。 65.    如條項47之非暫時性電腦可讀媒體,其進一步包含: 使用一全晶片佈局模擬該經校準模擬製程以判定與該全晶片佈局相關聯的經模擬特性值與目標特性值之間的一殘餘誤差; 經由微影製造檢查判定與該全晶片佈局相關聯之該等經模擬特性值是否滿足一所要良率;及 回應於未滿足該所要良率,經由該經校準模擬製程調整源參數、遮罩參數或製程參數以減小該殘餘誤差使得滿足該所要良率。 66.    一種非暫時性電腦可讀媒體,其包含在由一或多個處理器執行時致使包含以下各者之操作的指令: 獲得(i)與目標圖案相關聯之特性極限,該等特性極限為超出其將對應於該目標圖案之一印刷圖案認為有缺陷的特性之值,及(ii)一源遮罩最佳化(SMO)製程,其經組態以基於與該目標圖案之特性相關聯之一臨限故障率計算劑量及/或遮罩參數;及 藉由使用該目標圖案模擬該源遮罩最佳化製程,產生一經重定向圖案,與該經重定向圖案相關聯之特性進一步屬於與該目標圖案相關聯之該等特性極限。 67.    如條項66之非暫時性電腦可讀媒體,其中基於一故障率模型獲得該等特性極限,該故障率模型係使用與一基板上之該印刷圖案相關聯的故障率資料及該臨限故障率予以校準,該印刷係使用一初始SMO資料來執行。 68.    如條項66之非暫時性電腦可讀媒體,其中該獲得該源遮罩最佳化(SMO)製程包含: 包括一劑量漂移方程式以基於一特性之一機率密度函數(PDF)、局部臨界尺寸均一性、一圖案之特性之劑量敏感度及該臨限故障率來計算劑量。 69.    如條項68之非暫時性電腦可讀媒體,其中該劑量漂移方程式由下式給出:
Figure 02_image192
其中 dose EV 表示在該臨限故障率 FR target 下及與在與一平均特性值之3個標準偏差下之特性值相關聯的一極值漂移下的一劑量值,
Figure 02_image166
為一圖案之特性之劑量敏感度, LCDU dose 3σ表示與在與一平均特性值之3個標準偏差下及在一特定劑量下的特性值相關聯的一變化,且
Figure 02_image168
表示該特性之該PDF之一累積分佈函數。 70.    如條項68之非暫時性電腦可讀媒體,其中該劑量漂移方程式由下式給出:
Figure 02_image196
其中 dose EV 表示在該臨限故障率 FR target 下及與在與一平均特性值之3個標準偏差下之特性值相關聯的一極值漂移下的一劑量值,
Figure 02_image198
為一圖案之特性之劑量敏感度, LCDU dose 表示在一特定劑量下之該特性之一變化, LCDU total 表示該圖案之該特性之一總變化、 LCDU dose 3σ表示與在與一平均特性值之3個標準偏差下及在一特定劑量下的特性值相關聯的一變化,且
Figure 02_image200
表示該特性之該PDF之一累積分佈函數。 71.    如條項66之非暫時性電腦可讀媒體,其中該獲得該源遮罩最佳化(SMO)製程包含: 包括一遮罩偏置漂移方程式以基於一特性之一機率密度函數(PDF)、由一遮罩偏置造成之局部CD均一性及該臨限故障率計算一遮罩特性。 72.    如條項71之非暫時性電腦可讀媒體,其中該遮罩偏置漂移方程式由下式給出:
Figure 02_image202
其中 mask EV 表示在該臨限故障率 FR target 下及在與一平均特性值之3個標準偏差下之特性值相關聯的一極值漂移下的一遮罩偏置,
Figure 02_image204
為與歸因於該遮罩偏置而引起的一抗蝕劑圖案相關聯的特性之變化, LCDU total 表示該圖案之該特性之一總變化,且
Figure 02_image206
表示該特性之該PDF之一累積分佈函數。 73.    如條項66之非暫時性電腦可讀媒體,其中產生該經重定向圖案使得與該臨限故障率下之該等特性極限相關聯的裕度增大,該產生該經重定向圖案包含: 使用一初始SMO資料來模擬該源遮罩最佳化製程以判定在該臨限故障率下與該目標圖案相關聯之一特性的漂移; 判定在該臨限故障率下在該等特性極限與該等漂移之間的裕度;及 調整該目標圖案之一特性值使得該等裕度增大而不超過與該目標圖案相關聯之該等特性極限,該經調整特性值用以產生該經重定向圖案。 74.    如條項66之非暫時性電腦可讀媒體,其中該產生該經重定向圖案係一反覆製程,一反覆包含: (a)使用包括一最佳化源及最佳化遮罩參數之初始SMO資料及一初始目標圖案或一經重定向圖案作為輸入來模擬該源遮罩最佳化製程,以判定在該臨限故障率下與該目標圖案相關聯之一特性的漂移; (b)判定在該臨限故障率下該特性之該等特性極限與該等漂移之間的裕度; (c)調整該目標圖案之一特性值使得該等裕度增大而不超過與該目標圖案相關聯之該等特性極限,該經調整特性值用以產生該經重定向圖案;及 (d)回應於該等裕度超過該等特性極限或未最大化,執行步驟(a)至(c)。 75.    如條項74之非暫時性電腦可讀媒體,其中該等特性之該特性極限與該特性之一極值之間的一裕度經最大化,該特性之該極值為由在該臨限故障率下來自一或多個製程變數之貢獻因素所引起的一值。 76.    如條項66之非暫時性電腦可讀媒體,其中該特性之該極值係由焦點、劑量、量測值與一目標值之間的誤差之一移動標準偏差(MSD)、一抗蝕劑厚度及/或包括酸或抑止劑之抗蝕劑組分引起。 77.    如條項66之非暫時性電腦可讀媒體,其進一步包含: 使用該經重定向圖案來模擬該源遮罩最佳化(SMO)製程以判定與一全晶片佈局相關聯之經模擬特性值; 經由微影製造檢查判定與該全晶片佈局相關聯之該等經模擬特性值是否滿足一所要良率;及 回應於未滿足該所要良率,調整源參數、遮罩參數或製程參數使得滿足該所要良率,該等經調整源參數、遮罩參數或製程參數用以產生一最佳化源、一最佳化照明光瞳及/或一最佳化遮罩。 78.    一種非暫時性電腦可讀媒體,其包含在由一或多個處理器執行時致使包含以下各者之操作的指令: 獲得:(i)一劑量機率密度函數(劑量PDF)以判定劑量之一機率,該劑量PDF依據以下各者而變化:(a)一特徵之一特性及(b)一遮罩特性之一偏差,該遮罩特性係與用以將該特徵印刷於一基板上之一遮罩相關聯;(ii)一遮罩機率密度函數(遮罩PDF)以判定該遮罩特性之該偏差的一機率; 藉由對(i)該劑量PDF與(ii)遍及遮罩特性值之一給定範圍的該遮罩PDF進行迴旋而判定與該特性相關聯的該機率密度函數;及 基於與該特性相關聯之該經判定機率密度函數,調整與一圖案化製程相關聯之一製程窗。 79.    如條項78之非暫時性電腦可讀媒體,其中該遮罩PDF併有造成該遮罩PDF之一偏斜度的一非線性遮罩誤差增強因數(MEEF)之相依性,其中該非線性MEEF係使用該遮罩特性與印刷於該基板上之該特徵的該特性之間的一關係之一逆函數來計算。 80.    如條項79之非暫時性電腦可讀媒體,其中該遮罩PDF可藉由下式計算:
Figure 02_image208
其中 G mask 為該遮罩特性之一高斯分佈, G mask 之一平均值 μ mask ,係基於該遮罩特性與印刷於該基板上之該特徵的該特性之間的一關係之一逆函數來計算,其由 g mask ( δCD mask )表示, G mask 之一標準偏差為 σ mask ,其為基於該逆函數及 δCD mask 之經量測標準偏差而判定的標準偏差,且
Figure 02_image210
判定該非線性MEEF。 81.    如條項78之非暫時性電腦可讀媒體,其中該劑量PDF併有與該基板上之一抗蝕劑圖案相關的局部臨界尺寸均一性(LCDU)之相依性,該LCDU係由該遮罩特性引起。 82.    如條項81之非暫時性電腦可讀媒體,其中使用具有一平均劑量及一劑量標準偏差之一正態分佈或帕松分佈來判定該劑量PDF,該平均劑量係藉由針對該遮罩特性之一給定偏差的該劑量與CD之間的該關係之一逆函數予以判定,且該劑量標準偏差係藉由基於與該基板上之該抗蝕劑圖案相關的由該遮罩特性引起的LCDU予以判定。 83.    如條項82之非暫時性電腦可讀媒體,其中該劑量PDF可藉由下式計算:
Figure 02_image212
其中該劑量 g d ( CD , δCD mask )係使用針對該遮罩特性 δCD mask 之一給定偏差的該特徵之該劑量與該特性CD之間的關係之一逆函數予以判定,且該劑量標準偏差
Figure 02_image214
係基於該逆函數 g d ( CD , δCD mask )及該經量測標準偏差,
Figure 02_image216
,其中
Figure 02_image218
表示歸因於針對一給定遮罩偏差之劑量及抗蝕劑變化所引起的LCDU (1σ)。 84.    如條項78之非暫時性電腦可讀媒體,其進一步包含: 使用與一目標佈局相關聯之故障率資料執行該經判定機率密度函數以判定與一臨限故障率相關聯之特性極限。 85.    一種非暫時性電腦可讀媒體,其包含在由一或多個處理器執行時致使包含以下各者之操作的指令: 獲得:(i)複數個劑量-焦點設定,及(ii)基於與該複數個劑量-焦點設定中之每一設定相關聯的一印刷圖案之特性之量測值的一參考分佈; 基於一調整模型及該複數個劑量-焦點設定,判定該特性之機率密度函數(PDF)使得該PDF與該參考分佈之間的一誤差減小,該PDF係該調整模型及與劑量相關聯之方差的函數,該調整模型經組態以改變對該PDF之非線性劑量敏感度貢獻的一比例;及 基於該特性之該經判定PDF而調整與一圖案化製程相關聯的一製程窗。 86.    如條項85之非暫時性電腦可讀媒體,其中該判定該PDF係一反覆製程,一反覆包含: 基於該調整模型判定針對該複數個劑量-焦點設定中之一給定劑量焦點設定之一調整值; 基於該調整值判定一圖案之特性的PDF; 判定該PDF與該參考分佈之間的誤差;及 針對該複數個劑量-焦點設定之該給定劑量及焦點設定調整該調整模型之參數使得最小化該誤差。 87.    如條項85之非暫時性電腦可讀媒體,其中該PDF為一第一PDF與一第二PDF之一迴旋,其中該第一PDF為一第一變化之函數,該第一變化為該調整模型與劑量之變化的一乘積,且該第二PDF為與除貢獻於一圖案之特性之變化的劑量之外的因素相關聯的一第二變化之函數。 88.    如條項87之非暫時性電腦可讀媒體,其中該判定該PDF係一反覆製程,一反覆包含: 對該第一PDF與該第二PDF進行迴旋以判定一圖案之特性的PDF; 判定該PDF與該參考分佈之間的誤差;及 針對該複數個劑量-焦點設定中之一給定劑量及焦點設定調整該第一變化及該第二變化之參數使得最小化該誤差。 89.    如條項86至88中任一項之非暫時性電腦可讀媒體,其中針對該複數個劑量-焦點設定調整該調整模型之該等參數係藉由選自以下各者之一最佳化演算法執行:自適應力矩估計或一梯度下降方法。 90.    如條項85之非暫時性電腦可讀媒體,其中該調整模型為劑量及焦點之一多項式函數。 91.    如條項88之非暫時性電腦可讀媒體,其進一步包含: 針對該複數個劑量-焦點設定中之每一劑量及每一焦點設定而判定與相對於該參考分佈具有最小誤差的PDF相關聯的複數個調整值;及 基於該複數個調整值擬合劑量及焦點之一多項式函數以判定該調整模型,使得最小化該經擬合多項式函數與該複數個調整模型值之間的一差。 92.    如條項85之非暫時性電腦可讀媒體,其進一步包含: 使用與該圖案相關聯之故障率資料執行該經判定機率密度函數以判定與一臨限故障率相關聯之特性極限。
在方塊圖中,所說明之組件被描繪為離散功能區塊,但實施例不限於本文中所描述之功能性如所說明來組織之系統。由組件中之每一者提供之功能性可由軟體或硬體模組提供,該等模組以與目前所描繪之方式不同之方式組織,例如,可摻和、結合、複寫、分解、分配(例如,在資料中心內或按地區),或另外以不同方式組織此軟體或硬體。本文中所描述之功能性可由執行儲存於有形的、非暫時性機器可讀媒體上之程式碼之一或多個電腦之一或多個處理器提供。在一些狀況下,第三方內容遞送網路可主控經由網路傳達之資訊中的一些或全部,在此狀況下,在據稱供應或以其他方式提供資訊(例如內容)之情況下,可藉由發送指令以自內容遞送網路擷取彼資訊來提供該資訊。
除非另外具體地陳述,否則如自論述顯而易見,應瞭解,貫穿本說明書,利用諸如「處理」、「計算」、「演算」、「判定」或其類似者之術語的論述係指諸如專用電腦或相似專用電子處理/計算器件之特定裝置的動作或製程。
讀者應瞭解,本申請案描述若干發明。已將此等發明分組成單一文件,而非將彼等發明分離成多個單獨的專利申請案,此係因為該等發明之相關主題在應用製程中有助於經濟發展。但不應合併此等發明之相異優點及態樣。在一些狀況下,實施例解決本文中所提及之所有缺陷,但應理解,該等發明係獨立地有用,且一些實施例僅解決此等問題之子集或提供其他未提及之益處,該等益處對於檢閱本發明之熟習此項技術者將顯而易見。歸因於成本約束,目前可不主張本文中所揭示之一些發明,且可在稍後申請案(諸如接續申請案或藉由修正本技術方案)中主張該等發明。相似地,歸因於空間限制,本發明文件之[發明摘要]及[發明內容]章節皆不應被視為含有所有此等發明之全面清單或此等發明之所有態樣。
應理解,描述及圖式並不意欲將本發明限於所揭示之特定形式,而正相反,本發明意欲涵蓋屬於如由所附申請專利範圍所界定之本發明之精神及範疇的所有修改、等效者及替代方案。
鑒於本說明書,本發明之各種態樣之修改及替代實施例將對於熟習此項技術者而言顯而易見。因此,本說明書及圖式應被理解為僅為說明性的且係出於教示熟習此項技術者進行本發明之一般方式之目的。應理解,本文中所展示及描述之本發明之形式應被視為實施例之實例。元件及材料可替代本文中所說明及描述之元件及材料,可反轉或省略部分及製程,可獨立利用某些特徵,且可組合實施例或實施例之特徵,此皆如對熟習此項技術者在獲得本說明書之益處之後將顯而易見。可在不脫離如在以下申請專利範圍中所描述之本發明之精神及範疇的情況下對本文中所描述之元件作出改變。本文中所使用之標題係僅出於組織之目的,且不意欲用以限制本說明書之範疇。
如貫穿本申請案所使用,詞語「可」係在許可之意義(亦即,意謂有可能)而非強制性之意義(亦即,意謂必須)下予以使用。詞語「包括(include/including/includes)」及其類似者意謂包括但不限於。如貫穿本申請案所使用,單數形式「a/an/the」包括複數個參照物,除非內容另有明確地指示。因此,舉例而言,對「元件(an element/a element)」之參考包括兩個或多於兩個元件之組合,儘管會針對一或多個元件使用其他術語及片語,諸如「一或多個」。除非另有指示,否則術語「或」係非獨占式的,亦即,涵蓋「及」與「或」兩者。描述條件關係之術語,例如,「回應於X,而Y」、「在X後,即Y」、「若X,則Y」、「當X時,Y」及其類似者涵蓋因果關係,其中前提為必要的因果條件,前提為充分的因果條件,或前提為結果的貢獻因果條件,例如,「在條件Y獲得後,即出現狀態X」對於「僅在Y後,才出現X」及「在Y及Z後,即出現X」為通用的。此等條件關係不限於即刻遵循前提而獲得之結果,此係由於可延遲一些結果,且在條件陳述中,前提連接至其結果,例如,前提係與出現結果之可能性相關。除非另有指示,否則複數個特質或功能經映射至複數個物件(例如,執行步驟A、B、C及D之一或多個處理器)之陳述涵蓋所有此等特質或功能經映射至所有此等物件及特質或功能之子集經映射至特質或功能之子集兩者(例如,所有處理器各自執行步驟A至D,及其中處理器1執行步驟A,處理器2執行步驟B及步驟C之一部分,且處理器3執行步驟C之一部分及步驟D之狀況)。另外,除非另有指示,否則一個值或動作係「基於」另一條件或值之陳述涵蓋條件或值為唯一因數之情況與條件或值為複數個因數當中之一個因數之情況兩者。除非另有指示,否則某一集合之「每一」例項具有某一屬性之陳述不應被解讀為排除較大集合之一些以其他方式相同或相似成員不具有該屬性(亦即,每一者未必意謂每個都)之狀況。對自一範圍選擇之提及包括該範圍之端點。
在以上描述中,流程圖中之任何製程、描述或區塊應被理解為表示程式碼之模組、區段或部分,其包括用於實施該製程中之特定的邏輯功能或步驟之一或多個可執行指令,且替代實施方案包括於本發明進展之例示性實施例之範疇內,其中功能可取決於所涉及之功能性不按照所展示或論述之次序執行,包括大體上同時或以相反次序執行,如熟習此項技術者應理解。
在某些美國專利、美國專利申請案或其他材料(例如論文)已以引用方式併入之範圍內,此等美國專利、美國專利申請案及其他材料之文字僅在此材料與本文中所闡述之陳述及圖式之間不存在衝突之範圍內併入。在存在此類衝突之情況下,在此類以引用方式併入的美國專利、美國專利申請案及其他材料中之任何此類衝突文字並不具體地以引用方式併入本文中。
雖然已描述某些實施例,但此等實施例僅作為實例來呈現,且並不意欲限制本發明之範疇。實際上,本文中所描述之新穎方法、裝置及系統可以多種其他形式體現;此外,在不脫離本發明之精神的情況下,可對本文中所描述之方法、裝置及系統的形式進行各種省略、替代及改變。隨附申請專利範圍及其等效者意欲涵蓋將屬於本發明之範疇及精神內的此類形式或修改。
2:寬頻帶輻射投影儀/輻射源 4:光譜儀偵測器 10:光譜 12:透鏡系統 13:干涉濾光器 14:參考鏡面 15:物鏡/接物鏡 16:部分反射表面 17:偏振器 18:偵測器 21:輻射光束 22:琢面化場鏡面器件 24:琢面化光瞳鏡面器件 26:經圖案化光束 28:反射元件 30:基板目標/反射元件 30':目標 31:照明模型 32:投影光學件模型 33:圖案化器件圖案模型 36:空中影像 37:抗蝕劑模型 38:抗蝕劑影像 39:圖案轉印後製程模型 100:電腦硬體系統 102:匯流排 104:處理器 105:處理器 106:主記憶體 108:經量測輻射分佈/唯讀記憶體(ROM) 110:儲存器件 112:顯示器 114:輸入器件 116:游標控制件 118:通信介面 120:網路鏈路 122:區域網路 124:主機電腦 126:網際網路服務提供者(ISP) 128:網際網路 130:伺服器 206:參數化模型 208:所計算輻射分佈 210:數值馬克士威求解程序/EUV輻射發射電漿/極熱電漿 211:源腔室 212:比較/收集器腔室 220:圍封結構 221:開口 230:選用氣體障壁或污染物截留器/污染截留器 240:光柵光譜濾光器 251:上游輻射收集器側 252:下游輻射收集器側 253:掠入射反射器 254:掠入射反射器 255:掠入射反射器 300A:照明模式之一或多個特性 300B:投影光學件之一或多個特性 300C:圖案化器件圖案之一或多個特性 302:步驟 304:步驟 306:步驟 310:變數 320:變數 330:變數 340:變數 350:特性 360:特性 370:處理變數 402:步驟 404:步驟 406:步驟 408:步驟 410:步驟 502:步驟 504:步驟 506:步驟 508:步驟 510:步驟 512:步驟 514:步驟 516:步驟 518:步驟 1001:特定設計意圖 1100:方法 1200:源模型 1210:投影光學件模型 1220:圖案化器件/設計佈局模型模組 1230:空中影像 1240:抗蝕劑模型 1250:抗蝕劑影像 1260:圖案轉印後製程模型模組 1300:源模型 1310:度量衡光學件模型 1320:度量衡目標模型 1330:光瞳或空中影像 1500:方法 1501:特性極限 1503:參考製程窗 1601:目標圖案 1602:製程 1703:最佳化經重定向圖案 2100:方法 2101:特性極限 2400:方法 2410:故障率資料 2900:方法 2901:劑量-焦點設定 2903:參考分佈 2910:故障率資料 AS:對準感測器 B:輻射光束 BD:光束遞送系統 BK:烘烤板 C:目標部分 CD x:參數 CD y:參數 CDF:累積密度函數 CDM1':CD裕度 CDM2':CD裕度 CDM3:CD裕度 CD L,G0:CD極限 CD L,G1:CD極限 CD L,G2:CD極限 CD L,G3:CD極限 CD L,G4:CD極限 CDL1:CD上限 CDL2:CD下限 CH:冷卻板 CO:聚光器/輻射收集器/近正入射收集器光學件 cPW:製程窗 DE:顯影器 DF1:密集接觸孔陣列 EV1:CD漂移 EV2:CD漂移 h:高度 H1:高度 H2:高度 H1/2 G0:抗蝕劑CD H1/2 G1:抗蝕劑CD H1/2 G2:抗蝕劑CD H1/2 G3:抗蝕劑CD H1/2 G4:抗蝕劑CD IF:位置感測器/虛擬源點/中間焦點 IF1:隔離孔 IF2:隔離孔 IL:照明系統/照明器/照明光學件單元 IN:積光器 I/O1:輸入/輸出埠 I/O2:輸入/輸出埠 LA:微影裝置/微影投影裝置 LACU:微影控制單元 LAS:雷射 LB:裝載匣 LC:微影製造單元 LS:位階感測器 M 1:圖案化器件對準標記 M 2:圖案化器件對準標記 MA:圖案化器件 Mb:曲線 MT:支撐結構 Mtot:曲線 Mup:曲線 O:光軸 P 1:基板對準標記 P 2:基板對準標記 PDF191:實例機率分佈函數 PM:第一定位器 PS:投影系統 PS2:位置感測器 PU:處理器 PW:第二定位器 PW1:製程窗 PW2:可接受製程窗 PW2':製程窗 PW3:橢圓製程窗 PW3':製程窗 p x:參數 p y:參數 P10:工序 P20:工序 P30:工序 P40:工序 P50:工序 P60:工序 P1101:工序/製程 P1103:工序 P1104:工序 P1105:工序 P1501:工序 P1503:工序 P2101:工序 P2103:工序 P2401:工序 P2403:工序 P2404:工序 P2405:工序 P2901:工序 P2903:工序 P2904:工序 P2905:工序 RF:參考框架 RO:基板處置器或機器人 RTF181:經重定向特徵 RTP181:經重定向圖案 S:照明光點 SC:旋塗器 SCS:監督控制系統 SMO:源遮罩最佳化 SO:輻射源/源收集器模組 TCD:標稱或目標CD TCU:由塗佈顯影系統控制單元 TF181:特徵 TP181:初始目標圖案/初始目標剪輯 TFR:可接受故障率/目標故障率 t:厚度 w:寬度 W:基板 WTa:基板台 WTb:基板台 α:側壁角 #G:量規 #G0:量規 #G1:量規 #G2:量規 #G3:量規 #G4:量規
圖1示意性地描繪根據一實施例之微影裝置;
圖2示意性地描繪根據一實施例的微影製造單元或叢集之實施例;
圖3示意性地描繪根據一實施例之實例檢測裝置及度量衡技術;
圖4示意性地描繪根據一實施例之實例檢測裝置;
圖5說明根據一實施例的檢測裝置之照明光點與度量衡目標之間的關係;
圖6示意性地描繪根據一實施例的基於量測資料導出複數個所關注變數之製程;
圖7展示根據一實施例之處理變數之實例類別;
圖8示意性地展示根據一實施例的用於圖案化模擬方法之流程;
圖9示意性地展示根據一實施例的用於量測模擬方法之流程;
圖10為根據一實施例的用於與特定特徵相關之重定向之現有製程之實例的流程圖;
圖11為根據一實施例的實施根據一實施例的以缺陷為基礎之製程窗及特性極限以改良習知圖案化製程模擬之模擬製程的流程圖;
圖12A說明根據一實施例的用以校準(例如圖11之)模擬製程之實例量規;
圖12B說明根據一實施例的實例量規及針對每一量規的用以校準(例如圖11之)模擬製程之對應的以缺陷為基礎之CD極限及抗蝕劑CD極限;
圖12C為根據一實施例的說明頂部CD及底部CD部位之圖案或量規的實例抗蝕劑剖面;
圖13說明根據一實施例的與抗蝕劑剖面之頂部及底部相關聯的實例以缺陷為基礎之製程窗;
圖14A說明根據一實施例的基於用以校準(例如圖11之)模擬製程之實驗資料的實例DB-PW製程窗;
圖14B說明根據一實施例的自(例如圖11之)校準模擬製程獲得之經模擬製程窗的實例;
圖14C展示根據一實施例的在抗蝕劑上之不同部位處可用的實例CD裕度;
圖15為根據一實施例的與圖11相似的模擬製程之校準的流程圖;
圖16說明根據一實施例的待在蝕刻之後需要的實例目標圖案,及實例圖案化後製程之結果;
圖17說明根據一實施例的基於在蝕刻圖案之後的目標及經校準SMO製程所產生的實例經重定向圖案及關聯製程參數值;
圖18為根據一實施例之實例重定向製程的方塊圖;
圖19說明判定待在圖18之重定向製程中使用之裕度的實例;
圖20A及圖20B說明根據一實施例的歸因於劑量變化所引起之裕度之實例行為;
圖21為根據一實施例的用於產生與圖案化製程相關聯之經重定向圖案之方法的流程圖;
圖22為根據一實施例的實例CD分佈擬合之標繪圖;
圖23說明與所標繪之每一遮罩接觸孔相關聯之經量測抗蝕劑LCDU相對於遮罩CD之偏差的標繪圖;
圖24為根據一實施例的用於判定與將印刷於基板上之特徵之特性相關聯的機率密度函數之方法的流程圖;
圖25說明根據一實施例的遮罩大小與歸因於遮罩大小之改變所造成的晶圓上之CD之改變之間的實例關係;及
圖26為根據一實施例的針對不同 δCD mask 之劑量(D)與基板上之CD之間的兩個實例關係;
圖27說明根據一實施例的原始CD資料之實例分佈及原始資料上之高斯擬合;
圖28為根據一實施例的針對不同劑量-焦點設定由現有CD模型產生的樣本結果;
圖29為根據一實施例的用於判定與待印刷於基板上之圖案之特性相關聯的機率密度函數之方法的流程圖;
圖30A及圖30B為根據一實施例的與用以調整對特性(例如CD)變化之劑量貢獻之調整模型相關的調整值之標繪圖;
圖31為根據一實施例的針對不同劑量-焦點設定由特性模型(例如CD模型)產生的樣本結果,其中該特性模型係藉由圖29之方法予以判定;
圖32為根據一實施例的對應於圖1中之子系統之模擬模型的方塊圖;
圖33展示根據一實施例的最佳化微影投影裝置之一般方法的流程圖;
圖34展示根據一實施例的最佳化微影投影裝置之方法的流程圖,其中交替地執行所有設計變數之最佳化;
圖35展示根據一實施例的最佳化之一個例示性方法;
圖36為根據一實施例的實例電腦系統之方塊圖;
圖37為根據一實施例的另一微影投影裝置之示意圖;
圖38為根據一實施例的圖37中之裝置的更詳細視圖;及
圖39為根據一實施例的圖37及圖38之裝置之源收集器模組的更詳細視圖。

Claims (20)

  1. 一種校準一模擬製程(simulation process)之方法,該方法包含: (i)基於一印刷圖案之一臨限故障率(threshold failure rate)獲得該印刷圖案之一特性之特性極限,及(ii)基於該等特性極限獲得一參考製程窗(reference process window);及 校準該模擬製程使得一經模擬製程窗係在該參考製程窗之一可接受臨限值內,該校準該模擬製程包含: 執行一或多個製程模型以判定經模擬圖案;及 基於該經模擬圖案之一特性以及該等特性極限調整與該一或多個製程模型相關聯之參數值。
  2. 如請求項1之方法,其中該模擬製程包含:一源遮罩最佳化製程(source mask optimization process)及一光學近接校正製程(optical proximity correction process),其經組態以調整遮罩參數、源參數或製程參數以使該印刷圖案在一目標特性值之一可接受臨限值內。
  3. 如請求項1之方法,其中該一或多個製程模型包括一特性模型,該特性模型係基於該經模擬圖案及與該印刷圖案相關聯之該等特性極限而擬合。
  4. 如請求項3之方法,其中藉由基於該經模擬圖案之該特性及該印刷圖案之該特性擬合該特性模型之參數來判定該特性模型。
  5. 如請求項4之方法,其中該經模擬圖案及該印刷圖案之該特性為一臨界尺寸、一基板之不同層上之兩個圖案之間的一邊緣置放誤差,或該基板之相同或不同層上之兩個圖案之間的一圖案置放誤差。
  6. 如請求項5之方法,其中該經模擬圖案包含該基板之一層內之該圖案的一剖面。
  7. 如請求項6之方法,其中該經模擬圖案之該特性包含該層之一頂部處之一第一特性與該層之一底部處之一第二特性之間的一差(difference)。
  8. 如請求項1之方法,其中該一或多個製程模型之該參數包含以下各者中之一或多者: 該特性模型之一參數; 與相關聯於該圖案化製程之一空(aerial)中模型相關聯的一空中影像參數; 與相關聯於該圖案化製程之一抗蝕劑模型相關聯的一抗蝕劑參數;及 與相關聯於該圖案化製程之一蝕刻模型相關聯的一蝕刻參數。
  9. 如請求項8之方法,其中該一或多個製程模型之該參數包含選自一空中影像之一模糊(blur)、一抗蝕劑厚度或與抗蝕劑相關之一吸收量(absorption amount)的一或多個參數。
  10. 如請求項1之方法,其中該獲得該印刷圖案之該特性之該等特性極限包含: 經由一微影裝置將一目標佈局(target layout)之所選擇圖案印刷於一基板上; 基於該等印刷圖案之檢測資料判定每一所選擇圖案之故障率(failure rates); 基於每一所選擇圖案之該等故障率及該特性,擬合一故障率模型,該故障率模型經組態以判定與一圖案之一特性相關聯之一故障率;及 執行該故障率模型以判定該等所選擇圖案之該特性之該等特性極限使得滿足該臨限故障率。
  11. 如請求項1之方法,其中該獲得該參考製程窗包含: 基於與一印刷基板相關聯之檢測資料,判定對應於每一所選擇圖案之該特性的滿足該等特性極限之劑量(dose)及焦點(focus)之值。
  12. 如請求項1之方法,其中該校準該模擬製程係一反覆(iterative)製程,一反覆包含: (a)使用待印刷於一基板上之一所選擇圖案執行該一或多個製程模型,以判定該基板上之該經模擬圖案; (b)調整與該一或多個製程模型相關聯之該等參數之值,使得該經模擬圖案之該特性滿足與該所選擇圖案相關聯之該等特性極限; (c)基於該經模擬圖案之該特性判定該經模擬製程窗以及該經模擬製程窗是否在該參考製程窗之一可接受臨限值內;及 (d)回應於未滿足該可接受臨限值,執行步驟(a)至(c)。
  13. 如請求項1之方法,其中該調整該一或多個製程模型之該等參數包含: 調整與該特性模型相關聯之參數之值,使得相對於該等特性極限最大化一頂部處與一底部處的該經模擬圖案之該特性之間的一裕度(margin)。
  14. 如請求項1之方法,其進一步包含: 獲得熱點圖案(hot spot patterns)之一集合,每一熱點圖案係自一目標佈局之一使用者選擇之圖案及/或與相比於該目標佈局之其他圖案所具有的相對較高故障機率相關聯的一圖案; 藉由使用熱點圖案之該集合及該故障率模型模擬該經校準模擬製程,來判定用於每一熱點圖案之一以缺陷為基礎之製程窗;及 基於每一以缺陷為基礎之製程窗之重疊,判定與該臨限故障率相關聯之一或多個製程變數的最佳值。
  15. 如請求項14之方法,其中該一或多個製程變數係選自:一最佳焦點、一最佳劑量、藉由該臨限故障率而特性化之一劑量-焦點窗、一透鏡系統之像差(aberrations)、位階設定、疊對設定。
  16. 如請求項1之方法,其進一步包含: 基於該故障率模型判定與每一熱點圖案相關聯之良率(yield); 藉由計算與橫越一全晶片佈局之每一熱點圖案相關聯的每一良率之一乘積(product)來判定該全晶片佈局之一總良率。
  17. 如請求項1之方法,其進一步包含: 藉由模擬該經校準模擬製程,判定一裕度是否可用以調整一經重定向圖案之特性以致使一目標特性值待印刷於一基板上;及 回應於該裕度不可用,經由使用該經重定向圖案模擬該經校準模擬製程,判定對與該圖案化製程相關聯之一製程的調整使得該目標特性值將印刷於該基板上。
  18. 如請求項17之方法,其中對該製程之調整包含以下中之至少一者:調整一顯影後影像處之一蝕刻偏置,包括一等向性回蝕(isotropic etch-back)或一間隔件製程、製程負載之一量、一蝕刻速率、一沈積速率及蝕刻或沈積之一入射角。
  19. 如請求項1之方法,其進一步包含: 使用一全晶片佈局模擬該經校準模擬製程以判定與該全晶片佈局相關聯的經模擬特性值與目標特性值之間的一殘餘誤差(residual error); 經由微影製造檢查判定與該全晶片佈局相關聯之該等經模擬特性值是否滿足一所要良率;及 回應於未滿足該所要良率,經由該經校準模擬製程調整源參數、遮罩參數或製程參數以減小該殘餘誤差使得滿足該所要良率。
  20. 一種非暫時性電腦可讀媒體,其包含在由一或多個處理器執行時致使該一或多個處理器實施如請求項1-19中任一項之方法的指令。
TW111142331A 2020-02-21 2021-02-20 用於校準模擬製程之方法及其相關非暫時性電腦可讀媒體 TWI824809B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202062980068P 2020-02-21 2020-02-21
US62/980,068 2020-02-21

Publications (2)

Publication Number Publication Date
TW202307592A true TW202307592A (zh) 2023-02-16
TWI824809B TWI824809B (zh) 2023-12-01

Family

ID=74672341

Family Applications (2)

Application Number Title Priority Date Filing Date
TW110105901A TWI785504B (zh) 2020-02-21 2021-02-20 用於調整製程窗之方法及其相關非暫時性電腦可讀媒體
TW111142331A TWI824809B (zh) 2020-02-21 2021-02-20 用於校準模擬製程之方法及其相關非暫時性電腦可讀媒體

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW110105901A TWI785504B (zh) 2020-02-21 2021-02-20 用於調整製程窗之方法及其相關非暫時性電腦可讀媒體

Country Status (5)

Country Link
US (1) US20230076218A1 (zh)
KR (1) KR20220127925A (zh)
CN (1) CN115104068A (zh)
TW (2) TWI785504B (zh)
WO (1) WO2021165419A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220001262A (ko) * 2020-06-29 2022-01-05 삼성전자주식회사 반도체 공정의 근접 보정 방법
WO2023039186A1 (en) * 2021-09-10 2023-03-16 Fractilia, Llc Detection of probabilistic process windows
WO2023203025A1 (en) * 2022-04-20 2023-10-26 Raja Shyamprasad Natarajan Method and system for detecting and/or quantifying manufacturing inaccuracies
WO2024094374A1 (en) * 2022-10-31 2024-05-10 Asml Netherlands B.V. Dose control system
CN116070469B (zh) * 2023-04-04 2023-06-09 合肥通用机械研究院有限公司 一种设备运行参数优化方法和风险管理方法
CN117371387B (zh) * 2023-12-08 2024-02-13 浙江集迈科微电子有限公司 集成电路器件版图参数化构建方法装置、存储介质和终端

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1118946C (zh) 1998-07-07 2003-08-20 三菱电机株式会社 功率控制装置
DE60319462T2 (de) 2002-06-11 2009-03-12 Asml Netherlands B.V. Lithographischer Apparat und Verfahren zur Herstellung eines Artikels
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
WO2007019269A2 (en) 2005-08-08 2007-02-15 Brion Technologies, Inc. System and method for creating a focus-exposure model of a lithography process
US7695876B2 (en) 2005-08-31 2010-04-13 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
CN101305320B (zh) 2005-09-09 2012-07-04 Asml荷兰有限公司 采用独立掩模误差模型的掩模验证系统和方法
US7694267B1 (en) 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
US7882480B2 (en) 2007-06-04 2011-02-01 Asml Netherlands B.V. System and method for model-based sub-resolution assist feature generation
US7707538B2 (en) 2007-06-15 2010-04-27 Brion Technologies, Inc. Multivariable solver for optical proximity correction
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
CN102224459B (zh) 2008-11-21 2013-06-19 Asml荷兰有限公司 用于优化光刻过程的方法及设备
NL2003699A (en) 2008-12-18 2010-06-21 Brion Tech Inc Method and system for lithography process-window-maximixing optical proximity correction.
US8786824B2 (en) 2009-06-10 2014-07-22 Asml Netherlands B.V. Source-mask optimization in lithographic apparatus
KR101461457B1 (ko) 2009-07-31 2014-11-13 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 리소그래피 시스템, 및 리소그래피 처리 셀
WO2011023517A1 (en) 2009-08-24 2011-03-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic apparatus, lithographic processing cell and substrate comprising metrology targets
NL2005523A (en) 2009-10-28 2011-05-02 Asml Netherlands Bv Selection of optimum patterns in a design layout based on diffraction signature analysis.
NL2007425A (en) 2010-11-12 2012-05-15 Asml Netherlands Bv Metrology method and apparatus, and device manufacturing method.
KR102411813B1 (ko) * 2017-05-05 2022-06-22 에이에스엠엘 네델란즈 비.브이. 디바이스 제조 프로세스의 수율의 예측 방법
CN111512237B (zh) * 2017-12-22 2023-01-24 Asml荷兰有限公司 基于缺陷概率的过程窗口
US11544440B2 (en) * 2018-06-15 2023-01-03 Asml Netherlands B.V. Machine learning based inverse optical proximity correction and process model calibration

Also Published As

Publication number Publication date
TWI824809B (zh) 2023-12-01
WO2021165419A1 (en) 2021-08-26
TW202147036A (zh) 2021-12-16
KR20220127925A (ko) 2022-09-20
US20230076218A1 (en) 2023-03-09
TWI785504B (zh) 2022-12-01
CN115104068A (zh) 2022-09-23

Similar Documents

Publication Publication Date Title
TWI808593B (zh) 用於基於一基於缺陷之製程窗來執行源-光罩最佳化的方法
TWI785504B (zh) 用於調整製程窗之方法及其相關非暫時性電腦可讀媒體
JP7138638B2 (ja) パターニングスタック最適化
US11635699B2 (en) Determining pattern ranking based on measurement feedback from printed substrate
US11977334B2 (en) Wavefront optimization for tuning scanner based on performance matching
TWI824334B (zh) 非暫時性電腦可讀媒體
TWI803834B (zh) 圖案化製程之最佳化流程
CN111512236B (zh) 涉及光学像差的图案化过程改进
TWI831362B (zh) 基於失效率之製程窗
TWI793443B (zh) 判定圖案之像差靈敏度的方法
TW202414116A (zh) 用於校準模擬製程之方法及其相關非暫時性電腦可讀媒體