TW202306052A - 半導體裝置 - Google Patents

半導體裝置 Download PDF

Info

Publication number
TW202306052A
TW202306052A TW111114808A TW111114808A TW202306052A TW 202306052 A TW202306052 A TW 202306052A TW 111114808 A TW111114808 A TW 111114808A TW 111114808 A TW111114808 A TW 111114808A TW 202306052 A TW202306052 A TW 202306052A
Authority
TW
Taiwan
Prior art keywords
transistor
gate
port
channel width
transistors
Prior art date
Application number
TW111114808A
Other languages
English (en)
Inventor
廖忠志
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202306052A publication Critical patent/TW202306052A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/41Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming static cells with positive feedback, i.e. cells not needing refreshing or charge regeneration, e.g. bistable multivibrator or Schmitt trigger
    • G11C11/412Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming static cells with positive feedback, i.e. cells not needing refreshing or charge regeneration, e.g. bistable multivibrator or Schmitt trigger using field-effect transistors only
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/41Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming static cells with positive feedback, i.e. cells not needing refreshing or charge regeneration, e.g. bistable multivibrator or Schmitt trigger
    • G11C11/413Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing, timing or power reduction
    • G11C11/417Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing, timing or power reduction for memory cells of the field-effect type
    • G11C11/419Read-write [R-W] circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • H10B10/125Static random access memory [SRAM] devices comprising a MOSFET load element the MOSFET being a thin film transistor [TFT]
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/18Peripheral circuit regions

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Semiconductor Memories (AREA)

Abstract

靜態隨機存取記憶體(SRAM)單元的讀取埠口包括讀取埠口傳輸閘(R_PG)電晶體和讀取埠口下拉(R_PD)電晶體。SRAM單元的寫入埠口包括至少一寫入埠口傳輸閘(W_PG)電晶體、寫入埠口下拉(W_PD)電晶體和寫入埠口上拉(W_PU)電晶體。R_PG電晶體、R_PD電晶體、W_PG電晶體、W_PD電晶體和W_PU電晶體是全繞式閘極(GAA)電晶體。R_PG電晶體具有第一通道寬度。R_PD電晶體具有第二通道寬度。W_PG電晶體具有第三通道寬度。W_PD電晶體具有第四通道寬度。W_PU電晶體具有第五通道寬度。第一通道寬度和第四通道寬度各自小於第二通道寬度。第三通道寬度大於第五通道寬度。

Description

半導體裝置
本揭露係關於一種半導體裝置,特別是具有全繞式閘極(GAA)電晶體的雙埠口SRAM裝置,並且為不同的電晶體使用不同的通道寬度的半導體裝置。
在深次微米積體電路技術(deep sub-micron integrated circuit technology)中,嵌入式靜態隨機存取記憶體(static random access memory;SRAM)裝置已成為高速通訊、圖像處理和系統單晶片(system-on-chip;SOC)產品的流行記憶體單元。微處理器和SOC中的嵌入式SRAM的數量不斷增加,以滿足每一新技術世代的效能要求。隨著矽技術持續從一代到下一代微縮,習知的SRAM裝置及/或其製造可能會遇到限制。舉例來說,SRAM裝置的讀取埠口和寫入埠口(或甚至相同讀取埠口或寫入埠口內的不同電晶體)可能有不同的考量和要求。然而,習知的 SRAM 設計沒有充分考慮到這些差異。結果,SRAM讀取效能及/或寫入效能沒有得到充分優化。作為另一個示例,SRAM裝置傳統上被製造為平面裝置或鰭式場效電晶體(Fin Field-Effect Transistor;FinFET)裝置。隨著持續裝置微縮製程,平面裝置或甚至FinFET裝置可能無法滿足新一代SRAM裝置的需求或彈性要求。
因此,儘管現有的SRAM裝置通常已足以滿足其預期目的,但它們並非在各個方面都令人滿意。
本揭露提供一種半導體裝置。半導體裝置包括靜態隨機存取記憶體(SRAM)單元的讀取埠口的第一電晶體,以及靜態隨機存取記憶體單元的讀取埠口的第二電晶體。第一電晶體具有第一通道寬度,並且第二電晶體具有第二通道寬度,第二通道寬度不同於第一通道寬度。
本揭露提供一種半導體裝置。半導體裝置包括靜態隨機存取記憶體(SRAM)單元的讀取埠口,以及靜態隨機存取記憶體單元的寫入埠口。讀取埠口包括讀取埠口傳輸閘(R_PG)電晶體和讀取埠口下拉(R_PD)電晶體。寫入埠口包括至少一寫入埠口傳輸閘(W_PG)電晶體、寫入埠口下拉(W_PD)電晶體和寫入埠口上拉(W_PU)電晶體。讀取埠口傳輸閘電晶體、讀取埠口下拉電晶體、寫入埠口傳輸閘電晶體、寫入埠口下拉電晶體和寫入埠口上拉電晶體是全繞式閘極(GAA)電晶體。讀取埠口傳輸閘電晶體具有第一通道寬度。讀取埠口下拉電晶體具有第二通道寬度。寫入埠口傳輸閘電晶體具有第三通道寬度。寫入埠口下拉電晶體具有第四通道寬度。寫入埠口上拉電晶體具有第五通道寬度。第一通道寬度和第四通道寬度各自小於第二通道寬度。第三通道寬度大於第五通道寬度。
本揭露提供一種半導體裝置之製造方法。半導體裝置之製造方法包括接收靜態隨機存取記憶體(SRAM)單元的佈局設計,其中佈局設計包括:讀取埠口傳輸閘(R_PG)電晶體,讀取埠口下拉(R_PD)電晶體,寫入埠口傳輸閘(W_PG)電晶體,寫入埠口下拉(W_PD)電晶體,以及寫入埠口上拉(W_PU)電晶體;以及通過相對於讀取埠口傳輸閘電晶體的主動區和相對於寫入埠口下拉電晶體的主動區加寬讀取埠口下拉電晶體的主動區來至少部分地修改佈局設計。
本揭露提供許多不同的實施例或範例以實施本案的不同特徵。以下的揭露內容敘述各個構件及其排列方式的特定實施例,以簡化說明。當然,這些特定的範例並非用以限定。舉例來說,若是本揭露敘述了一第一特徵形成於一第二特徵之上或上方,即表示其可能包含上述第一特徵與上述第二特徵是直接接觸的實施例,亦可能包含了有附加特徵形成於上述第一特徵與上述第二特徵之間,而使上述第一特徵與第二特徵可能未直接接觸的實施例。另外,以下本揭露不同實施例可能重複使用相同的參考符號及/或標記。這些重複係為了簡化與清晰的目的,並非用以限定所討論的不同實施例及/或結構之間有特定的關係。
此外,其與空間相關用詞。例如“在…下方”、“下方”、“較低的”、“上方”、“較高的” 及類似的用詞,係為了便於描述圖示中一個元件或特徵與另一個(些)元件或特徵之間的關係。除了在圖式中繪示的方位外,這些空間相關用詞意欲包含使用中或操作中的裝置之不同方位。除此之外,設備可能被轉向不同方位(旋轉90度或其他方位),則在此使用的空間相關詞也可依此相同解釋。
此外,當數字或數字範圍以“約”、“近似”等描述時,該術語旨在涵蓋包括所述數量的合理範圍內的數量,例如+/-10%內的數值或本技術領域中具有通常知識者理解的其他數值。舉例來說,術語“約5nm”包括4.5nm至5.5nm的尺寸範圍。
本揭露通常涉及靜態隨機存取記憶體(SRAM)裝置,其可以使用半導體裝置製造,並且更具體地使用場效電晶體(field-effect transistor;FET),例如多通道全繞式閘極(GAA)裝置。應理解本揭露的複數方面也可以應用於三維鰭式FET(FinFET)或平面FET。根據本揭露的各個方面,SRAM裝置具有彼此分開的讀取埠口(read-port)和寫入埠口(write-port)。不同的電晶體也實現了不同的通道寬度和不同的臨界電壓。結果,提高了SRAM裝置的效能,如下面更詳細討論的。
第1A圖和第1B圖個別顯示了使用FinFET實現的積體電路(Integrated Circuit;IC)裝置90(例如SRAM裝置)的一部分的三維示意圖和俯視圖。參照第1A圖,IC裝置90包括基板110。基板110可以包括元素(單一元素)半導體,例如矽、鍺及/或其他合適材料;化合物半導體,例如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、銻化銦及/或其他合適材料;合金半導體,例如矽鍺(SiGe)、磷砷化鎵(GaAsP)、砷化鋁銦(AlInAs)、砷化鋁鎵(AlGaAs)、砷化鎵銦(GaInAs)、磷化鎵銦(GaInP)、磷砷化鎵銦(GaInAsP)及/或其他合適材料。基板110可以是具有均勻組成的單層材料。替代地,基板110可以包括具有適合用於IC裝置製造的相似或不同組成的多個材料層。在一個示例中,基板110可以是絕緣體上矽(silicon-on-insulator;SOI)基板,其具有形成在氧化矽層上的半導體矽層。在另一個示例中,基板110可以包括導電層、半導體層、介電層、其他層或其組合。可以在基板110中或基板110上形成各種摻雜區,例如源極/汲極區。取決於設計要求,摻雜區可以摻雜有N型摻雜物(例如磷或砷)及/或P型摻雜物(例如硼)。摻雜區可以在基板110上、在P井結構中、在N井結構中、在雙井結構中或使用凸起結構直接形成。可以藉由注入摻雜物原子、原位摻雜的磊晶成長及/或其他合適技術來形成摻雜區。
三維主動區120形成在基板110上。主動區120是細長的鰭狀結構,其向上延伸出基板110。如此一來,主動區120可以可互換地稱為鰭片結構120或在此後稱為鰭片結構120。鰭片結構120可以使用包括微影和蝕刻製程的合適製程來製造。微影製程可以包括形成覆蓋基板110的光阻層、將光阻暴露於圖案、執行曝光後烘烤製程、以及顯影光阻以形成包括阻劑(resist)的罩幕元件(未顯示)。接著將罩幕元件用於將凹陷蝕刻到基板110中,從而將鰭片結構120留在基板110上。蝕刻製程可以包括乾式蝕刻、濕式蝕刻、反應離子蝕刻(reactive ion etching;RIE)及/或其他合適製程。在一些實施例中,鰭片結構120可以藉由雙重圖案化或多重圖案化製程形成。通常來說,雙重圖案化或多重圖案化製程將微影和自我對準製程結合,從而允許創建具有間距小於使用單一、直接微影製程可獲得的間距的圖案。作為示例,在基板上方形成材料層,並且使用微影製程圖案化材料層。使用自我對準製程在圖案化的材料層旁邊形成間隔物。接著移移除材料層,並且接著可以將剩餘的間隔物或心軸來圖案化鰭片結構120。
IC裝置90還包括形成在鰭片結構120上方的源極/汲極特徵122。源極/汲極特徵122可以包括在鰭片結構120上磊晶成長的磊晶層。隨著裝置尺寸持續縮小,這些源極/汲極特徵122可能會相互融合(merge),即使它們本該是分開的。
IC裝置90還包括形成在基板110上方的隔離結構130。隔離結構130電性隔離IC裝置90的各種部件。隔離結構130可以包括氧化矽、氮化矽、氮氧化矽、氟化物摻雜的矽酸鹽玻璃(fluoride-doped silicate glass;FSG)、低k介電材料及/或其他合適材料。在一些實施例中,隔離結構130可以包括淺溝槽隔離(shallow trench isolation;STI)特徵。在一個實施例中,藉由在鰭片結構120的形成期間在基板110中蝕刻溝槽來形成隔離結構130。接著可以用上述隔離材料填充溝槽,然後進行化學機械平坦化(chemical mechanical planarization;CMP)製程。其他隔離結構也可以實現為隔離結構130,例如場氧化物(field oxide)、矽的局部氧化(local oxidation of silicon;LOCOS)及/或其他合適結構。可替代地,隔離結構130可以包括具有一或多個熱氧化物襯墊層的多層結構。
IC裝置90還包括形成在每一個鰭片結構120的通道區中的三個側面上的鰭片結構120上方並與鰭片結構120接合的閘極結構140。閘極結構140可以是冗餘閘極結構(例如:包含氧化物閘極介電質和多晶矽閘極電極),或者它們可以是包含高k閘極介電質和金屬閘極電極的HKMG結構,其中HKMG結構是藉由替換冗餘閘極結構形成的。儘管在此處未顯示,但是閘極結構140可以包括額外的材料層,例如在鰭片結構120上方的界面層、覆蓋層、其他合適的層或其組合。
參照第1B圖,多個鰭片結構120沿著X方向縱向定向,並且多個閘極結構140沿著Y方向(即通常垂直於鰭片結構120的)縱向取向。在許多實施例中,IC裝置90包括額外特徵,例如沿著閘極結構140的側壁設置的閘極間隔物,設置在閘極結構140上方的硬罩幕層以及眾多其他特徵。
儘管可以使用FinFET來實現SRAM裝置,但隨著SRAM裝置持續微縮到越來越小的尺寸,FinFET可能會遇到問題。舉例來說,使用FinFET實現的SRAM裝置可能更容易出現單元不匹配問題,這可能會對SRAM裝置的穩定性產生不利影響。為了解決與FinFET裝置相關的問題,本揭露利用多通道裝置,例如全繞式閘極(GAA)電晶體來實現SRAM裝置。與FinFET或平面電晶體相比,GAA電晶體由於更好的汲極引壓能障降低(drain-induced-barrier-lowering;DIBL)和擺動效能而允許更靈活的通道縮放以及更低的待機漏電(standby leakage)。
第1C圖顯示了示例GAA裝置150的三維示意圖。為了一致和清楚起見,第1C圖和第1A圖至第1B圖中的相似部件將被相同標記。舉例來說,主動區(例如鰭片結構120)在Z方向上從基板110垂直向上上升。隔離結構130提供鰭片結構120之間的電性隔離。閘極結構140位在鰭片結構120上方和隔離結構130上方。罩幕155位在閘極結構140上方,並且閘極間隔物160位在閘極結構140的側壁上。覆蓋層(capping layer)165形成在鰭片結構120上方以在形成隔離結構130的期間保護鰭片結構120免受氧化。
多個奈米結構170設置在每一個鰭片結構120上方。奈米結構170可以包括奈米片、奈米管或奈米線,或在X方向上水平延伸的一些其他類型的奈米結構。在閘極結構140下方的奈米結構170的複數部分可以用作GAA裝置150的通道。介電內部間隔物175可以設置在奈米結構170之間。此外,儘管為了簡單起見而未顯示,但是每一個奈米結構170可以被閘極介電質以及閘極電極周邊地圍繞。在所示實施例中,奈米結構170在閘極結構140外部的部分可以用作GAA裝置150的源極/汲極特徵。然而,在一些實施例中,連續的源極/汲極特徵可以在閘極結構140外部的鰭片結構120的部分上方磊晶成長。無論如何,導電源極/汲極接點180可以形成在源極/汲極特徵上方以提供與其的電性連接。層間介電質(ILD)185形成在隔離結構130上方並且圍繞閘極結構140和源極/汲極接點180。
關於GAA裝置製造的額外細節揭露於主題為“半導體裝置及其製造方法”並於2018年12月25日發證的美國專利10,164,012,以及主題為“製造半導體裝置的方法和半導體裝置”並於2019年7月23日發證的美國專利10,361,278,以及主題為“多閘極裝置及其製造方法”並於2018年2月6日發證的美國專利9,887,269,其這些揭露的全部內容由引用完全併入本文。在本揭露涉及鰭片結構或FinFET裝置的程度上,這樣的討論可以等同地應用於GAA裝置。
現在參照第2圖,顯示了用於雙埠口SRAM單元200的示例電路示意圖。雙埠口SRAM單元200包括寫入埠口和讀取埠口。寫入埠口包括:上拉電晶體PU-1、PU-2;下拉電晶體PD-1、PD-2;以及傳輸閘電晶體PG-1、PG-2。在所示實施例中,電晶體PU-1和PU-2是P型電晶體,並且電晶體PG-1、PG-2、PD-1和PD-2是N型電晶體。
上拉電晶體PU-1和下拉電晶體PD-1的汲極耦接在一起,並且上拉電晶體PU-2和下拉電晶體PD-2的汲極耦合在一起。電晶體PU-1和PD-1與電晶體PU-2和PD-2交叉耦合以形成第一資料鎖存器(data latch)。電晶體PU-2和PD-2的閘極耦接在一起並且連接至電晶體PU-1和PD-1的汲極以形成第一儲存節點SN1,並且電晶體PU-1和PD-1的閘極耦接在一起並且連接至電晶體PU-2和PD-2的汲極以形成互補第一儲存節點SNB1。上拉電晶體PU-1和PU-2的源極耦接至電源電壓Vdd(也稱為Vcc),並且下拉電晶體PD-1和PD-2的源極耦接至電壓Vss,其在一些實施例中可以是電性接地。
第一資料鎖存器的第一儲存節點SN1透過傳輸閘電晶體PG-1耦接至寫入埠口的位元線W_BL,並且互補第一儲存節點SNB1透過傳輸閘電晶體PG-2耦接至寫入埠口的互補位元線W_BLB。第一儲存節點SN1和互補第一儲存節點SNB1是互補節點,期通常處於相反的邏輯準為(logic level)(邏輯高或邏輯低)。傳輸閘電晶體PG-1和PG-2的閘極耦接至寫入埠口的字元線W_WL。
SRAM單元200的讀取埠口包括讀取埠口傳輸閘電晶體(read-port pass-gate transistor;R_PG)和讀取埠口下拉電晶體(read-port pull-down transistor;R_PD)。讀取埠口傳輸閘電晶體R_PG的閘極耦接至讀取埠口的字元線R_WL。讀取埠口下拉電晶體R_PD的閘極耦接至第一儲存節點SN1(或耦接至電晶體PU-2或PD-2的閘極)。讀取埠口電晶體R_PG和R_PD耦接在讀取埠口的位元線R_BL和電壓Vss之間。
根據本揭露的各個方面,電晶體R_PD被實施為具有比電晶體R_PG和寫入埠口的所有電晶體更寬的通道寬度。即使在寫入埠口內,電晶體PU-1和PU-2也被實現為具有比電晶體PD-1、PD-2、PG-1和PG-2更薄的通道寬度。在一些實施例中,電晶體R-PD、PD-2和PU-2可以共享一個連續閘極結構,但是它們以用於它們相應的閘極電極的不同功函數金屬及/或以不同的閘極介電質(例如:摻雜與非摻雜閘極介電質)來實現。如下面將更詳細討論的,這些配置有助於優化雙埠口SRAM單元200的效能。
第3圖顯示了雙埠口SRAM單元200的簡化示意俯視圖,雙埠口SRAM單元200由寫入埠口200A和讀取埠口200B組成。寫入埠口200A包括電晶體PG-1、PG-2、PU-1、PU-2、PD-1和PD-2。讀取埠口200B包括電晶體R_PD和R_PG。為了清楚和簡單起見,這些電晶體的主動區和閘極結構如第3圖所示,但互連部件(例如接點、通孔和金屬線)從第3圖中省略。
如第3圖所示,埠口SRAM單元200包括主動區210、211、212、213、214和215。主動區210至215各自在圖3中的X方向(垂直方向)上延伸。在所示實施例中,主動區210至215可以各自包括(或可以實現為)上面討論的第1C圖的奈米結構170。在其他實施例中,主動區210至215也可以包括第1A圖至第1C圖的鰭片結構120。主動區210至213是寫入埠口200A的部件,並且主動區214和215是讀取埠口200B的部件。在所示實施例中,主動區211和212個別屬於電晶體PU-1和PU-2,它們是PMOS裝置。如此一來,主動區211和212形成在N井110B上方。同時,主動區210和213至215屬於電晶體PD-1、PG-1、PG-2、PD-2、R_PG和R_PD,它們是NMOS裝置。如此一來,主動區210和213至215形成在P井110A(或P型基板)上方。值得注意的是,主動區214和215彼此接壤(border)(或彼此共享邊界(border))。
如第3圖所示,雙埠口SRAM單元200進一步包括閘極結構220、221、222、223和224。閘極結構220至224各自在第3圖中的Y方向(水平方向)上延伸。閘極結構220至224可以各自包括(或可以實現為)上面討論的第1C圖的閘極結構140,例如HKMG結構。閘極結構220、222和223是寫入埠口200A的部件。閘極結構224是讀取埠口200B的部件。閘極結構221延伸穿過寫入埠口200A和讀取埠口200B。因此,閘極結構221的一部分是寫入埠口200A的部件,並且閘極結構221的另一部分是讀取埠口200B的部件。如下面將更詳細討論的,閘極結構221由讀取埠口200B的電晶體R_PD和寫入埠口200A的電晶體PU-2和PD-2共享。
雙埠口SRAM單元200的邊界230在第3圖中使用虛線顯示。值得注意的是,一些主動區和閘極結構可以延伸超過所示的邊界230,因為這些主動區和閘極結構也可以形成其他相鄰定位的SRAM單元的部件。邊界230在Y方向上比在X方向上長,例如長約3.5倍至約6倍。換句話說,邊界230可以是矩形。
根據本揭露的各個方面,SRAM單元200的不同電晶體中的不同主動區可以具有不同的寬度(例如:在Y方向上測量的尺寸)以優化裝置效能。更詳細來說,電晶體R_PG的主動區215具有寬度251、電晶體R_PD的主動區214具有寬度252、電晶體PG-2的主動區213具有寬度253、電晶體PD-2的主動區213具有寬度254、以及電晶體PU-2的主動區212具有寬度255。儘管為了簡單起見而未具體說明,但應理解電晶體PG-1、PD-1和PU-1可以個別具有與電晶體PG-2、PD-2、和PU-2大抵相同的寬度253、254和255。
這些寬度251至255在閘極結構221和223至224下方的主動區212至215的部分中測量。換句話說,主動區212至215的這些部分(從中測量寬度251至255)是電晶體PU-2、PD-2、PG-2、R_PD和R_PG的通道區。因此,寬度251至255在此處也可以互換地稱為通道寬度。
為了優化SRAM效能,寬度252被配置以大於寬度251。這是因為電晶體R_PD是進行讀取的電晶體,而電晶體R_PG主要用作開關。換句話說,電晶體R_PD和R_PG具有不同的功能和用途。為了提高SRAM單元200的讀取速度,增加電晶體R_PD的寬度252是有益的。另一方面,增加電晶體R_PG的寬度251(如果有的話)不會對SRAM單元200的讀取速度增加太多好處,但它可能會增加讀取埠口200B的寄生電容及/或SRAM單元200的待機漏電,這是不期望的。如此一來,寬度252相對於寬度251增加,以為了增加SRAM讀取速度並且減少寄生電容及/或漏電。值得注意的是,調整寬度252的大小意味著(讀取埠口200B的電晶體R_PD的)寬度252也可以大於(寫入口口200A的電晶體PD-2的)寬度254。
在一些實施例中,寬度251和寬度252的比率在約0.65:1和約0.9:1之間的範圍內,並且寬度252和寬度254的比率在約1.1:1和約2:1之間的範圍內。這些範圍不是任意選擇的,而是專門配置以確保SRAM單元200可以實現讀取速度的提高和漏電的減少,而不會造成過度的製造困難。
由於電晶體R_PD的通道寬度252的擴大,寬度252也大於電晶體PD-2的寬度254,這與習知SRAM裝置的寫入埠口的上拉電晶體相比,它可能沒有被放大。在一些實施例中,寬度252和寬度254的比率在約1.1:1和約2:1之間的範圍內。這樣的範圍不是任意選擇的,而是專門配置以充分提高讀取部口200B的速度,而不會增加整個裝置的佔地面積(footprint)或增加的電性短路風險,或導致過度的製造困難。
電晶體PG-2的寬度253約等於電晶體PD-2的寬度 254,因為它們形成在相同的主動區213上方。換句話說,電晶體PD-2與PG-2的通道寬度約相等。並且儘管為了簡單起見,電晶體PD-1和PG-1的通道寬度在此處沒有具體顯示,但是可以理解它們也可以彼此約相等,因為它們形成在相同的主動區210上方。不減小電晶體PG-2(或PG-1)的通道寬度的一個原因是,與電晶體R_PG不同,電晶體PG-2(或PG-1)用於資料輸入(在寫入周期(write cycle)中)和資料輸出(在讀取周期(read cycle)中)。因此,電晶體PG-2(或PG-1)的通道寬度相對較寬是有益的,例如與電晶體PD-2(或PD-1)一樣寬。
在所示實施例中,電晶體PG-2的寬度253(或電晶體PD-2的寬度254)大於電晶體PU-2(或電晶體PU-1)的寬度255。換句話說,電晶體PU-2(和PU-1)可以被調整尺寸以具有比習知SRAM裝置更小的通道寬度。這允許寫入埠口寫入餘量(write-port write margin)改進。在一些實施例中,寬度253和寬度255的比率在約1.5:1和約5:1之間的範圍內。這樣的範圍不是任意選擇的,而是專門配置以允許足夠的寫入餘度改進而不導致製造困難。
本揭露的另一方面涉及為閘極電極的不同部分實施不同的功函數(work function;WF)金屬及/或不同的閘極介電材料,以便為雙部口SRAM單元200的至少一些不同電晶體實現不同的臨界電壓。舉例來說,相同的(且連續的)閘極電極221用於電晶體PU-2、PD-2和R_PD。然而,如上面所述,寫入埠口200A和讀取埠口200B具有不同的考量。舉例來說,寫入埠口200A可能需要更大的電流來實現更快的寫入速度。如此一來,寫入埠口200A的電晶體可能需要更高的臨界電壓。相較之下,讀取埠口200B不需要如此大的電流來執行讀取操作,因為這不會大大提高讀取速度,但可能會導致不希望的漏電或多於的功耗。因此,與寫入埠口200A相比,讀取埠口200B的電晶體應具有較低的臨界電壓。
在一些實施例中,可以藉由實現電晶體PD-2的閘極電極的第一WF金屬、電晶體R_PD的閘極電極的第二WF金屬和電晶體PU-2的閘極電極的第三WF金屬來配置不同的臨界電壓,即使這些電晶體“共享”其他連續的柵極結構221。換句話說,閘極結構221具有包含對應不同電晶體PU-2、PD-2和R_PD的不同材料的不同部分。可以理解的是,電晶體PD-1、PG-1和PG-2也可以被配置以具有第一WF金屬,電晶體R_PG可以被配置以具有第二WF金屬,並且電晶體PU-1可以被配置以具有第三WF金屬。不同的WF金屬可以藉由使用不同類型的WF金屬材料,或者使用相同類型的WF金屬材料的不同厚度來實現。舉例來說,在一些實施例中,第一WF金屬可以具有比第二WF金屬更厚的WF金屬(例如:氮化鈦(TiN)或氮碳化鎢(WCN))。
在一些實施例中,也可以藉由為不同電晶體的閘極結構實施不同的閘極介電材料來配置不同的臨界電壓。舉例來說,電晶體R_PD和PD-2的閘極介電質可以具有不同準位(level)的摻雜物。在一些實施例中,電晶體R_PD的閘極介電質具有鑭(La)摻雜物,而電晶體PD-2的閘極介電質沒有。
藉由調整閘極介電質及/或閘極電極,R_PD電晶體可以實現比PD-2電晶體更低的臨界電壓,例如約至少30毫伏特(mV)。因此,使用用於閘極電極的不同WF金屬及/或不同閘極介電材料的組合,電晶體PD-1、PD-2、PG-1和PG-2可以被調整以具有第一臨界電壓準位/設置、電晶體R_PD和R_PG可以被調整以具有第二臨界電壓準位/設置、以及電晶體PU-1和PU-2可以被調整以具有第三臨界電壓準位/設置,其中第一、第二和第三臨界電壓準位/設置彼此不同。同樣地,實現不同的臨界電壓準位/設置有助於增加寫入埠口200A的寫入速度,並且同時減少讀取埠口200B的漏電。
第4圖是雙埠口SRAM單元200的另一個俯視圖。為了清楚和簡單起見,第3圖中所示的元件(例如主動區和閘極結構)從第4圖中省略。替代地,第4圖顯示了互連結構的各種通孔、接點和金屬線,它們用於互連雙埠口SRAM單元200的各種部件。
更詳細來說,雙埠口SRAM單元200包括複數閘極通孔,例如閘極通孔301、302和303。閘極通孔301位在電晶體PG-1的閘極結構220上,並且提供與電晶體PG-1的閘極結構220的電性連接。閘極通孔302位在電晶體PG-2的閘極結構223上,並且提供與電晶體PG-2的閘極結構223的電性連接。閘極通孔303位在電晶體R_PG的閘極結構224上,並且提供與電晶體R_PG的閘極結構224的電性連接。
雙埠口SRAM單元200還包括複數源極/汲極通孔,例如源極/汲極通孔311至318。源極/汲極通孔311至318位在雙埠口SRAM單元200的各個電晶體的各個源極/汲極區上,並且提供與雙埠口SRAM單元200的各個電晶體的各個源極/汲極區的電性連接。
雙埠口SRAM單元200還包括複數接點331至340(也稱為較長接點)。接點331是用於第一位元線的接點。接點332是用於第二Vdd的接點。接點333是用於第二Vss的接點。接點334是用於第一資料節點的接點。接點335是用於第二資料節點的接點。接點336是冗餘接點。接點337是用於第一Vss的接點。接點338是用於第一Vdd的接點。接點339是用於第二位元線的接點。接點340是用於讀取埠口200B的位元線的接點。雙埠口SRAM單元200還包括對接接點351和352(也稱為對接的接點)。
雙埠口SRAM單元200還包括複數金屬線,例如金屬線380至389。這些金屬線380-389中的一些可以對應特定的訊號線(或其導體)。舉例來說,金屬線381對應第一Vss導體、金屬線382對應寫端口位線導體、金屬線383對應Vdd導體、金屬線384對應寫入埠口互補位元線導體、金屬線385對應第三Vss(可以是可選的)導體、金屬線387對應第二Vss導體、以及金屬線388對應讀取埠口位元線導體。在一些實施例中,這些金屬線380至389位在互連結構的第一層級金屬層(例如:metal-1)中。
儘管為了簡單起見而在第4圖中沒有具體顯示,但互連結構可以包括額外的金屬線或著陸墊(landing pad),其中至少一些可以在互連結構的其他層級中實現。舉例來說,可以在第一層級金屬層中實現第一寫入埠口字元線著陸墊和第一讀取埠口字元線著陸墊。可以在位在第一層級金屬層上方的第二層級金屬層(例如:metal-2)中實現寫入埠口字元線導體和第二讀取埠口字元線著陸墊。可以在位在第二層級金屬層上方的第三層級金屬層(例如:metal-3)中實現第三讀取埠口字元線著陸墊。可以在位在第三層級金屬層上方的第四層級金屬層(例如:metal-4)中實現讀取埠口字元線導體。可以理解的是,位在第一層級金屬層和第三層金屬層中的金屬線的佈線方向大抵相互平行,而位在第二層級金屬層和第四層級金屬層中的金屬線的佈線方向也大抵平行。位在第一層級金屬層和第三層級金屬層的金屬線的佈線方向大抵垂直於位在第二層級金屬層和第四層級金屬層的金屬線的佈線方向。
為了進一步說明上面討論的本揭露的方面,第5圖至第11圖中顯示了雙埠口SRAM單元200的各種剖面圖。這些剖面圖取自第3圖至第4圖的雙埠口SRAM單元200的俯視圖的不同剖面。詳細來說,第5圖對應沿著第3圖至第4圖的切割線A-A’截取的剖面圖。第6圖對應沿著第3圖至第4圖的切割線B-B’截取的剖面圖。第7圖對應沿著第3圖至第4圖的切割線C-C’截取的剖面圖。第8圖對應沿著第3圖至第4圖的切割線D-D’截取的剖面圖。第9圖對應沿著第3圖至第4圖的切割線E-E’截取的剖面圖。第10圖對應沿著第3圖至第4圖的切割線F-F’截取的剖面圖。第11圖對應沿著第3圖至第4圖的切割線G-G’截取的剖面圖。第5圖至第8圖的剖面圖是沿著Y-Z平面截取的,而第9圖至第11圖的剖面圖是沿著X-Z平面截取的。
現在參照第5圖,雙埠口SRAM單元200包括基板、形成在基板110上方的P井110A和N井100B(例如:藉由摻雜基板110的不同部分)、以及形成在P井110A和N井110B上方的主動區210至215。主動區210至215的下片段(例如:鰭片結構)在Y方向上藉由隔離結構130(例如淺溝槽隔離(STI))彼此分開。主動區210至215的上部個別實施為奈米結構210A至210C、211A至211C、212A至212C、213A至213C和215A至215C,例如奈米片、奈米管、奈米線等。這些奈米結構用作它們各自電晶體的垂直堆疊的導電通道。舉例來說,奈米結構210A至210C用作電晶體PD-1的通道、奈米結構211A至211C用作電晶體PU-1的通道、奈米結構212A至212C用作電晶體PU-2的通道、奈米結構213A至213C用作電晶體PG-2的通道、以及奈米結構215A至215C用作電晶體R_PG的通道。值得注意的是,第5圖所示的閘極結構的每一個垂直堆疊具有三個奈米結構(例如:210A設置在210B下方,210B設置在210C下方),但此僅是為了簡單起見。在其他實施例中,奈米結構的每一個垂直堆疊可以包括不同數量的奈米結構,例如兩個、四個或五個。
電晶體PD-1的奈米結構210A至210C各自具有通道寬度254(與上面參照第3圖討論的電晶體PD-2的通道寬度相同)。電晶體PU-1的奈米結構212A至212C各自具有通道寬度255。電晶體PG-2的奈米結構213A至213C各自具有通道寬度253。電晶體R_PG的奈米結構215A至215C各自具有通道寬度251。這些通道寬度254、255、253和251各自在Y方向上測量。如上面參照第3圖討論的,為了優化雙埠口SRAM單元200的效能,通道寬度253大於通道寬度255,例如約1.5倍和約5倍。相較之下,通道寬度253和254彼此約相等。同時,每一個奈米結構210A至210C、211A至211C、212A至212C、213A至213C和215A至215C的厚度(在Z方向上測量)彼此約相等。
奈米結構210A至210C被閘極結構222A(作為電晶體PD-1的閘極結構222的第一部分)周邊地圍繞,並且奈米結構211A至211C和212A至212C被閘極結構222B(作為電晶體PD-1的閘極結構222的第二部分)周邊地環繞。同時,奈米結構213A至213C被電晶體PG-2的閘極結構223周邊地環繞,而奈米結構215A至215C被電晶體R_PG的閘極結構224周邊地環繞。閘極結構222、223和224也藉由介電隔離結構400彼此隔離。
如上面參照第3圖所討論的,本揭露的另一方面涉及實施具有不同材料成分的閘極結構222A至222B、223和224中的至少一些。在一些實施例中,閘極結構222A和閘極結構223各自具有第一WF金屬、閘極結構224具有不同於第一WF金屬的第二WF金屬、以及閘極結構222B具有不同於第一WF金屬且不同於第二WF金屬的第三WF金屬。在一些實施例中,第一WF金屬和第二WF金屬各自包含氮化鈦(TiN)、氮化鉭(TaN)、氮碳化鎢(WCN)、鈦鋁(TiAl)、鎢(W)或其組合。然而,第一WF金屬具有比第二WF金屬更厚的TiN及/或更厚的WCN。為不同的閘極結構實施不同的WF金屬允許為這些不同的閘極結構調整不同的臨界電壓(Vt)。這有助於進一步優化SRAM效能,因為它允許增加寫入埠口200A的寫入速度而減少(或至少不增加)讀取埠口200B的漏電。
閘極通孔302和303個別形成在閘極結構223和224上方。對接接點352形成在閘極結構222B上方。如上面參照第4圖所討論的,閘極通孔302至303和對接接點352有助於提供閘極結構222至224與雙埠口SRAM單元200的其他部件及/或與外部裝置之間的電性連接。
閘極通孔302至303和對接接點352藉由形成在閘極結構222至224上方的層間介電質(ILD)410彼此電性分離和物理分離。值得注意的是,在一些實施例中,例如在此處顯示的實施例中,閘極頂部介電層420可以形成在閘極結構222至224上方。在這種情況下,ILD 410可以形成在閘極頂部介電層420上方。金屬線380至389(其中一些對應Vss、寫入埠口BL、Vdd、寫入埠口BLB和讀取埠口BL)形成在ILD 410上方。
現在參照第6圖,此處所示的剖面圖(對應切割線B-B’)與上面討論的第5圖的剖面圖有各種相似之處。因此,第5圖和第6圖中出現的相似部件將採用相同的標記,在此後不再重複它們的詳細討論。
與第5圖不同的是,第6圖顯示了以下剖面:(電晶體PG-1的)閘極結構220,(電晶體PU-2的)閘極結構221A,(電晶體PD-2的)閘極結構221B,以及(電晶體R_PD的)閘極結構221C,其中閘極結構221A、221B和221C是第3圖所示的相同閘極結構221的不同部分。奈米結構210A至210C各自被閘極結構220周邊地環繞。奈米結構211A至211C和212A至212C各自被閘極結構221A周邊地環繞。奈米結構213A至213C各自被閘極結構221B周邊地環繞。奈米結構215A至215C各自被閘極結構221C周邊地環繞。如上面所述,可以看出奈米結構210A至210C各自比奈米結構212A至212C寬,因為通道寬度253比通道寬度255寬約1.5倍至約5倍。
閘極通孔301形成在閘極結構220上,並且對接接點351形成在閘極結構221A上。ILD 410在閘極通孔301和對接接點351之間提供電性和物理分離。金屬線380至389形成在ILD 410上方。
現在參照第7圖,此處所示的剖面圖(對應切割線C-C’)與上面討論的第5圖和第6圖的剖面圖有各種相似之處。因此,第5圖至第7圖中出現的相似部件將採用相同的標記,在此後不再重複它們的詳細討論。
與第5圖和第6圖不同的是,第7圖的切割線C-C’截取自閘極結構外,並且不與奈米結構(即GAA電晶體的通道)相交。相反地,切割線C-C’與個別磊晶成長在主動區210至215上的複數源極/汲極部件210E至215E相交。源極/汲極部件210E、213E、215E為N型源極/汲極部件,而源極/汲極部件211E、212E為P型源極/汲極部件。源極/汲極部件210E至215E也藉由ILD 410彼此電性和物理隔離。
接點334形成在源極/汲極部件210E至215E上方,並且電性耦接在一起。接點335形成在源極/汲極部件212E和213E上方,並且與電性耦接在一起。冗餘接點336形成在源極/汲極部件215E上方。對接接點351和352個別形成在接點334和335上。金屬線380至389形成在ILD 410上方。
現在參照第8圖,此處所示的剖面圖(對應切割D-D’)與上面討論的第5圖至第7圖的剖面圖有各種相似之處。因此,第5圖至第8圖中出現的相似部件將採用相同的標記,在此後不再重複它們的詳細討論。
第8圖的切割線D-D’也截取自閘極結構外,並且不與奈米結構(即GAA電晶體的通道)相交。相反地,切割線D-D’與個別磊晶成長在主動區210、212、213和214上的源極/汲極部件210E、212E、213E和214E相交。源極/汲極部件210E、213E、214E為N型源極/汲極部件,而源極/汲極部件212E為P型源極/汲極部件。
接點331形成在源極/汲極部件210E上方。接點332形成在源極/汲極部件212E上方。接點333形成在源極/汲極部件213E和214E上方,並且電性耦接在一起。源極/汲極通孔311、312和313至314個別形成在接點331、332和333上。金屬線380至389形成在ILD 410上方。
現在參照第9圖,剖面圖(對應切割E-E’)在垂直於第5圖至第8圖的剖面圖的方向上截取。在此剖面圖中,(電晶體R_PD的)閘極結構221C和(電晶體R_PG的)閘極結構224被示為形成在P井110A上方。閘極結構221C包括複數閘極電極部件441C和複數閘極介電部件451C。閘極結構224包括複數閘極電極部件444和複數閘極介電部件454。閘極介電部件451C至少部分地圍繞或環繞閘極電極部件441C。閘極介電部件454至少部分地圍繞或環繞閘極電極部件444。如上面所述,閘極電極部件441C和444各自具有第二WF金屬。同樣如上面所述,閘極介電部件451C及/或閘極介電部件454可以包括鑭摻雜物以幫助調整它們相應的電晶體的臨界電壓。
源極/汲極部件214E設置在閘極結構221C的相對兩側,並且源極/汲極部件215E設置在閘極結構224的相對兩側。頂部閘極間隔物470也形成在閘極結構221C和224的在源極/汲極部件214E和215E的上表面上方的部分的側壁上。內部間隔物480形成在閘極結構221C和224的在源極/汲極部件214E和215E的上表面下方的部分上。閘極頂部介電層420形成在閘極結構441C和444上方。ILD 410形成在閘極頂部介電層420上方。
現在參照第10圖,所示剖面圖對應平行於第9圖的切割線E-E’的切割線F-F’。第10圖與上面討論的第9圖的剖面圖有各種相似之處。因此,第9圖至第10圖中出現的相似部件將採用相同的標記,在此後不再重複它們的詳細討論。
如第10圖所示,(電晶體PD-2的)閘極結構221B和(電晶體PG-2的)閘極結構223被示為形成在P井110A上方。閘極結構221B包括複數閘極電極部件441B和複數閘極介電部件451B。閘極結構223包括複數閘極電極部件443和複數閘極介電部件453。閘極介電部件451B至少部分地圍繞或環繞閘極電極部件441B。閘極介電部件453至少部分地圍繞或環繞閘極電極部件443。如上面所述,閘極電極部件441B和443各自具有第一WF金屬。同樣如上面所述,閘極介電部件451B及/或閘極介電部件453不包括鑭摻雜物以幫助調整它們相應的電晶體的臨界電壓。
源極/汲極部件213E設置在閘極結構221B的相對兩側和閘極結構223的相對兩側上。頂部閘極間隔物470和內部間隔物480以與第9圖所示的方式相似來形成,閘極頂部介電層420和ILD 410也是如此。
現在參照第11圖,所示剖面圖也對應平行於第9圖的切割線E-E’的切割線G-G’。第11圖與上面討論的第9圖的剖面圖有各種相似之處。因此,第9圖至第11圖中出現的相似部件將採用相同的標記,在此後不再重複它們的詳細討論。
如第11圖所示,(電晶體PU-2的)閘極結構221A和(電晶體PU-1的)閘極結構222被示為形成在N井110B上方。閘極結構221A包括複數閘極電極部件441A和複數閘極介電部件451A。閘極結構222包括複數閘極電極部件442和複數閘極介電部件452。閘極介電部件451A至少部分地圍繞或環繞閘極電極部件441A。閘極介電部件452至少部分地圍繞或環繞閘極電極部件442。如上面所述,閘極電極部件441A和442各自具有第三WF金屬。
源極/汲極部件212E設置在閘極結構221A的相對兩側和閘極結構222的“左側”上。頂部閘極間隔物470和內部間隔物480以與第9圖所示的方式相似來形成,閘極頂部介電層420和ILD 410也是如此。不同之處在於閘極電極部件442垂直地相互融合(merge),並且在閘極電極部件442的“右側”的介電層452和頂部間隔物470垂直地連續延伸。對接接點352部分地形成在閘極電極部件442上方。隔離結構130之一者部分地設置在閘極結構222下方。
第12圖至第13圖根據本揭露的各個方面顯示了修改原始IC佈局設計以產生新佈局設計的過程。更詳細來說,第12圖表示雙埠口SRAM單元的原始佈局設計500的一部分的俯視圖,並且第13圖表示雙埠口SRAM單元的修改(新)佈局設計600的一部分的俯視圖。為了簡單起見,第12圖至第13圖中所示的部件被標記與第3圖中出現的標記相同。
在一些實施例中,原始IC佈局設計500可以從IC設計廠(IC design house)接收(或由其產生)。如第12圖所示,原始IC佈局設計500包括寫入埠口200A和讀取埠口200B。寫入埠口200A包括電晶體PG-1、PG-2、PU-1、PU-2、PD-1和PD-2,其中這些電晶體包括主動區210至213的各個部分和形成在主動區210至213上方的閘極結構220至223。讀取埠口200B包括電晶體R_PD和R_PG,其中這些電晶體包括主動區214至215的各個部分和形成在主動區214至215上方的閘極結構221和224。在一些實施例中,主動區214至215可以是相同主動區的部分。在原始佈局設計中,各個主動區210至215可以具有在Y方向上測量的大抵相同的寬度510。換句話說,主動區210至215中的每一者可以與其他主動區210至215中的任何一者約一樣寬。在其他實施例中,讀取埠口200B的電晶體R_PD和R_PG可以具有與原始IC佈局設計500中的寫入埠口200A的電晶體PG-1、PG-2、PD-1、PD-2、PU-1和PU-2稍有不同的寬度。
在一些實施例中,晶片廠(chip foundry)可以接收原始IC佈局設計500,並且晶片廠可以修改原始IC佈局設計500以產生第13圖所示的修改IC佈局設計600。可以藉由對主動區214執行加寬製程610(在Y方向上)來產生修改IC佈局設計600,使得加寬的主動區214可以達到寬度252,其比寬度251更寬並且比寬度253或254更寬。也可以藉由對主動區211和主動區212執行縮小製程620(在Y方向上)來產生修改佈局設計600,使得縮小的主動區211至212可以各自達到寬度255,其比寬度253或254更窄。在一些實施例中,主動區215也可以在Y方向上加寬,儘管沒有主動區214那麼多。在一些實施例中,主動區213和210的寬度在修改IC佈局設計600中可以保持與它們在原始IC佈局設計500中的寬度大底相同。換句話說,第12圖所示的寬度253至254可以大抵等於第13圖所示的寬度510。
此後,可以使用修改IC佈局設計600來製造IC製造(例如:雙埠口SRAM裝置)。如上面所述,此處的各個主動區的尺寸調整可以幫助優化雙埠口SRAM裝置的效能,例如藉由增加讀取及/或寫入速度同時減少寄生電容或漏電。
第14圖顯示了根據本揭露實施例之積體電路製造系統900。積體電路製造系統900包括藉由通訊網路918連接的複數實體(entity)902、904、906、908、910、912、914、916、…、N。通訊網路918可以是單一網路,或者可以是各種不同網路(例如內部網路和網際網路),並且可包括有線和無線通訊通道。
在一個實施例中,實體902代表用於製造協作(manufacturing collaboration)的伺服器系統;實體904代表使用者,例如監測感興趣產品(interested product)的產品工程師;實體906代表工程師,例如控制製程和相關配方(recipe)的製程工程師,或監測或調整製程工具的條件和設定的設備工程師;實體908代表用於IC測試和測量的量測工具;實體910代表半導體製程工具,例如用於執行微影製程的極紫外光(Extreme Ultraviolet;EUV)工具以定義SRAM裝置的閘極間隔物;實體912代表與實體910相關的虛擬量測模組;實體914代表與實體910以及額外的其他製程工具相關的先進製程控制模組;以及實體916代表與實體910相關的取樣模組(sampling module)。
每一個實體可以與其他實體互相交流(interact),並且可提供積體電路製程、製程控制及/或計算能力至其他實體及/或從其他實體接收這種能力。每一個實體還可包括一或多個用於執行計算和執行自動化的電腦系統。舉例來說,實體914的先進製程控制模組可包括其中具有編碼的軟體指令的複數電腦硬體。電腦硬體可包括硬碟(hard drive)、隨身碟(flash drive)、唯讀記憶光碟(Compact Disc Read-Only Memory;CD-ROM)、隨機存取記憶體(Random Access Memory;RAM)、顯示裝置(例如:螢幕)、輸入/輸出裝置(例如:滑鼠和鍵盤)。可以用任何合適程式語言來編寫軟體指令,並且可以將軟體指令設計為執行特定任務。
積體電路製造系統900使得實體之間能夠互相交流,以用於積體電路(IC)製造以及IC製造的先進製程控制。在一個實施例中,先進製程控制包括根據測量結果調整可應用於相關晶圓的一個製程工具的製程條件、設定及/或配方。
在另一個實施例中,量測結果係根據基於製程品質及/或產品品質所確定的最佳取樣率,從處理過的晶圓的子集測量得出。在又一個實施例中,量測結果係根據基於製程品質及/或產品品質的各種特性(characteristic)所確定的最佳取樣場/點(optimal sampling field/point),從處理過的晶圓的子集的選定場和點測量得出。
IC製造系統900所提供的能力之一可以將協作和資訊能夠存取在如設計、工程和製程、量測和先進製程控制的這些領域中。IC製造系統900所提供的另一種能力可以在設備之間(例如在量測工具和製程工具之間)整合系統。這種整合使設備能夠協調其活動。舉例來說,整合量測工具和製程工具可以使製造資訊能夠更有效地合併到製程或先進製程控制(advanced processing control;APC)模組中,並且可以使來自線上(online)或以量測工具現場測量的晶圓資料整合在相關製程工具中。
第15圖是顯示製造半導體裝置的方法1000的流程圖。方法1000包括接收靜態隨機存取記憶體(SRAM)單元的佈局設計的操作1010。佈局設計包括:讀取埠口傳輸閘(R_PG)電晶體,讀取埠口下拉(R_PD)電晶體,寫入埠口傳輸閘(write-port pass-gate;W_PG)電晶體,寫入埠口下拉(write-port pull-down;W_PD)電晶體,以及寫入埠口上拉(write-port pull-up;W_PU)電晶體。
方法1000包括操作1020以藉由相對於R_PG電晶體的主動區和相對於W_PD電晶體的主動區加寬R_PD電晶體的主動區,並且藉由相對於W_PG電晶體的主動區縮小W_PU電晶體的主動區,來至少部分地修改佈局設計。
方法1000包括操作1030以基於修改的佈局設計製造積體電路(IC)裝置。在一些實施例中,製造IC裝置包括使用全繞式閘極(GAA)裝置實現R_PG電晶體、R_PD電晶體、W_PG電晶體、W_PD電晶體和W_PU電晶體。
應理解可以在操作1010至1030之前、期間或之後執行額外操作。為了簡單起見,此處不詳細討論這些額外操作。
總而言之,本揭露涉及實現具有全繞式閘極(GAA)電晶體的雙埠口SRAM裝置,並且為不同的電晶體使用不同的通道寬度。舉例來說,SRAM裝置的讀取埠口的下拉電晶體可以被配置以具有比讀取埠口的傳輸閘電晶體更大的通道寬度。SRAM裝置的讀取埠口的下拉電晶體也可以被配置以具有比SRAM裝置的寫入埠口的下拉電晶體更大的通道寬度。寫入埠口的上拉電晶體可以被配置以具有比寫入埠口的下拉電晶體和傳輸閘電晶體更小的通道寬度。本揭露還為SRAM裝置的一些不同電晶體不同地配置臨界電壓設置。舉例來說,讀取埠口的下拉電晶體的臨界電壓可以被配置以低於讀取埠口的下拉電晶體的臨界電壓。
本揭露的這些配置提供優於習知裝置的優點。然而,應理解不需要特定的優點,其他實施例可以提供不同的優點,並且並非所有優點都必須在此處揭露。一個優點是透過GAA裝置實現在通道寬度調整方面的靈活性。與平面電晶體或FinFET電晶體相比,GAA裝置還提供更低的待機漏電和更好的擺幅效能(swing performance)。另一個優點是更快的速度和減少的漏電。舉例來說,藉由將讀取埠口的下拉電晶體的通道寬度配置為大於讀取埠口的傳輸閘電晶體的通道寬度,可以提高SRAM的讀取速度,同時減少漏電。又舉例來說,藉由將讀取埠口的下拉電晶體的通道寬度配置為大於寫入埠口的下拉電晶體的通道寬度,還可以提高SRAM的寫入速度,同時減少漏電或寄生電容。寫入埠口和讀取埠口的下拉電晶體之間不同配置的臨界電壓設置進一步提高了SRAM的速度,同時減少了漏電。其他優點可能包括易於製造和與現有製程的相容性。
上面所述的先進微影製程、方法和材料可以用於許多應用,包括鰭式場效電晶體(FinFET)。舉例來說,鰭片可以被圖案化以在特徵之間產生相對緊密的間距,上述揭露非常適合於此。此外,用於形成FinFET的鰭片的間隔物(也稱為心軸)可以根據上述揭露進行處理。還應理解上面討論的本揭露的各個方面可以應用於多通道裝置,例如全繞式閘極(GAA)裝置。在本揭露涉及鰭片結構或FinFET裝置的範圍內,這樣的討論可以同樣應用於GAA裝置。
本揭露的一個方面涉及一種半導體裝置。半導體裝置包括靜態隨機存取記憶體(SRAM)單元的讀取埠口的第一電晶體。第一電晶體具有第一通道寬度。半導體裝置包括SRAM單元的讀取埠口的第二電晶體。第二電晶體具有不同於第一通道寬度的第二通道寬度。
在一些實施例中,第一電晶體是SRAM單元的讀取埠口的傳輸閘(PG)電晶體,第二電晶體是SRAM單元的讀取埠口的下拉(PD)電晶體,並且第一通道寬度小於第二通道寬度。
在一些實施例中,第一電晶體具有第一主動區,第二電晶體具有第二主動區,並且第一主動區接壤第二主動區。
在一些實施例中,半導體裝置更包括:SRAM單元的讀取埠口的第三電晶體,其中第三電晶體具有第三通道寬度;SRAM單元的讀取埠口的第四電晶體,其中第四電晶體具有第四通道寬度,第四通道寬度小於第二通道寬度;以及SRAM單元的讀取埠口的第五電晶體,其中第五電晶體具有第五通道寬度,第五通道寬度小於第三通道寬度。
在一些實施例中,第三通道寬度大抵等於第四通道寬度。
在一些實施例中,第三電晶體是SRAM單元的寫入埠口的傳輸閘(PG)電晶體,第四電晶體是SRAM單元的寫入埠口的下拉(PD)電晶體、以及第五電晶體是SRAM單元的寫入埠口的上拉(PU)電晶體。
在一些實施例中,第二電晶體、第四電晶體和第五電晶體透過連續閘極結構彼此耦接。
在一些實施例中,第四電晶體包括第一閘極電極,第一閘極電極包含第一功函數(WF)金屬;第二電晶體包括第二閘極電極,第二閘極電極包含第二WF金屬;以及第一WF金屬不同於第二WF金屬。
在一些實施例中,第一WF金屬比第二WF金屬更厚。
在一些實施例中,第四電晶體包括第一閘極介電質;第二電晶體包括第二閘極介電質;以及第二閘極介電質,但不是第一閘極介電質,被摻雜有鑭摻雜物。
在一些實施例中,第一電晶體、第二電晶體、第三電晶體、第四電晶體和第五電晶體是多通道全繞式閘極(GAA)裝置。
本揭露的另一方面涉及一種半導體裝置。半導體裝置包括靜態隨機存取記憶體(SRAM)單元。SRAM單元的讀取埠口包括讀取埠口傳輸閘(R_PG)電晶體和讀取埠口下拉(R_PD)電晶體。SRAM單元的寫入埠口包括至少一寫入埠口傳輸閘(W_PG)電晶體、寫入埠口下拉(W_PD)電晶體和寫入埠口上拉(W_PU)電晶體。R_PG電晶體、R_PD電晶體、W_PG電晶體、W_PD電晶體和W_PU電晶體是全繞式閘極(GAA)電晶體。R_PG電晶體具有第一通道寬度。R_PD電晶體具有第二通道寬度。W_PG電晶體具有第三通道寬度。W_PD電晶體具有第四通道寬度。W_PU電晶體具有第五通道寬度。第一通道寬度和第四通道寬度各自小於第二通道寬度。第三通道寬度大於第五通道寬度。
在一些實施例中,R_PD電晶體、W_PD電晶體和W_PU電晶體透過連續閘極結構電性耦接在一起。
在一些實施例中,R_PG電晶體的第一主動區接壤R_PD電晶體的第二主動區。
在一些實施例中,R_PD電晶體和W_PD電晶體具有不同的功函數(WF)金屬。
在一些實施例中,R_PD電晶體的閘極介電質摻雜有鑭;以及W_PD電晶體的閘極介電質不摻雜鑭。
本揭露的又一方面涉及一種半導體裝置之製造方法。根據該方法,接收靜態隨機存取記憶體(SRAM)單元的佈局設計。佈局設計包括:讀取埠口傳輸閘(R_PG)電晶體,讀取埠口下拉(R_PD)電晶體,寫入埠口傳輸閘(W_PG)電晶體,寫入埠口下拉(W_PD)電晶體,以及寫入埠口上拉(W_PU)電晶體。藉由相對於R_PG電晶體的主動區和相對於W_PD電晶體的主動區加寬R_PD電晶體的主動區來至少部分地修改佈局設計。
在一些實施例中,半導體裝置之製造方法更包括:基於修改的佈局設計製造積體電路(IC)裝置。
在一些實施例中,製造IC裝置的操作包括用複數全繞式閘極(GAA)裝置實現R_PG電晶體、R_PD電晶體、W_PG電晶體、W_PD電晶體和W_PU電晶體。
在一些實施例中,修改佈局設計的操作更包括相對於W_PG電晶體主動區縮小W_PU電晶體的主動區。
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更佳地了解本揭露。本技術領域中具有通常知識者應可理解,且可輕易地以本揭露為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本揭露的發明精神與範圍。在不背離本揭露的發明精神與範圍之前提下,可對本揭露進行各種改變、置換或修改。舉例來說,藉由對位元線導體和字元線導體實施不同的厚度,可以實現導體的不同電阻。 然而,也可以使用其他技術來改變金屬導體的電阻。
90:積體電路裝置 110:基板 120:主動區/鰭片結構 122:源極/汲極特徵 130:隔離結構 140:閘極結構 150:全繞式閘極裝置 155:罩幕 160:閘極間隔物 165:覆蓋層 170:奈米結構 175:介電內部間隔物 180:源極/汲極接點 185:層間介電質 200:雙埠口靜態隨機存取記憶體單元、靜態隨機存取記憶體單元 PU-1:上拉電晶體、電晶體 PU-2:上拉電晶體、電晶體 PD-1:下拉電晶體、電晶體 PD-2:下拉電晶體、電晶體 PG-1:傳輸閘電晶體、電晶體 PG-2:傳輸閘電晶體、電晶體 SN1:第一儲存節點 SNB1:互補第一儲存節點 Vdd:電源電壓 Vss:電壓 W_BL:位元線 W_BLB:互補位元線 W_WL:字元線 R_PG:讀取埠口傳輸閘電晶體 R_PD:讀取埠口下拉電晶體 R_WL:字元線 R_BL:位元線 200A:寫入埠口 200B:讀取埠口 110A:P井 110B:N井 210~215:主動區 220~224:閘極結構 230:邊界 251~255:寬度、通道寬度 301:閘極通孔 302:閘極通孔 303:閘極通孔 311~318:源極/汲極通孔 331:接點 332:接點 333:接點 334:接點 335:接點 336:接點、冗餘接點 337:接點 338:接點 339:接點 340:接點 351:對接接點 352:對接接點 380~389:金屬線 A-A’:切割線 B-B’:切割線 C-C’:切割線 D-D’:切割線 E-E’:切割線 F-F’:切割線 G-G’:切割線 210A~210C,211A~211C,212A~212C,213A~213C,215A~215C:奈米結構 400:介電隔離結構 410:層間介電質 420:閘極頂部介電層 222A:閘極結構 222B:閘極結構 221A:閘極結構 221B:閘極結構 221C:閘極結構 210E:源極/汲極元件 211E:源極/汲極元件 212E:源極/汲極元件 213E:源極/汲極元件 214E:源極/汲極元件 215E:源極/汲極元件 441C:閘極電極部件 451C:閘極介電部件 444:閘極電極部件 454:閘極介電部件 470:頂部閘極間隔物 480:內部間隔物 441B:閘極電極部件 451B:閘極介電部件 443:閘極電極部件 453:閘極介電部件 441A:閘極電極部件 451A:閘極介電部件 442:閘極電極部件 452:閘極介電部件 500:原始佈局設計、原始積體電路佈局設計 600:修改佈局設計、修改積體電路佈局設計 510:寬度 610:加寬製程 620:縮小製程 900:積體電路製造系統 902~916:實體 918:通訊網路 N:實體 1000:方法 1010:操作 1020:操作 1030:操作
本揭露實施例可透過閱讀以下之詳細說明以及範例並配合相應之圖式以更詳細地了解。需要注意的是,依照業界之標準操作,各種特徵部件並未依照比例繪製。事實上,為了清楚論述,各種特徵部件之尺寸可以任意地增加或減少。還要注意的是,所附的圖式僅顯示了本揭露的典型實施例,因此不應認為是對範圍的限制,因為本揭露可以同樣適用於其他實施例。 第1A圖顯示了FinFET裝置的三維示意圖。 第1B圖顯示了FinFET裝置的俯視圖。 第1C圖顯示了多通道全繞式閘極(GAA)裝置的三維示意圖。 第2圖根據本揭露的一個實施例顯示了用於兩埠口SRAM單元的電路示意圖。 第3圖和第4圖根據本揭露的一個實施例顯示了兩埠口SRAM單元的俯視圖。 第5圖、第6圖、第7圖、第8圖、第9圖、第10圖、以及第11圖根據本揭露的一個實施例顯示了兩埠口SRAM單元的剖面圖。 第12圖根據本揭露的一個實施例顯示了原始IC佈局設計的俯視圖。 第13圖根據本揭露的一個實施例顯示了修改後的IC佈局設計的俯視圖。 第14圖根據本揭露的一個實施例顯示了積體電路製造系統。 第15圖根據本揭露的一個實施例顯示了製造半導體裝置的方法的流程圖。
PU-1:上拉電晶體、電晶體
PU-2:上拉電晶體、電晶體
PD-1:下拉電晶體、電晶體
PD-2:下拉電晶體、電晶體
PG-1:傳輸閘電晶體、電晶體
PG-2:傳輸閘電晶體、電晶體
R_PG:讀取埠口傳輸閘電晶體
R_PD:讀取埠口下拉電晶體
200A:寫入埠口
200B:讀取埠口
110A:P井
110B:N井
210~215:主動區
220~224:閘極結構
230:邊界
251~255:寬度、通道寬度
A-A’:切割線
B-B’:切割線
C-C’:切割線
D-D’:切割線
E-E’:切割線
F-F’:切割線
G-G’:切割線

Claims (1)

  1. 一種半導體裝置,包括: 一靜態隨機存取記憶體(SRAM)單元的一讀取埠口的一第一電晶體,其中上述第一電晶體具有一第一通道寬度;以及 上述SRAM單元的上述讀取埠口的一第二電晶體,其中上述第二電晶體具有一第二通道寬度,上述第二通道寬度不同於上述第一通道寬度。
TW111114808A 2021-07-15 2022-04-19 半導體裝置 TW202306052A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/377,175 2021-07-15
US17/377,175 US11682450B2 (en) 2021-07-15 2021-07-15 SRAM performance optimization via transistor width and threshold voltage tuning

Publications (1)

Publication Number Publication Date
TW202306052A true TW202306052A (zh) 2023-02-01

Family

ID=84061048

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111114808A TW202306052A (zh) 2021-07-15 2022-04-19 半導體裝置

Country Status (3)

Country Link
US (2) US11682450B2 (zh)
CN (1) CN115377109A (zh)
TW (1) TW202306052A (zh)

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6920061B2 (en) * 2003-08-27 2005-07-19 International Business Machines Corporation Loadless NMOS four transistor dynamic dual Vt SRAM cell
US7605447B2 (en) * 2005-09-22 2009-10-20 International Business Machines Corporation Highly manufacturable SRAM cells in substrates with hybrid crystal orientation
JP2007213699A (ja) * 2006-02-09 2007-08-23 Toshiba Corp 半導体記憶装置
US7440356B2 (en) * 2006-07-13 2008-10-21 Lsi Corporation Modular design of multiport memory bitcells
US8144540B2 (en) 2009-04-14 2012-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Two-port 8T SRAM design
US8009462B2 (en) * 2009-07-08 2011-08-30 National Central University SRAM architecture
US8296698B2 (en) * 2010-02-25 2012-10-23 Taiwan Semiconductor Manufacturing Co., Ltd. High-speed SRAM
US8687437B2 (en) 2010-11-30 2014-04-01 Taiwan Semiconductor Manufacturing Company, Ltd. Write assist circuitry
US8630132B2 (en) 2011-05-31 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM read and write assist apparatus
US8693235B2 (en) 2011-12-06 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for finFET SRAM arrays in integrated circuits
US8605523B2 (en) 2012-02-17 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Tracking capacitive loads
US8863064B1 (en) * 2012-03-23 2014-10-14 Suvolta, Inc. SRAM cell layout structure and devices therefrom
US8964492B2 (en) 2012-07-27 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Tracking mechanism for writing to a memory cell
US8921197B2 (en) * 2012-09-14 2014-12-30 GlobalFoundries, Inc. Integrated circuits with SRAM cells having additional read stacks and methods for their fabrication
US8760948B2 (en) 2012-09-26 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple bitcells tracking scheme semiconductor memory array
US8773924B2 (en) * 2012-12-05 2014-07-08 Lsi Corporation Read assist scheme for reducing read access time in a memory
US9276083B2 (en) * 2012-12-14 2016-03-01 Altera Corporation Memory elements with stacked pull-up devices
US8982643B2 (en) 2012-12-20 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Shared tracking circuit
US9324413B2 (en) 2013-02-15 2016-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Write assist circuit, memory device and method
US8929160B2 (en) 2013-02-28 2015-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Tracking circuit
US9254998B2 (en) 2013-03-11 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS device with a capping substrate
US9117510B2 (en) 2013-03-14 2015-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Circuit for memory write data operation
US9024392B2 (en) 2013-07-03 2015-05-05 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-port SRAM manufacturing
US20150078103A1 (en) * 2013-09-13 2015-03-19 Lsi Corporation Sensing technique for single-ended bit line memory architectures
TWI518684B (en) * 2014-10-16 2016-01-21 6t sram cell
US9754660B2 (en) * 2015-11-19 2017-09-05 Samsung Electronics Co., Ltd. Semiconductor device
CN110010169B (zh) * 2018-01-04 2022-03-29 联华电子股份有限公司 双端口静态随机存取存储器单元
US10916550B2 (en) * 2018-10-30 2021-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Memory devices with gate all around transistors
US11087831B2 (en) * 2019-08-22 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around memory devices
US11758707B2 (en) * 2019-12-19 2023-09-12 Stmicroelectronics International N.V. SRAM cell layout including arrangement of multiple active regions and multiple gate regions
US11158632B1 (en) * 2020-04-01 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd Fin-based strap cell structure for improving memory performance

Also Published As

Publication number Publication date
CN115377109A (zh) 2022-11-22
US20230017584A1 (en) 2023-01-19
US20230326519A1 (en) 2023-10-12
US11682450B2 (en) 2023-06-20

Similar Documents

Publication Publication Date Title
JP5345092B2 (ja) スタティックランダムアクセスメモリ(sram)セルとその製造方法
US9502419B2 (en) Structure for FinFETs
TWI692813B (zh) 半導體裝置的製造方法
KR102245977B1 (ko) 불연속적인 pmos 핀 라인들을 갖는 finfet sram
US11469238B2 (en) Non-interleaving N-well and P-well pickup region design for IC devices
TWI777424B (zh) 半導體裝置及其形成方法
KR20160123031A (ko) 반도체 장치 및 그 제조 방법
US20230361174A1 (en) Gate air spacer protection during source/drain via hole etching
US11990525B2 (en) Isolation structure for isolating epitaxially grown source/drain regions and method of fabrication thereof
US11749683B2 (en) Isolation structure for preventing unintentional merging of epitaxially grown source/drain
TW202306052A (zh) 半導體裝置
US11121078B2 (en) SRAM having irregularly shaped metal lines
CN114792657A (zh) 半导体装置
CN220914236U (zh) 半导体装置
US12035518B2 (en) Non-interleaving N-well and P-well pickup region design for IC devices
TW202416385A (zh) 半導體裝置及其製造方法
CN221057430U (zh) 半导体结构
US20230056694A1 (en) Revising IC Layout Design to Eliminate Gaps Between Isolation Structures
CN113314536A (zh) 半导体器件和制造半导体器件的方法
CN113745166A (zh) 半导体器件和制造半导体结构的方法