TW202305949A - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TW202305949A
TW202305949A TW111114414A TW111114414A TW202305949A TW 202305949 A TW202305949 A TW 202305949A TW 111114414 A TW111114414 A TW 111114414A TW 111114414 A TW111114414 A TW 111114414A TW 202305949 A TW202305949 A TW 202305949A
Authority
TW
Taiwan
Prior art keywords
layer
opening
source
drain contact
hard mask
Prior art date
Application number
TW111114414A
Other languages
English (en)
Other versions
TWI835119B (zh
Inventor
林侃儒
張阡
周志勳
張岱民
陳誼寧
黃鴻儀
張志維
蔡明興
黃麟淯
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202305949A publication Critical patent/TW202305949A/zh
Application granted granted Critical
Publication of TWI835119B publication Critical patent/TWI835119B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本揭露提供半導體裝置以及製造方法。在一些實施例中,該方法包含沉積蝕刻停止層在第一硬遮罩材料之上,第一硬遮罩材料在閘極堆疊之上,沉積層間介電質在蝕刻停止層之上,形成第一開口,通過層間介電質、蝕刻停止層以及第一硬遮罩材料,第一開口暴露閘極堆疊的導電部分,以及用第一摻雜物處理第一開口的側壁,以形成層間介電質內的第一處理區、蝕刻停止層內的第二處理區、第一硬遮罩材料內的第三處理區以及導電部分內的第四處理區,其中在處理之後,第四處理區的第一摻雜物的濃度高於第一處理區。

Description

半導體裝置以及製造方法
本發明實施例係關於一種半導體裝置及其製造方法。
半導體裝置被用於各種電子應用,諸如個人電腦、手機、數位相機以及其他電子設備。半導體裝置係通常藉由在半導體基板之上依次沉積絕緣或介電層、導電層及半導體材料層所製造,並使用微影技術而圖案化各種材料層,以在其上形成電路組件及元件。
半導體工業繼續藉由不斷減少最小特徵尺寸來提高各種電子組件(例如電晶體、二極體、電阻器、電容器等)的積體密度(integration density),這使得更多的組件可被整合到一個給定區域。然而,隨著最小特徵尺寸的減少,又出現了額外的應解決問題。
本揭露關於一種製造半導體裝置的方法,該方法包含:沉積蝕刻停止層在第一硬遮罩材料之上,第一硬遮罩材料在閘極堆疊之上;沉積層間介電質在蝕刻停止層之上;形成第一開口,通過層間介電質、蝕刻停止層以及第一硬遮罩材料,第一開口暴露閘極堆疊的導電部分;以及用第一摻雜物處理第一開口的側壁,以形成層間介電質內的第一處理區、蝕刻停止層內的第一二處理區、第一硬遮罩材料內的第三處理區以及導電部分內的第四處理區,其中在處理之後,第四處理區的第一摻雜物的濃度高於第一處理區。
本揭露另關於一種製造半導體裝置的方法,該方法包含:形成第一開口,通過介電質層、接觸蝕刻停止層以及第一硬遮罩材料,以暴露閘極堆疊的導電部分;用來自含氮前驅物的第一電漿處理第一開口的側壁;用第一導電材料填充第一開口;形成第二開口,通過介電質層以及接觸蝕刻停止層,以暴露第一源極/汲極接點;用第二電漿處理第二開口的側壁;以及用第二導電材料填充第二開口。
本揭露還關於一種半導體裝置,包含:閘極堆疊,在半導體鰭片之上;第一硬遮罩材料,覆蓋在閘極堆疊上,第一硬遮罩材料包含第一處理區;蝕刻停止層,覆蓋在第一硬遮罩材料上,蝕刻停止層包含第二處理區;介電質層,覆蓋在蝕刻停止層上,介電質層包含第三處理區;以及導電材料,延伸通過以及實體接觸第一處理區、第二處理區以及第三處理區,其中導電材料係亦與位在閘極堆疊內的第四處理區實體接觸。
如下的揭露提供許多不同實施例,或示範例,用於實現所提供主題的不同特徵。為簡化本揭露,下文描述組件及配置的具體示範例。當然,這些組件以及配置僅為示範例以及不意以為限制。舉例而言,在接下來的描述中,第一特徵在第二特徵之上或上的形成可包含直接接觸地形成第一特徵以及第二特徵的實施例,以及亦可包含附加特徵可形成於第一特徵與第二特徵之間,使得第一特徵與第二特徵可不直接接觸的實施例。此外,本揭露可能會在各種示範例中重複元件符號及/或符號。這樣的重複是為了簡單明瞭,其本身並不決定所討論的各種實施例及/或組構之間的關係。
再者,為便於描述,可在本揭露中使用諸如「在…下面」、「在…下方」、「下」、「在…上方」、「上」及類似者之空間相對術語來描述一個元件或特徵與另一(些)元件或特徵之關係,如圖式中繪示。空間相對術語旨在涵蓋除在圖式中描繪之定向以外之使用或操作中之裝置之不同定向。設備可以其他方式定向(旋轉90度或按其他定向)且本揭露中使用之空間相對描述符同樣可相應地解釋。
現在就特定的示例來描述實施例,包含具有假性自下而上插塞製程(pseudo bottom-up plug process)的finFET裝置,其作用是隨著裝置尺寸的減小而實現擴展。然而,實施例並不局限於本文提供的例子,且這些想法可在廣泛的實施例中實現,諸如奈米線裝置、奈米片裝置或絕緣體上矽結構。
現參考圖1A,其說明半導體裝置100的透視圖,諸如一finFET裝置。在一實施例中,半導體裝置100包含基板101及第一溝槽103。基板101可為矽基板,儘管可為其他基板,諸絕緣體上半導體(SOI)、應變SOI及絕緣體上矽鍺。基板101可為p型半導體,儘管在其他實施例中,其可為n型半導體。
在其他實施例中,基板101可選擇為提升由基板101形成的裝置的性能(例如提升載子移動率)的材料。舉例而言,在一些實施例中,基板101的材料可選擇為磊晶生長的半導體材料層,諸如磊晶生長的矽鍺,這有助於提升由磊晶生長的矽鍺形成的裝置的一些性能測量。然而,雖然使用這些材料可能提升裝置的一些性能特徵,但使用這些相同的材料可能會影響裝置的其他性能特徵。舉例而言,使用磊晶生長的矽鍺可能會降低(相對於矽而言)裝置的介面缺陷。
第一溝槽103可做為最終形成第一隔離區105的初始步驟而形成。第一溝槽103可使用遮罩層(在圖1A中未單獨說明)與適合的蝕刻製程一起形成。舉例而言,遮罩層可為一硬遮罩,包含藉由化學氣相沉積(CVD)等製程形成的氮化矽,儘管可利用其他材料,諸如氧化物、氮氧化物、碳化矽、這些材料的組合或類似材料,以及其他製程,諸如電漿增強化學氣相沉積(PECVD)、低壓化學氣相沉積(LPCVD),或甚至在氮化之後形成氧化矽。一旦形成,可通過適合的光微影製程對遮罩層圖案化,以暴露基板101的那些可被移除以形成第一溝槽103的部分。
然而,正如本技術領域具有通常知識者所知,上述用於形成遮罩層的製程及材料並不是可用於保護基板101的部分同時暴露基板101的其他部分以形成第一溝槽103的唯一方法。可利用任何適合的製程,諸如圖案化及顯影的光刻膠,來暴露基板101的部分,以去除形成第一溝槽103的部分。所有這樣的方法都可完全包含在本實施例的範圍內。
一旦遮罩層形成且圖案化,第一溝槽103係形成在基板101中。被暴露的基板101可通過適合的製程,諸如反應性離子蝕刻(RIE)來移除,以便在基板101中形成第一溝槽103,儘管可使用任何適合的製程。在一實施例中,第一溝槽103可形成以具有從基板101的表面起不到約5,000埃(Å)的第一深度,諸如約2,500 Å。
然而,正如本技術領域具有通常知識者所知,上述形成第一溝槽103的製程僅僅是一種可能的製程,並不意味著是唯一的實施例。相反地,可利用任何適合的製程來形成第一溝槽103,並且可使用任何適合的製程,包含任何數量的遮蔽及移除步驟。
除了形成第一溝槽103外,遮蔽及蝕刻製程還從基板101的那些未被移除的部分形成鰭片107。為方便起見,圖中已將鰭片107示意為藉由虛線而與基板101分開,儘管該分開的實體指示可能存在,也可能不存在。如下文所述,這些鰭片107可用於形成多閘極FinFET電晶體的通道區。雖然圖1A只說明從基板101形成的三個鰭片107,但可使用任何數量的鰭片107。
鰭片107可形成使其在基板101的表面具有約5奈米(nm)至約80nm之間的寬度,諸如約30nm。此外,鰭片107可彼此間隔約10nm至約100nm之間的距離,諸如約50nm。藉由這樣的方式間隔鰭片107,鰭片107可各自形成一個單獨通道區,同時仍然足夠接近以共用一個共同閘極(下文進一步討論)。
此外,鰭片107可藉由任何適合的方法進行圖案化。舉例而言,可使用一或多種光微影製程而圖案化鰭片107,包含雙重圖案化(double-patterning)或多重圖案化(multi-patterning)製程。一般來說,雙重圖案或多重圖案製程結合了光微影以及自對準(self-aligned)製程,允許創造圖案,舉例而言,間距比使用一單一直接光微影製程可獲得的要小。舉例而言,在一實施例中,一犧牲層形成係在基板之上,且使用光微影製程以圖案化。使用自對準製程在經圖案化的犧牲層旁邊形成間隔物。接著移除犧牲層,剩餘的間隔物可用於圖案化鰭片107。
一旦形成第一溝槽103以及鰭片107,第一溝槽103可用介電質材料填充,介電質材料可在第一溝槽103內凹陷(recessing)以形成第一隔離區105。介電質材料可為氧化物材料、高密度電漿(HDP)氧化物或類似材料。在對第一溝槽103的可選清潔(cleaning)以及加襯(lining)後,可使用化學氣相沉積(CVD)方法(例如HARP製程)、高密度電漿CVD方法或本領域已知的其他適合的形成方法來形成介電質材料。
第一溝槽103可藉由用介電質材料過度填充(overfilling)第一溝槽103以及基板101而被填充,接著通過一個適合的製程,諸如化學機械拋光(CMP)、蝕刻、這些製程的組合或類似的製程,移除第一溝槽103以及鰭片107外的過多材料。在一實施例中,移除製程亦會移除位於鰭片107之上的任何介電質材料,因此,移除介電質材料可使鰭片107的表面暴露在進一步的製程步驟中。
一旦第一溝槽103被填充介電質材料,介電質材料就可遠離鰭片107的表面而凹陷。凹陷過程可被執行以暴露與鰭片107的頂部表面相鄰的鰭片107的側壁的至少一部分。介電質材料可藉由將鰭片107的頂部表面浸漬入(dipping)一蝕刻劑(諸如HF)以使用一濕式蝕刻而凹陷,儘管也可使用其他蝕刻劑(如H 2)以及其他方法,諸如活性離子蝕刻、使用蝕刻劑(諸如NH 3/NF 3)的乾式蝕刻、化學氧化物移除、或乾式化學清洗。介電質材料可凹陷到與鰭片107表面的距離在約50 Å以及約500 Å之間,諸如約400 Å。另外,凹陷過程亦可移除鰭片107之上的任何殘餘的介電質材料,確保能暴露鰭片107以用於進一步製程。
然而,正如本技術領域具有通常知識者所知,上述步驟可能只是用於填充以及凹陷介電質材料的整體製程流程的一部分。舉例而言,亦可利用加襯步驟、清潔步驟、退火步驟、間隙填充步驟、這些步驟的組合或類似步驟以形成並用介電質材料填充第一溝槽103。所有可能的製程步驟都可完全打算包含在本實施例的範圍內。
在第一隔離區105形成之後,可在鰭片107中之每一者之上形成假性閘極介電質(圖1A至圖1B中未示出)、假性閘極介電質之上的假性閘極電極(圖1A至圖1B中也未示出)以及間隔物113。在一實施例中,假性閘極介電質可藉由熱氧化、化學氣相沉積、濺射或本領域內已知用於形成閘極介電質的任何其他方法形成。根據閘極介電質形成的技術,鰭片107頂部的假性閘極介電質厚度可能與鰭片107側壁的閘極介電質厚度不同。
假性閘極介電質可包含諸如二氧化矽或氮氧化矽的材料,其厚度範圍從約3 Å到約100 Å,諸如約10 Å。假性閘極介電質可由高介電常數(high-k)材料(例如大於約5的相對介電常數)形成,諸如氧化鑭(La 2O 3)、氧化鋁(Al 2O 3)、氧化鉿(HfO 2)、氮氧化鉿(HfON)或氧化鋯(ZrO 2),或其組合,其等效氧化物厚度在約0.5 Å至約100 Å之間,諸如約10 Å或更小。此外,二氧化矽、氮氧化矽及/或高K材料的任何組合也可用於假性閘極介電質。
假性閘極電極可包含導電或非導電材料,以及可選自包含多晶矽、W、Al、Cu、AlCu、W、Ti、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、Ta、TaN、Co、Ni、這些材料的組合或類似材料的群組。假性閘極可藉由化學氣相沉積(CVD)、濺射沉積或其他本領域內已知用於沉積導電材料的技術來沉積。假性閘極電極的厚度可在約5Å至約200Å的範圍。假性閘電極的頂部表面可有一個非平面的頂部表面,且可在假性閘電極的圖案化或閘極蝕刻之前被平面化。在這一點上,離子可或不可被引入假性閘極電極。舉例而言,可藉由離子植入技術來引入離子。
一旦形成,假性閘極介電質以及假性閘極電極可被圖案化,以在鰭片107之上形成一系列的假性堆疊。假性堆疊界定位於假性閘極介電質下面的鰭片107每一側的多通道區。假性堆疊可藉由在假性閘極電極上沉積以及圖案化一閘極遮罩(圖1A至圖1B中未單獨說明)來形成,舉例而言,使用本領域已知的沉積以及光微影技術。閘極遮罩可併入常用的遮罩以及犧牲材料,諸如(但不限於)氧化矽、氮氧化矽、SiCON、SiC、SiOC及/或氮化矽,以及可沉積成約5Å至約200Å之間的厚度。假性閘極電極以及假性閘極介電質可使用乾式蝕刻製程而蝕刻,以在假性堆疊中形成圖案。
一旦假性堆疊被圖案化就可形成間隔物113。間隔物113可形成在假性堆疊的相對側。間隔物113可藉由在先前形成的結構上毯覆式沉積(blanket depositing)一或多個間隔物層而形成。一或多個間隔物層可包含SiN、氮氧化物、SiC、SiON、SiOCN、SiOC、氧化物及類似物,且可藉由用於形成此種層的方法形成,諸如化學氣相沉積(CVD)、電漿增強CVD、濺射以及本領域已知的其他方法。在具有超過一個間隔物層的實施例中,一或多個間隔物層可使用類似的材料以類似的方式形成,但彼此不同,諸如包含具有不同成分百分比的材料,以及具有不同的固化溫度以及孔隙率。再者,一或多個間隔物層可包含具有不同蝕刻特性的不同材料或與第一隔離區105內的介電質材料相同的材料。接著,一或多個間隔物層可被圖案化,諸如藉由一或多個蝕刻來從該結構的水平表面移除一或多個間隔物層。因此,一或多個間隔物層係沿著假性堆疊的側壁形成以及被統稱為間隔物113。
在一實施例中,間隔物113可形成以具有約5Å以及約500Å之間的厚度。此外,一旦形成間隔物113,假性堆疊的相鄰堆疊的間隔物113可彼此分開,其距離在約5 nm以及約200 nm之間,諸如約20 nm。然而,可利用任何適合的厚度以及距離。
圖1A進一步說明從那些沒有被假性堆疊以及間隔物113保護的區域移除鰭片107,以及源極/汲極區109的再生長(regrowth)。從那些沒有被假性堆疊以及間隔物113保護的區域移除鰭片107可藉由使用假性堆疊以及間隔物113做為硬遮罩的反應性離子蝕刻(RIE),或藉由任何其他適合的移除製程來執行。移除製程可繼續進行,直到鰭片107與第一隔離區105的表面成平面(如圖所示)或在第一隔離區105的表面下方。
一旦鰭片107的這些部分被移除,一硬遮罩(未單獨說明)係被放置以及圖案化以覆蓋假性閘極電極以防止生長,以及源極/汲極區109可與鰭片107中的每一者接觸而再生長。在一實施例中,源極/汲極區109可再生長以及在一些實施例中,源極/汲極區109可再生長以形成一應力源(stressor),該應力源可對位在假性堆疊下面的鰭片107的通道區施予應力。在一實施例中,其中鰭片107包含矽,以及FinFET係一個p型裝置,源極/汲極區109可用該材料以通過選擇性的磊晶製程再生長,諸如矽或其他材料,諸如矽鍺,其具有不同於通道區的晶格常數。該磊晶生長製程可使用矽烷、二氯矽烷、鍺及類似物的前驅物,並可持續約5分鐘至約120分鐘之間,諸如約30分鐘。
在一實施例中,源極/汲極區109可形成以具有約5Å以及約1000Å之間的厚度以及約10Å以及約500Å之間的第一隔離區105之上的高度,諸如約200Å。在本實施例中,源極/汲極區109可形成以具有高於第一隔離區105的上表面上約5 nm至約250 nm之間的高度,諸如約100 nm。然而,任何適合的高度都可被利用。
一旦形成源極/汲極區109,可藉由植入適當的摻雜物來補充鰭片107中的摻雜物而將摻雜物植入源極/汲極區109。舉例而言,可植入p型摻雜物,諸如硼、鎵、銦或類似物,以形成一PMOS裝置。或者,可植入n型摻雜物,諸如磷、砷、銻或類似物,以形成一NMOS裝置。這些摻雜物可用假性堆疊以及間隔物113做為遮罩而植入。應注意的是,本技術領域具有通常知識者了解,許多其他的製程、步驟或類似方式可用來植入摻雜物。舉例而言,本技術領域具有通常知識者了解,可使用各種間隔物以及襯墊的組合而執行複數個植入製程,以形成具有適合特定目的的特定形狀或特徵的源極/汲極區。這些製程中的任何一種都可用來植入摻雜物,上述描述並不意味著將本發明的實施例限制在上述的步驟中。
此外,在這一點上,在形成源極/汲極區109的其間中,覆蓋該假性閘極電極的硬遮罩被移除。在一實施例中,可使用例如對硬遮罩材料有選擇性的濕式或乾式蝕刻製程以移除硬遮罩。然而,任何適合的去除製程都可被利用。
圖1A還說明在假性堆疊層以及源極/汲極區109之上形成第一層間介電質(interlayer dielectric;ILD)層111(在圖1A中以虛線表示,以便更清楚地說明底層結構)。第一ILD層111可包含諸如硼磷矽酸鹽玻璃(boron phosphorous silicate glass;BPSG)的材料,儘管可使用任何適合的介電質。第一ILD層111可使用諸如PECVD等製程形成,儘管也可使用其他替代製程,諸如LPCVD等。第一ILD層111可形成為厚度在約100Å以及約3000Å之間。一旦形成,第一ILD層111可使用諸如化學機械拋光製程等平面化製程與間隔物113進行平面化,儘管可使用任何適合的製程。
一旦形成第一ILD層111,假性閘極電極以及假性閘極介電質就被移除。在一實施例中,可使用例如一或多種濕式或乾式蝕刻製程去移除假性閘電極以及假性閘介電質,所用的蝕刻劑對假性閘電極以及假性閘介電質的材料具有選擇性。然而,也可利用任何適合的去除製程。
一旦移除假性閘極電極以及假性閘極介電質,複數個用於閘極堆疊的層就會沉積以取而代之,包含第一介電質材料、第一導電層、第一金屬材料、功函數層(work function layer)以及第一阻障層。在一實施例中,第一介電質材料係一種高介電材料,諸如HfO 2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、LaO、ZrO、Ta 2O 5、這些材料的組合或類似材料,通過原子層沉積、化學氣相沉積或類似的製程而沉積。第一介電質材料的沉積厚度可約在5Å以及約200Å之間,當然也可使用任何適合的材料以及厚度。
替代地,在形成第一介電質材料之前,可形成一介面層(interfacial layer)。在一實施例中,介面層可為一種材料,諸如通過臨場蒸汽生成(in situ steam generation;ISSG)等製程形成的二氧化矽。然而,任何適合的材料或形成製程都可被利用。
第一導電層可為一種金屬矽化物材料,諸如氮化鈦矽(TSN)。在一實施例中,第一導電層可使用沉積製程形成,諸如化學氣相沉積,儘管任何適合的沉積方法,諸如沉積及隨後的矽化,可利用約5Å至約30Å之間的厚度。然而,任何適合的厚度都可利用。
第一金屬材料可形成為與第一介電質材料相鄰,做為一阻障層,以及可由金屬材料形成,諸如TaN、Ti、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、Ru、Mo、WN、其他金屬氧化物、金屬氮化物、金屬矽酸鹽、過渡金屬氧化物、過渡金屬氮化物、過渡金屬矽酸鹽、金屬的氮氧化物、金屬鋁酸鹽、矽酸鋯、鋁酸鋯、這些金屬的組合或類似物。第一金屬材料可使用沉積製程,諸如原子層沉積、化學氣相沉積、濺射或類似製程,沉積一厚度在約5Å以及約200Å之間,儘管可使用任何適合的沉積製程或厚度。
功函數層係形成在第一金屬材料之上,功函數層的材料可根據所需裝置的類型來選擇。可包含的示例性p型功函數金屬包含Al、TiAlC、TiN、TaN、Ru、Mo、WN、ZrSi 2、MoS i2、TaSi 2、NiSi 2、WN、其他適合的p型功函數材料或其組合。可包含的示例性n型功函數金屬包含Ti、Ag、TaAl、TaAlC、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、其他適合的n型功函數材料,或其組合。功函數值係與功函數層的材料組成有關,因此,選擇功函數層的材料是為了調整其功函數值,以便在將在各區域形成的裝置中達成所想要的閾值電壓Vt。功函數層可藉由CVD、PVD及/或其他適合的製程沉積到約5Å以及約50Å之間的厚度。
第一阻障層可形成為與功函數層相鄰,在一個特定的實施例中,可類似於第一金屬材料。舉例而言,第一阻障層可由金屬材料形成,諸如TiN、Ti、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TaN、Ru、Mo、WN、其他金屬氧化物、金屬氮化物、金屬矽酸鹽、過渡金屬氧化物、過渡金屬氮化物、過渡金屬矽酸鹽、金屬的氮氧化物、金屬鋁酸鹽、矽酸鋯、鋁酸鋯、這些材料的組合,或類似物。此外,第一阻障層可使用沉積製程,諸如原子層沉積、化學氣相沉積、濺射或類似製程,沉積一厚度在約5Å以及約200Å之間,儘管可使用任何適合的沉積製程或厚度。
金屬層可為一種既適合用做晶種層(seed layer)以幫助後續填充製程的材料,也可為一種可用於幫助阻擋或減少氟原子向功函數層傳輸的材料。在一個特定的實施例中,金屬層可為結晶鎢(W),它是使用例如原子層沉積製程所形成,不含氟原子,儘管可利用任何適合的沉積製程。金屬層可形成以具有一厚度在約20 Å以及約50 Å之間,諸如約30 Å以及約40 Å之間。
一旦形成金屬層,沉積一填充材料以填充開口的剩餘部分。在一實施例中,填充材料可為一種材料,諸如Al、Cu、AlCu、W、Ti、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、Ta、TaN、Co、Ni、這些材料的組合或類似材料,厚度在約1000 Å以及約2000 Å之間,諸如約1500 Å。然而,可利用任何適合的材料。
在填充材料被沉積以填充以及過度填充該開口之後,第一介電質材料、第一導電層、第一金屬材料、功函數層、第一阻障層、金屬層以及填充材料的材料可被平面化以形成一閘極堆疊115。在一實施例中,材料可用例如一化學機械拋光製程以平面化第一ILD層111,儘管可利用任何適合的製程,諸如拋光或蝕刻。此外,在平面化之後,閘極堆疊115可有一底部寬度在約10 nm以及約13 nm之間,儘管可利用任何適合的尺寸。
圖1A至圖1B進一步說明閘極堆疊115的凹陷。在閘極堆疊115的材料已經形成以及平面化之後,閘極堆疊115的材料可使用回蝕製程進行凹陷,該製程利用對閘極堆疊115的材料有選擇性的蝕刻劑。回蝕製程可為濕式或乾式蝕刻製程,利用對閘極堆疊115的材料有選擇性的蝕刻劑。在一些實施例中,閘極堆疊115的材料可凹陷約5 nm以及約150 nm之間的第一距離,諸如約120 nm。然而,可利用任何適合的蝕刻製程以及使用任何適合的蝕刻劑以及任何適合的距離。此外,在回蝕製程期間,間隔物113的一部分也可在第一ILD層111的位準以下被移除。
一旦閘極堆疊115凹陷,可沉積一第一金屬層117以及一第一硬遮罩層119。一旦閘極堆疊115的材料凹陷,則沉積第一金屬層117(例如蓋層),以做為後續製程的蝕刻停止層(下文進一步描述)。在一實施例中,第一金屬層117係一金屬材料,諸如鎢(W)、鈷(Co)、鉬(Mo)、氮化鈦(TiN)、釕(Ru)、鋁(Al)、鋯(Zr)、金(Au)、鉑(Pt)、銅(Cu)、這些金屬材料的合金及其類似物,並使用例如原子層沉積製程而形成,該製程可選擇性地生長在閘極堆疊115的材料上,而不形成在其他經暴露表面上。第一金屬層117可形成為約1 nm以及約10 nm之間的厚度。然而,任何適合的材料、形成製程以及厚度都可被利用。
在一實施例中,第一硬遮罩層119是一種對用於形成閘極堆疊115、第一金屬層117、第一ILD層111以及間隔物113的其他材料具有高蝕刻選擇性的材料。在一個特定的實施例中,第一硬遮罩層119可為一種材料,諸如氧化鑭、氧化鋁、氧化鐿、鉭碳氮化物(tantalum carbon nitride)、(TaCN)、鋯矽(ZrSi)、氧碳氮化矽(SiOCN)、氧碳化矽(SiOC)、碳氮化矽(SiCN)、氮化鋯(ZrN)、氧化鉿(HfO)、氮化矽(SiN)、鉿矽(HfSi)、氮氧化鋁(AlON)、碳化矽(SiC)、這些材料的組合,或類似物,也可被利用。第一硬遮罩層119可使用沉積製程,諸如電漿增強原子層沉積(PEALD)、熱原子層沉積(熱ALD)、電漿增強化學氣相沉積(PECVD)而沉積。然而,任何適合的沉積製程以及製程條件都可被利用。
一旦沉積第一硬遮罩層119,第一硬遮罩層119可被平面化以移除過多的材料。在一實施例中,可使用例如化學機械拋光製程對第一硬遮罩層119執行平面化,從而利用蝕刻劑及研磨劑並連同旋轉壓板(platen),以反應及移除第一硬遮罩層119的過多材料。然而,任何適合的平面化製程都可用來平面化第一硬遮罩層119以及第一ILD層111。
一旦第一硬遮罩層119被平面化,第一硬遮罩層119可具有約1 nm以及約30 nm之間的第一基頂厚度(first roof thickness)T 1,且具有約1 nm以及約50 nm之間的第二底部部分厚度T 2。最後,第一硬遮罩層119可具有約2 nm以及約50 nm之間的第一寬度W 1。然而,可利用任何適合的厚度。
現參閱圖1B(說明圖1A中的結構的剖面圖,其具有附加閘極堆疊115以及沿著一單一鰭片107的源極/汲極區109),圖1B說明通過第一ILD層111形成的源極/汲極接點121,以接觸一些源極/汲極區109(沿著不同剖面形成類似接點至其他源極/汲極區)。在一實施例中,源極/汲極接點121可藉由使用例如遮罩以及蝕刻製程在第一ILD層111上初始形成開口來形成。一旦暴露源極/汲極區109,可在源極/汲極區109上形成一可選的矽化物接點(未單獨說明)。可選的矽化物接點可包含鈦(例如,矽化鈦(TiSi)),以減少接點的肖特基阻障(Schottky barrier)高度。然而,其他金屬,諸如鎳、鈷、鉺、鉑、鈀及類似金屬,亦可使用。矽化(silicidation)可藉由適當的金屬層的毯覆式沉積來執行,接著的係一退火步驟,其造成金屬與源極/汲極區109的底層經暴露矽反應。然後移除未反應金屬,諸如用選擇性蝕刻製程。可選的矽化物接點的厚度可在約5 nm以及50 nm之間。
圖1B還說明源極/汲極接點121的其餘部分與可選的矽化物接點(當存在時)或源極/汲極區109的實體連接的形成。在一實施例中,源極/汲極接點121可為導電材料,諸如W、Al、Cu、AlCu、W、Co、TaC、TaCN、TaSiN、Mn、Zr、TiN、Ta、TaN、Ni、Ti、TiAlN、Ru、Mo或WN,儘管任何適合的材料,諸如鋁、銅、這些金屬的合金、這些金屬的組合,或類似材料,以及可使用諸如濺射、化學氣相沉積、電鍍、無電解電鍍或類似的沉積製程進行沉積,以填充及/或過度填充第一ILD層111內的開口。
一旦沉積源極/汲極接點121的材料,源極/汲極接點121的材料就可與第一ILD層111以平面化。在一實施例中,源極/汲極接點121的材料可使用例如化學機械拋光製程以平面化,其中利用蝕刻劑以及研磨劑連同旋轉壓板,以反應以及移除源極/汲極接點121的過多材料。然而,任何適合的平面化製程都可被用來平面化源極/汲極接點121。
圖2說明在經平面化表面之上形成的CESL 201以及第二ILD層203。在一實施例中,接觸蝕刻停止層(CESL)201可形成為一單一層,或可形成為複數個蝕刻停止層,使用的材料諸如氧化鑭、氧化鋁、氧化鐿、鉭碳氮化物、(TaCN)、鋯矽(ZrSi)、氧碳氮化矽(SiOCN)、氧碳化矽(SiOC)、碳氮化矽(SiCN)、氮化鋯(ZrN)、氧化鉿(HfO)、氮化矽(SiN)、鉿矽(HfSi)、氧氮化鋁(AlON)、氧化矽(SiO)、碳化矽(SiC)、這些材料組合,或類似的材料,以及可為毯覆式沉積及/或共形沉積。CESL 201可使用一或多種低溫沉積製程進行沉積,諸如化學氣相沉積、物理氣相沉積或原子層沉積。根據一些實施例,CESL 201可沉積到一整體厚度約10 Å至約150 Å之間,諸如約70 Å。然而,任何適合的蝕刻停止材料、任何適合數量的蝕刻停止層以及其任何適合組合都可被沉積以形成CESL 201。
一旦形成CESL 201,第二ILD層203系沉積在CESL 201之上。第二ILD層203可由介電質材料形成,諸如氧化鑭、氧化鋁、氧化鐿、鉭碳氮化物、(TaCN)、矽鋯(ZrSi)、氧碳氮化矽(SiOCN)、矽氧碳化物(SiOC)、碳氮化矽(SiCN)、氮化鋯(ZrN)、氧化鋯鋁(ZrAlO)、氧化鈦(TiO)、氧化鉭(TaO)、氧化鋯(ZrO)、氧化鉿(HfO)、氮化矽(SiN)、鉿矽(HfSi)、氧化鋁(AlON)、氧化矽(SiO)、碳化矽(SiC)、這些材料組合或類似材料,藉由任何可接受的製程(例如 CVD、PEALD、熱ALD、PECVD或類似製程)形成。然而,也可使用其他適合的絕緣材料(如PSG、BSG、BPSG、USG或類似材料),藉由任何適合的方法(如CVD、PECVD、可流動CVD或類似方法)進行沉積。在形成之後,第二ILD層203可被固化,諸如藉由紫外線固化製程,然後使用例如化學機械拋光製程等平面化製程以平面化。雖然,可利用任何適合的製程。因此,第二ILD層203可形成約5 nm以及約20 nm之間的厚度,諸如約13 nm。然而,任何適合的厚度都可被利用。
圖3說明,一旦第二ILD層203形成以及平面化,用於閘極通路接點601(在圖3中沒有說明,但在下面關於圖6進一步說明及描述)的接點通路開口301(為了說明而在圖3中示出一單一接點通路開口301,但在一裸片中可形成複數個接點通路開口301)係通過第二ILD層203使用一或多個蝕刻製程以形成接點通路開口301。根據一些實施例,接點通路開口301係通過第二ILD層203、CESL 201以及第一硬遮罩層119而形成。接點通路開口301可使用可接受的光微影技術及適合的蝕刻技術的任何組合來形成,諸如乾式蝕刻製程(例如電漿蝕刻、反應性離子蝕刻(RIE)、物理蝕刻(例如離子束蝕刻(IBE)))、濕式蝕刻、其組合或類似製程。
在特定的實施例中,接點通路開口301可形成以具有一高深寬比(high aspect ratio)。舉例而言,接點通路開口301可具有約5至約8的深寬比。然而,可利用任何適合的深寬比以及任何適合的尺寸。
圖4說明使用一第一處理(在圖4中用標有401的曲線表示),諸如一NH 3處理,以便處理第二ILD層203的經暴露側壁、CESL 201、第一硬遮罩層119以及第一金屬層117的經暴露部分,以制止金屬在介電質側壁上的生長。在一實施例中,第一處理401可用於植入或反應一或多個摻雜物,這些摻雜物有助於制止隨後沉積的導電材料501(在圖4中沒有說明,但在下面關於圖5為說明及進一步討論)在接點通路開口301內的生長。在一些實施例中,摻雜物可為氮、氫、其組合,或類似物。然而,可利用任何適合的摻雜物或數個摻雜物。
在一實施例中,摻雜物可使用例如利用含摻雜物前驅物的電漿製程植入或與暴露的材料發生反應。舉例而言,在摻雜物為氮的實施例中,含摻雜物的前驅物可為含氮的前驅物,諸氨(NH 3)、N 2、其組合,或類似物。然而,任何適合的前驅物都可被利用。
為啟動第一處理401,含摻雜物的前驅物的流速可設定為約10 sccm到約1,000 sccm的範圍。可使用例如變壓器耦合電漿產生器(transformer coupled plasma generator)、電感耦合電漿系統(inductively coupled plasma system)、遠端電漿產生器或類似物將含摻雜物的前驅物點燃成電漿,使用的功率在約50W至約500W之間,其中電漿產生器的頻率可為約13.56MHz或更高。此外,第一處理401可在約0.5托(Torr)至約10 Torr的壓力範圍內執行。第一處理401的溫度可設定在約250℃至約450℃的範圍內。然而,可利用任何適合的製程參數。
在第一處理401期間,摻雜物(例如氮) 可擴散到第二ILD層203的材料中並與之反應。這種擴散以及反應可導致沿著第二ILD層203的側壁以及頂部形成第一處理層403。舉例而言,在一實施例中,第二ILD層203係氧化鑭,第一處理層403可為氮氧化鑭(lanthanum oxynitride)。然而,可利用任何適合的材料。
一旦形成,第一處理層403可具有約2 Å以及約50 Å之間的厚度。此外,第一處理層403可具有從該經暴露表面開始的摻雜物(例如氮)的遞減濃度,經暴露表面的摻雜物的濃度在約0.3%-原子以及約3%-原子之間。然而,任何適合的濃度都可被利用。
此外,在第一處理製程期間,摻雜物(例如氮)也會擴散到CESL 201的材料中並與之反應。這種擴散以及反應將造成沿著CESL 201的側壁形成第二處理層405。舉例而言,在一個CESL 201是氧化鋁的實施例中,第二處理層405為氮氧化鋁。然而,任何適合的材料都可被利用。
一旦形成,第二處理層405具有約2 Å以及約50 Å之間的厚度。此外,第二處理層405具有從經暴露表面開始的摻雜物(例如氮)的遞減濃度,經暴露表面的摻雜物的濃度在約0.3%-原子以及約3%-原子之間。然而,任何適合的濃度都可被利用。
第一處理401將另外導致摻雜物(例如,氮)擴散到第一硬遮罩層119的材料中並與之反應。這種擴散以及反應將造成沿著第一硬遮罩層的側壁形成第三處理層407。舉例而言,在一實施例中,第一硬遮罩層係氧化釔,第二處理層405係氮氧化釔。然而,任何適合的材料都可被利用。
一旦形成,第三處理層407具有約2 Å以及約50 Å之間的厚度。此外,第三處理層407具有從經暴露表面開始的摻雜物(例如氮)的遞減濃度,經暴露表面的摻雜物的濃度在約0.3%-原子以及約3%-原子之間。然而,任何適合的濃度都可被利用。
最後,第一處理401可導致摻雜物(例如氮)擴散到第一金屬層117的材料中並與之反應。這種擴散以及反應可導致沿著第一金屬層117的經暴露表面形成第四處理層409。舉例而言,在一第一金屬層係鎢的實施例中,第四處理層409可為氮化鎢。然而,任何適合的材料都可被利用。
一旦形成,第四處理層409可具有一厚度,其大於經處理的介電質層的的厚度。在一個特定的實施例中,第四處理層409可具有比經處理過介電質層的厚度大0 nm至70 nm的厚度,諸如具有約5 Å以及約120 Å的厚度。此外,第四處理層409可具有從經暴露表面開始的摻雜物(例如氮)的遞減濃度,經暴露表面的摻雜物濃度在約1%-原子以及約30%-原子之間。然而,任何適合的濃度都可被利用。
圖5說明,一旦執行處理,接點通路開口301可用一或多種導電材料501填充或過度填充,從而使一或多種導電材料501與第一處理層403、第二處理層405、第三處理層407以及第四處理層409直接實體接觸,而沒有一中介襯墊(intervening liner)。根據實施例,導電材料可為高性能、低電阻的材料,諸如鎢、釕、鉬、銅、鈦、氮化鈦、鈷、鋁、這些材料的組合,或類似材料。一或多種導電材料501可使用化學氣相沉積製程而沉積,其前驅物可具有或不具有自下而上的填充能力。舉例而言,在利用非自下而上前驅物的實施例中,可使用諸如Ru(CO) 12(當沉積釕時)、W(CO)6(當沉積鎢時)、MoO 2Cl 2(當沉積鉬時)等前驅物。然而,也可使用任何其他適合的方法,如選擇性的、自下而上的沉積製程,諸如電鍍、無電解、電鍍、其組合,或類似方法。
在利用化學氣相沉積來沉積一或多種導電材料501的材料的實施例中,第一處理層403、第二處理層405以及第三處理層407的存在有助於限制化學氣相沉積製程沿著介電質材料(例如第一硬遮罩層119、CESL 201以及第二ILD層203)的側壁沉積材料以及形成較大的沉積晶粒的能力。特別的是,摻雜物的存在有助於限制導電材料沿著側壁成核(nucleate)的能力,而不會明顯限制導電材料沿著底部成核以及生長的能力。因此,在利用非自下而上的前驅物的實施例中,可達成更多的自下而上的沉積製程,而不必依賴更有限的可能的前驅物列表(restricted list of potential precursors)。換句話說,在不使用自下而上的前驅物的情況下,可達成虛擬自下而上的沉積製程(psuedo-bottom up deposition process)。有更大的、更自下而上的製程,就不太可能發生夾止(pinch-offs),這意味著會形成更少及/或更小的空隙(甚至根本沒有空隙),從而允許對一或多個導電材料501使用更高性能(例如更低的電阻)的材料。
圖6說明一旦沉積一或多種導電材料501,可執行諸如CMP的平面化製程,以從第二ILD層203的表面移除過多的材料。剩餘的導電材料在開口中形成源極/汲極通路接點601,以及源極/汲極通路接點601可具有約5 nm以及約40 nm之間的第三厚度T 3。然而,任何適合的厚度都可被利用。
圖7說明在閘極通路接點601之上形成介電質覆蓋帽701。在一實施例中,介電質覆蓋帽701的形成包含一個填充步驟,以填補任何不想要的凹陷(在這個特定的剖面中未示出,但可能存在於基板101之上的不同點),以及接著執行一個平面化步驟,平面化該介電質覆蓋帽701的頂部表面。根據一些實施例,介電質覆蓋帽701包含氧化矽,儘管可利用任何適合的材料,且可使用化學氣相沉積、原子層沉積、物理氣相沉積、其組合或類似的方法沉積。然而,任何適合的沉積及/或平面化方法都可被利用。
圖8說明源極/汲極接點開口801的形成。在一實施例中,源極/汲極接點開口801可使用一或多個蝕刻製程來形成源極/汲極接點開口801。根據一些實施例,源極/汲極電接點開口801係通過第二ILD層203以及CESL 201形成。源極/汲極接點開口801可使用可接受的光微影技術以及適合的蝕刻技術而形成,諸如乾式蝕刻製程(例如電漿蝕刻、反應性離子蝕刻(RIE)、物理蝕刻(例如離子束蝕刻(IBE)))、濕式蝕刻、其組合或類似製程。然而,可利用任何適合的蝕刻製程以形成源極/汲極接點開口801。
圖9說明可用於將源極/汲極接點開口801延伸到源極/汲極接點121的材料中的一個凹陷製程。在一實施例中,源極/汲極接點開口801的延伸可使用等向性蝕刻(isotropic etching)製程而執行,諸如濕式蝕刻,或非等向性蝕刻(isotropic etching)製程,諸如反應性離子蝕刻製程,其使用對源極/汲極接點121的材料具有選擇性的一或多種蝕刻劑。
在利用等向性蝕刻製程以凹陷源極/汲極接點121的材料的實施例中,凹陷可將源極/汲極接點開口801既延伸到源極/汲極接點開口801的材料中,也延伸到CESL 201的下方。舉例而言,凹陷可將源極/汲極接點開口801延伸一第一距離D 1到源極/汲極接點開口801的材料中,在約2 nm以及約20 nm之間,以及還可將源極/汲極電接點開口801延伸一第二距離D 2到CESL 201下面約1 nm以及約10 nm之間。在一些實施例中,第二距離D 2可足夠以暴露第一硬遮罩層119的側壁,儘管在其他實施例中,第一硬遮罩層119沒有暴露。
圖10說明一旦形成源極/汲極電接點開口801,可在第二ILD層203、CESL 201、源極/汲極接點121的經暴露表面上執行第二處理(在圖10中由標記為1001的箭頭表示),以及若經暴露,執行在第一硬遮罩層119的經暴露表面。在一實施例中,第二處理1001可使用與上述第一處理401類似的製程以及參數執行。舉例而言,第二處理1001可為一種電漿處理,利用氨做為前驅物,用氮氣處理經暴露表面。然而,可利用任何適合的製程及參數。
在第二處理1001期間,摻雜物(例如氮)可擴散到第二ILD層203的材料中並與之反應。這種擴散以及反應可造成在源極/汲極接點開口801中沿著第二ILD層203的側壁形成第五處理層1003。舉例而言,在第二ILD層203係氧化鑭的實施例中,第五處理層1003可為氮氧化鑭。然而,可利用任何適合的材料。
一旦形成,第五處理層1003可具有約2 Å以及約50 Å之間的厚度。此外,第五處理層1003可具有經從暴露表面開始的摻雜物(例如氮)的遞減濃度,經暴露表面的摻雜物的濃度在約0.3%-原子以及約3%-原子之間。然而,任何適合的濃度都可被利用。
另外在第二處理1001期間,摻雜物(例如氮)可擴散到源極/汲極接點開口801中的CESL 201的材料中並與之反應。這種擴散以及反應可造成沿著CESL 201的側壁形成第六處理層1005。舉例而言,在CESL 201係氧化鋁的一實施例中,第六處理層1005可為氮氧化鋁。然而,任何適合的材料都可被利用。
一旦形成,第六處理層1005可具有約2 Å以及約50 Å之間的厚度。此外,第六處理層1005可具有從經暴露表面開始的摻雜物(例如氮)的遞減濃度,經暴露表面的摻雜物的濃度在約0.3%-原子以及約3%-原子之間。然而,任何適合的濃度都可被利用。
第二處理1001還可(若第一硬遮罩層119在凹陷期間暴露)另外導致摻雜物(例如氮)擴散到第一硬遮罩層119的材料中並與之反應。這種擴散以及反應可造成沿著第一硬遮罩層119的側壁形成第七處理層(在圖10中未單獨說明)。舉例而言,在第一硬遮罩層119係氧化釔的實施例中,第七處理層可為氮氧化釔。然而,可利用任何適合的材料。
一旦形成,第七處理層可具有約2 Å以及約50 Å之間的厚度。此外,第三處理層407可具有從經暴露表面開始的摻雜物(例如氮)的遞減濃度,經暴露表面的摻雜物的濃度在約0.3%-原子以及約3%-原子之間。然而,任何適合的濃度都可被利用。
最後,第二處理1001可導致摻雜物(例如氮)擴散到源極/汲極接點121的材料中並與之反應。這種擴散以及反應可造成沿著源極/汲極接點121的經暴露表面形成第八處理層1009。舉例而言,在一實施例中,源極/汲極接點121係鎢,第八處理層1009可為氮化鎢。然而,任何適合的材料都可被利用。
一旦形成,第八處理層1009可具有經處理介電質層的厚度大的厚度。例如第八處理層1009可具有比經處理介電質層(例如經處理的第二ILD層203以及經處理過CESL 201)大0 nm至70 nm的厚度,諸如厚度在約5 Å以及約120 Å之間。此外,第四處理層409可具有從經暴露表面開始的摻雜物(例如氮)的遞減濃度,經暴露表面的摻雜物濃度在約1%-原子以及約30%-原子之間。然而,任何適合的濃度都可被利用。
圖11說明一旦執行第二處理1001,會沉積一或多個通路汲極接點材料1101以填充及/或過度填充源極/汲極接點開口801。在一實施例中,一或多個通路汲極接點材料1101可使用類似於一或多個導電材料501(上文關於圖5的描述)的方法以及材料以沉積。然而,任何適合的材料及方法都可被利用。
圖12A說明一旦沉積一或多個通路汲極接點材料1101,通路汲極接點材料1101可被平面化,以便移除任何過多的材料以及形成通路汲極接點1201。在一實施例中,可使用化學機械拋光製程、研磨製程、一或多個蝕刻製程、這些製程的組合或類似製程來執行平面化。通路汲極接點1201(沒有凹陷部分) 可形成約5 nm以及約40 nm之間的第四厚度T 4。然而,任何適合的製程都可被利用。
此外,一旦使用平面化製程形成通路汲極接點1201,第二ILD層203可具有約3nm以及約40nm之間的第五厚度T 5。底層CESL 201在這一點上可有一第六厚度T6,在約3 nm以及約20 nm之間。然而,任何適合的厚度都可被利用。
藉由如所述地形成通路汲極接點1201,該通路汲極接點1201可具有嵌入該源極/汲極接點121內的一碗狀形狀1204。在一個特定的實施例中,碗狀形狀可延伸到源極/汲極接點121中,約2 nm以及約20 nm之間。然而,任何適合的尺寸都可被利用。
圖12B說明第二CESL 1203、第三ILD層1205以及互接件1207的形成。在一實施例中,第二CESL 1203以及第三ILD層1205可依次沉積在通路汲極接點1201以及源極/汲極通路接點601之上。在一實施例中,第二CESL 1203可使用類似於CESL 201的材料以及沉積製程(如以上關於圖2的描述),而第三ILD層1205可使用類似於第二ILD層203的材料以及沉積製程(如以上關於圖2的描述)。然而,任何適合的材料以及沉積製程都可被利用。
一旦形成第二CESL 1203以及第三ILD層1205,則通過第二CESL 1203以及第三ILD層1205形成互接件開口(在圖12B中未單獨示出),以暴露通路汲極接點1201以及源極/汲極通路接點601。在一實施例中,互接件開口可使用一或多個光微影遮蔽(photolithographic masking)以及蝕刻製程形成。然而,任何適合的製程都可用於互接件開口。
一旦形成互接件開口,可將一或多種導電材料沉積到互接件開口中。在一實施例中,該一或多種導電材料可包含阻障層以及填充材料(在圖12B中未單獨說明)。在一實施例中,阻障層可由諸如TiN、TaN、Ti、TiAlN、TiAl、Pt、TaC、TaCN、TaSiN、Mn、Zr、Ru、Mo、WN、其他金屬氧化物、金屬氮化物、金屬矽酸鹽、過渡金屬氧化物、過渡金屬氮化物、過渡金屬矽酸鹽、金屬的氧氮化物、金屬鋁酸鹽、矽酸鋯、鋁酸鋯、其組合,或類似物材料的金屬材料的一或多層形成。阻障層可使用沉積製程,諸如原子層沉積、化學氣相沉積或類似製程,儘管可使用任何適合的沉積製程。
一旦形成阻障層,可沉積填充材料以填充及/或過度填充互接件開口的剩餘部分,以及電性連接該通路汲極接點1201以及該源極/汲極通路接點601。在一實施例中,填充材料可包含銅(Cu)、鋁(Al)、鎢(W)或其他適合的導電材料,並可使用ALD、CVD、PVD、電鍍、其組合或類似物或方法沉積。然而,任何適合的材料以及任何適合的製程都可被利用。
在沉積填充材料以及阻障層之後,位於互接件開口外的填充材料以及阻隔層的過多部分被移除,以形成互接件1207。在一實施例中,過多的部分被移除,舉例而言,使用化學機械拋光製程。然而,任何適合的移除製程,如研磨或甚至一系列的蝕刻,都可用來使填充材料以及阻障層平面化。
藉由利用本文所述的製程,一或多種通路汲極接點材料1101可使用假性自下向上製程而沉積,不需要使用非常特殊的前驅物,否則會限制可利用的材料。舉例而言,藉由用摻雜物(例如氮)處理側壁,可利用諸如Ru(CO) 12、W(CO)6、MoO 2Cl 2等前驅物來沉積釕、鎢或鉬。這樣的製程允許使用這些材料而不使用自下而上的前驅物,有助於避免早期的夾止、孔或通路汲極接點1201內側的其他空隙。
圖13A至圖13B說明另一實施例,其中在形成通路汲極接點1201期間不利用第二處理1001。首先看圖13A,通路汲極接點材料1101係在形成源極/汲極接點開口801之後沉積。通路汲極接點材料1101可如上文關於圖11的描述而沉積。
然而,在本實施例中,通路汲極接點材料1101係沉積而沒有中介的第二處理1001。因此,第五處理層1003、第六處理層1005、第七處理區以及第八處理層1009沒有形成以及不存在於通路汲極接點材料1101以及第二ILD層203之間、通路汲極接點材料1101以及CESL 201之間與通路汲極接點材料1101以及源極/汲極接點121之間。因此,通路汲極接點材料1101係與第二ILD層203、CESL 201以及源極/汲極接點121中的每一者的未處理部分直接接觸而形成。
現參閱圖13B,一旦沉積,通路汲極接點材料1101係平面化以形成通路汲極接點1201。在一實施例中,通路汲極接點材料1101可如前關於圖12A所描述般地平面化。舉例而言,可利用化學機械拋光製程以平面化通路汲極接點材料1101。然而,任何適合的方法都可被利用。
然而,藉由在本實施例中不使用第二處理1001,通路汲極接點1201係在沒有中介的第二處理1001的情況下形成。因此,第五處理層1003、第六處理層1005、第七處理區以及第八處理層1009沒有形成以及不存在於通路汲極接點1201以及第二ILD層203之間、通路汲極接點1201以及CESL 201之間與通路汲極接點1201以及源極/汲極接點121之間。因此,通路汲極接點1201係與第二ILD層203、CESL 201以及源極/汲極接點121中的每一者的未處理部分直接接觸而形成。
圖14A至圖14C說明同時利用第一處理401以及第二處理1001的另一實施例。然而,在此實施例中,沒有執行源極/汲極接點121的凹陷(如上文關於圖9的描述)。相反地,如圖14A所示,在形成源極/汲極接點開口801期間,源極/汲極接點121沒有凹陷,而是被做為蝕刻停止。因此,源極/汲極接點121的頂部表面在源極/汲極接點開口801內是平面的。
圖14A另外說明,一旦形成源極/汲極電接點開口801(而沒有凹陷源極/汲極接點121),則執行第二處理1001,從而沿著第二ILD層203的側壁形成第五處理層1003,沿著CESL 201的側壁形成第六處理層1005,以及沿著源極/汲極接點121的經暴露部分形成第八處理層1009。然而,由於沒有對源極/汲極接點121進行凹陷,第八處理區1009的寬度不大於源極/汲極電接點開口801的寬度,以及第八處理層1009不在CESL 201或第二ILD層203的下方延伸。
現參閱圖14B,一旦第五處理層1003、第六處理層1005以及第八處理層1009已使用第二處理1001而形成,則沉積通路汲極接點材料1101。在一實施例中,通路汲極接點材料1101可如上文關於圖11的描述而沉積。然而,任何適合的材料以及製程都可被利用。
然而,在此實施例中,通路汲極接點材料1101係沉積而沒有使源極/汲極接點121凹陷。因此,通路汲極接點材料1101係沉積使其保持在源極/汲極接點121的外側,以及保持在第一ILD層111上面。
現參閱14C,一旦沉積,通路汲極接點材料1101係平面化以形成通路汲極接點1201。在一實施例中,通路汲極接點材料1101可如前關於圖12A所描述的那樣被平面化。舉例而言,可利用化學機械拋光製程來平面化該通路汲極接點材料1101。然而,任何適合的方法都可被利用。
然而,在此實施例中,通路汲極接點1201係形成而沒有凹陷該源極/汲極接點121。因此,通路汲極接點1201係保持在源極/汲極接點121的外側,具有一平面底部表面,以及保持在第一ILD層111上面。
圖15A至圖15B說明另一實施例,其中在形成通路汲極接點1201期間不利用第二處理1001。然而,在該實施例中,源極/汲極接點121沒有凹陷(如上文關於圖14A至圖14C的描述)。首先參閱圖15A,通路汲極接點材料1101係在形成源極/汲極接點開口801之後沉積,但沒有凹陷該源極/汲極接點121。通路汲極接點材料1101可按照如上關於圖11的描述而沉積。
然而,在本實施例中,通路汲極接點材料1101係沉積而沒有中介的第二處理1001。因此,第五處理層1003、第六處理層1005以及第八處理層1009沒有形成以及不存在於通路汲極接點材料1101以及第二ILD層203之間、通路汲極接點材料1101以及CESL 201之間與通路汲極接點材料1101以及源極/汲極接點121之間。因此,通路汲極接點材料1101係與第二ILD層203、CESL 201以及源極/汲極接點121中的每一者的未處理部分直接接觸而形成。
現參閱圖15B,一旦沉積,通路汲極接點材料1101係平面化,以形成通路汲極接點1201。在一實施例中,通路汲極接點材料1101可如上關於圖12A所描述的那樣被平面化。舉例而言,可利用化學機械拋光製程來平面化通路汲極接點材料1101。然而,任何適合的方法都可被利用。
然而,藉由在本實施例中不使用第二處理1001,通路汲極接點1201在沒有中介的第二處理1001的情況下形成。因此,第五處理層1003、第六處理層1005以及第八處理層1009沒有形成以及不存在於通路汲極接點1201以及第二ILD層203之間、通路汲極接點1201以及CESL 201之間與通路汲極接點1201以及源極/汲極接點121之間。因此,通路汲極接點1201係與第二ILD層203、CESL 201以及源極/汲極接點121中的每一者的未處理部分直接接觸而形成。
圖16A至圖16E說明另一實施例,其中第二硬遮罩層1601與第一硬遮罩層119一起被使用。在一實施例中,第二硬遮罩層1601可藉由初始採取如上關於圖1B所述的結構以及使用例如利用對源極/汲極接點121的材料具有選擇性的蝕刻劑的回蝕製程來凹陷源極/汲極接點121的材料而形成。蝕刻製程可為濕式或乾式蝕刻製程,利用對源極/汲極接點121的材料有選擇性的蝕刻劑。然而,可使用任何適合的蝕刻劑的任何適合的蝕刻製程。
一旦源極/汲極接點121被凹陷,第二硬遮罩層1601的材料可被沉積。在一實施例中,第二硬遮罩層1601可為不同於第一硬遮罩層119的介電質材料,並且可為,例如氧化鑭、氧化鋁、氧化鐿、鉭碳氮化物、(TaCN)、鋯矽(ZrSi)、氧碳氮化矽(SiOCN)、氧碳化矽(SiOC)、碳氮化矽(SiCN)、氮化鋯(ZrN)、氧化鋯鋁(ZrAlO) 氧化鈦(TiO)、氧化鉭(TaO)、氧化鋯(ZrO)、氧化鉿(HfO)、氮化矽(SiN)、鉿矽(HfSi)、氧氮化鋁(AlON)、碳化矽(SiC)、氧化鋅、氧化矽、其組合,或類似物。第二硬遮罩層1601的材料可使用沉積製程沉積,諸如化學氣相沉積、原子層沉積、物理氣相沉積、其組合或類似製程。然而,任何適合的材料以及沉積製程都可被利用。
一旦沉積第二硬遮罩層1601的材料,第二硬遮罩層1601的材料可被平面化,以便從第一ILD層111上移除過多的材料。在一實施例中,第二硬遮罩層1601可使用化學機械拋光製程、研磨製程或甚至一系列的蝕刻製程而平面化。一旦平面化,第二硬遮罩層1601可具有約2 nm以及約20 nm之間的第七厚度T 7。然而,任何適合的厚度都可被利用。
現參閱圖16B,一旦形成第二硬遮罩層1601,該製程繼續如上關於圖2至圖7的描述。舉例而言,一旦形成第二硬遮罩層1601,則沉積CESL 201以及第二ILD層203(如圖2所述),形成接點通路開口301(如圖3所述),執行第一處理401(如圖4所述),沉積一或多種導電材料501(如圖5所述),接著執行平面化(如圖6所述),以及沉積介電質覆蓋帽701(如圖7所述)。
圖16B進一步說明通過第二ILD層203、CESL 201以及第二硬遮罩層1601形成第三開口1603以暴露源極/汲極接點121。在一實施例中,第三開口1603可使用一或多個蝕刻製程形成。舉例而言,第三開口1603可使用可接受的光微影技術及適合的蝕刻技術的任何組合來形成,例如乾式蝕刻製程(例如電漿蝕刻、反應性離子蝕刻(RIE)、物理蝕刻(例如離子束蝕刻(IBE)))、濕式蝕刻、其組合及類似製程。然而,可利用任何適合的蝕刻製程來形成接點通路開口。
圖16C說明一旦形成第三開口1603,則執行第三處理(在圖16C中由標有1605的波形線表示)。在一實施例中,第三處理1605可使用與第一處理401以及第二處理1001類似的方法進行,諸如藉由使用具有諸如氨的前驅物的電漿製程。然而,可利用任何適合的處理製程。
在第三處理1605係氨電漿處理(ammonia plasma treatment)的一實施例中,第三處理1605可沿著第二ILD層203形成第五處理層1003,可沿著CESL 201形成第六處理層1005,以及沿著源極/汲極接點121形成第八處理層1009。然而,另外在本實施例中,第三處理1605也可沿著第二硬遮罩層1601的經暴露部分形成第九處理層1607。
舉例而言,第三處理1605可導致摻雜物(例如氮)擴散到第二硬遮罩層1601的材料中並與之反應。這種擴散以及反應可造成沿哲第二硬遮罩層1601的側壁形成第九處理層1607。舉例而言,在一實施例中,第二硬遮罩層1601係鉭碳氮化物(tantalum carbon nitride),第九處理層1607可為氮氧碳鉭(tantalum carbon oxynitride)。然而,任何適合的材料都可被利用。
一旦形成,第九處理層1607可具有約2 Å以及約50 Å之間的厚度。此外,第九處理層1607可具有從經暴露表面開始的摻雜物(例如氮)的遞減濃度,經暴露表面的摻雜物的濃度在約0.3%-原子以及約3%-原子之間。然而,任何適合的濃度都可被利用。
接著參閱圖16D,說明製程的持續,其中通路汲極接點材料1101係沉積以填充及/或過度填充第三開口1603。在一實施例中,通路汲極接點材料1101係如上關於圖11所述被沉積。然而,可利用任何適合的材料以及製程。
圖16E說明通路汲極接點材料1101的平面化。在一實施例中,該平面化可如上文關於圖12A的描述執行,以形成通路汲極接點1201。然而,可利用任何適合的方法。
圖17A至圖17D說明另一實施例,其中源極/汲極接點121隨著第二硬遮罩層1601的使用而被凹陷。在該實施例中,以及如圖17A所示,第三開口1603係如上文關於圖16B所述形成。然而,當源極/汲極接點121被暴露時,不是停止第三開口1603的形成,而是繼續第三開口1603的形成以在源極/汲極接點121內形成凹陷。在一實施例中,源極/汲極接點121可如上關於圖9的描述那樣凹陷。然而,可利用任何適合的方法。
接著參閱圖17B,一旦凹陷源極/汲極接點121,可執行第三處理1605。在一實施例中,第三處理1605可如上關於圖16C所描述的那樣執行,諸如使用氨的電漿處理。在這樣一實施例中,第三處理1605係用來形成第五處理層1003、第六處理層1005、第九處理層1607以及第八處理層1009。但在本實施例中,第八處理層1009是沿著源極/汲極接點121內的凹陷形成。
圖17C說明一旦執行第三處理1605,第三開口1603,包含源極/汲極接點121內的凹槽,係被通路汲極接點材料1101填充。在一實施例中,如上關於圖11的描述,通路汲極接點材料1101可被沉積以填充及/或過度填充第三開口1603。然而,任何適合的材料以及沉積方法都可被利用。
圖17D說明一旦通路汲極接點材料1101被沉積,通路汲極接點材料1101係平面化以形成通路汲極接點1201。在一實施例中,通路汲極接點材料1101可按照如上關於圖12A的描述而平面化(例如使用化學機械拋光製程)。然而,任何適合的方法都可被利用。
圖18A至圖18C說明另一實施例,其中利用單一處理製程(例如第一處理401)以處理接點通路開口301以及源極/汲極接點開口801兩者。在這個實施例中,接點通路開口301的形成係如上關於圖3的描述。然而,不是在形成源極/汲極接點開口801之前處理以及填充接點通路開口301,在本實施例中,源極/汲極接點開口801係與接點通路開口301同時形成,或單獨形成,但仍係在接點通路開口301的處理以及填充之前。因此,在第一處理401之前,接點通路開口301以及源極/汲極接點開口801都為存在。
圖18B說明一旦接點通路開口301以及源極/汲極接點開口801都已形成,第一處理401可同時在兩個開口上執行。在一實施例中,第一處理401可按如上關於圖4的描述執行。舉例而言,可利用利用氨做為前驅物的電漿製程來處理經暴露表面以及形成第一處理層403、第二處理層405、第三處理層407、第四處理層409,同時形成第五處理層1003、第九處理層1607以及第八處理層1009。然而,可利用任何適合的方法。
圖18C說明接點通路開口301以及源極/汲極接點開口801的填充。在一實施例中,接點通路開口301以及源極/汲極接點開口801可由一或多種導電材料(例如一或多種導電材料501或通路汲極接點材料1101)填充,其為使用上述關於圖5或圖11的材料以及製程。然而,可利用任何適合的材料及方法。
圖18C另外說明使一或多種導電材料平面化以形成閘極通路接點601以及通路汲極接點1201的平面化製程。在一實施例中,一或多個導電材料可如前關於圖12A的描述而平面化(例如使用化學機械拋光製程)。然而,任何適合的方法都可被利用。
藉由利用本文所述的製程,閘極通路接點601及/或通路汲極接點1201可使用假性自下向上製程形成,而不需要使用非常特定的前驅物,否則會限制可利用的材料。舉例而言,藉由用摻雜物(如氮氣)處理側壁,可利用諸如Ru(CO) 12、W(CO)6、MoO 2Cl 2等前驅物來沉積所需材料。這樣的製程允許使用這些前驅物而不使用自下而上的前驅物,有助於避免閘極通路接點601及/或通路汲極接點1201內部的早期夾止、孔或其他空隙。
在一實施例中,一種製造半導體裝置的方法,該方法包含:沉積一蝕刻停止層在一第一硬遮罩材料之上,該第一硬遮罩材料在一閘極堆疊之上;沉積一層間介電質在該蝕刻停止層之上;形成一第一開口,通過該層間介電質、該蝕刻停止層以及該第一硬遮罩材料,該第一開口暴露該閘極堆疊的一導電部分;以及用一第一摻雜物處理該第一開口的側壁,以形成層間介電質內的一第一處理區、該蝕刻停止層內的第一二處理區、該第一硬遮罩材料內的一第三處理區以及該導電部分內的一第四處理區,其中在處理之後,該第四處理區的該第一摻雜物的濃度高於該第一處理區。在一實施例中,該第一摻雜物包含氮。在一實施例中,處理該側壁至少部分地包含一電漿製程。在一實施例中,該電漿製程利用氨做為一前驅物。在一實施例中,該第四處理區的該第一摻雜物的一第一濃度在約3%-原子以及約30%-原子之間。在一實施例中,該方法進一步包含沉積一導電材料在該第一開口內,該導電材料與該第一處理區域為實體接觸而沒有一中介襯墊。在一實施例中,該方法進一步包含:形成一第二開口,通過該層間介電質以及該蝕刻停止層,以暴露一源極/汲極接點;以及沉積一導電材料到該第二開口中,而不處理該第二開口。
在另一實施例中,一種製造一半導體裝置的方法,該方法包含:形成一第一開口,通過一介電質層、一接觸蝕刻停止層以及一第一硬遮罩材料,以暴露一閘極堆疊的一導電部分;用來自一含氮前驅物的一第一電漿處理第一開口的側壁;用一第一導電材料填充該第一開口;形成一第二開口,通過該介電質層以及該接觸蝕刻停止層,以暴露一第一源極/汲極接點;用一第二電漿處理該第二開口的側壁;以及用一第二導電材料填充該第二開口。在一實施例中,形成該第二開口的步驟在該第一源極/汲極接點內形成一凹槽。在一實施例中,形成第二開口的步驟在該第一源極/汲極接點內不形成一凹槽。在一實施例中,處理第一開口的該側壁以及處理該第二開口的該側壁係同時執行。在一實施例中,形成第二開口的步驟形成該第二開口,通過覆蓋在第一源極/汲極接點上的一第二硬遮罩材料。在一實施例中,形成第二開口的步驟在該第一源極/汲極接點內形成一凹槽。在一實施例中,該含氮前驅物係氨。
在又另一實施例中,一種半導體裝置包含:一閘極堆疊,在一半導體鰭片之上;一第一硬遮罩材料,覆蓋在該閘極堆疊上,該第一硬遮罩材料包含一第一處理區;一蝕刻停止層,覆蓋在該第一硬遮罩材料上,該蝕刻停止層包含一第二處理區;一介電質層,覆蓋在該蝕刻停止層上,該介電質層包含一第三處理區;以及一導電材料,延伸通過以及實體接觸該第一處理區、該第二處理區以及該第三處理區,其中該導電材料係亦與位在該閘極堆疊內的一第四處理區實體接觸。在一實施例中,該第一處理區、該第二處理區、該第三處理區以及該第四處理區中的每一者包含氮。在一實施例中,該第一處理區的氮濃度在約0.3%-原子以及約3%-原子之間。在一實施例中,該第四處理區的氮濃度在約3%-原子以及約30%-原子之間。在一實施例中,該半導體裝置進一步包含一第二導電材料,延伸通過以及實體接觸該介電質層的一未處理部分以及該蝕刻停止層的一未處理部分,以與該源極/汲極接點實體接觸。在一實施例中,該第二導電材料延伸到該源極/汲極接點中。
上述內容概述了幾個實施例或示範例的特徵,以便本技術領域中具有通常知識者可更好地理解本揭露的各方面。本技術領域中具有通常知識者應認識到,其可很容易地將本揭露做為設計或修改其他製程及結構的基礎,以實現相同的目的及/或實現本文介紹的實施例或示範例的相同優勢。本技術領域中具有通常知識者還應該認識到,這種等效的結構並不偏離本揭露的精神和範圍,其可在不偏離本揭露的精神和範圍的情況下對本文進行各種改變、替代及改動。
100:半導體裝置 101:基板 103:第一溝槽 105:第一隔離區 107:鰭片 109:源極/汲極區 111:第一層間介電質層/第一ILD層 113:間隔物 115:閘極堆疊 117:第一金屬層 119:第一硬遮罩層 121:源極/汲極接點 201:接觸蝕刻停止層/CESL 203:第二ILD層 301:接點通路開口 401:第一處理 403:第一處理層 405:第二處理層 407:第三處理層 409:第四處理層 501:導電材料 601:閘極通路接點/源極/汲極通路接點 701:介電質覆蓋帽 801:源極/汲極接點開口 1001:第二處理 1003:第五處理層 1005:第六處理層 1009:第八處理層 1101:通路汲極接點材料 1201:通路汲極接點 1203:第二CESL 1204:碗狀形狀 1205:第三ILD層 1207:互接件 1601:第二硬遮罩層 1603:第三開口 1605:第三處理 1607:第九處理層 D 1:第一距離 D 2:第二距離 T 1:第一基頂厚度 T 2:第二底部部分厚度 T 3:第三厚度 T 4:第四厚度 T 5:第五厚度 T 6:第六厚度 T 7:第七厚度 W 1:第一寬度
當結合附圖閱讀時自以下詳細描述最佳理解本揭露之態樣。應注意,根據業界中之標準實踐,各種特徵未按比例繪製。實際上,為了清楚論述起見,可任意增大或減小各種構件之尺寸。
圖1A至圖1B說明根據一些實施例的鰭式場效電晶體(finFET)。
圖2說明根據一些實施例的層間介電質的沉積。
圖3根據一些實施例說明形成通過層間介電質的開口。
圖4說明根據一些實施例的處理。
圖5說明根據一些實施例的導電材料的沉積。
圖6說明根據一些實施例的平面化製程。
圖7說明根據一些實施例的介電質覆蓋帽(dielectric recap)。
圖8說明根據一些實施例的第二開口的形成。
圖9說明根據一些實施例的凹陷的形成。
圖10說明根據一些實施例的第二處理。
圖11說明根據一些實施例的導電材料的沉積。
圖12A說明根據一些實施例的平面化製程。
圖12B說明根據一些實施例的互接件的形成。
圖13A至圖13B說明根據一些實施例的製程,沒有第二處理。
圖14A至圖14C說明根據一些實施例的製程,沒有凹陷。
圖15A至圖15B說明根據一些實施例的製程,沒有第二處理且沒有凹陷。
圖16A至圖16E說明根據一些實施例的具有第二硬遮罩材料的製程。
圖17A至圖17D說明根據一些實施例的具有第二硬遮罩材料及凹陷的製程。
圖18A至圖18C說明根據一些實施例的具有一單一處理的製程。
100:半導體裝置
101:基板
103:第一溝槽
105:第一隔離區
107:鰭片
109:源極/汲極區
111:第一層間介電質層/第一ILD層
113:間隔物
115:閘極堆疊
117:第一金屬層
119:第一硬遮罩層

Claims (20)

  1. 一種製造一半導體裝置的方法,該方法包含: 沉積一蝕刻停止層在一第一硬遮罩材料之上,該第一硬遮罩材料在一閘極堆疊之上; 沉積一層間介電質在該蝕刻停止層之上; 形成一第一開口,通過該層間介電質、該蝕刻停止層以及該第一硬遮罩材料,該第一開口暴露該閘極堆疊的一導電部分;以及 用一第一摻雜物處理該第一開口的側壁,以形成層間介電質內的一第一處理區、該蝕刻停止層內的第一二處理區、該第一硬遮罩材料內的一第三處理區以及該導電部分內的一第四處理區,其中在處理之後,該第四處理區的該第一摻雜物的濃度高於該第一處理區。
  2. 如請求項1所述的方法,其中該第一摻雜物包含氮。
  3. 如請求項2所述的方法,其中處理該側壁至少部分地包含一電漿製程。
  4. 如請求項3所述的方法,其中該電漿製程利用氨做為一前驅物。
  5. 如請求項4所述的方法,其中該第四處理區的該第一摻雜物的一第一濃度在約3%-原子(3%-atomic)以及約30%-原子之間。
  6. 如請求項1所述的方法,進一步包含沉積一導電材料在該第一開口內,該導電材料與該第一處理區域為實體接觸而沒有一中介襯墊。
  7. 如請求項1所述的方法,進一步包含: 形成一第二開口,通過該層間介電質以及該蝕刻停止層,以暴露一源極/汲極接點;以及 沉積一導電材料到該第二開口中,而不處理該第二開口。
  8. 一種製造一半導體裝置的方法,該方法包含: 形成一第一開口,通過一介電質層、一接觸蝕刻停止層以及一第一硬遮罩材料,以暴露一閘極堆疊的一導電部分; 用來自一含氮前驅物的一第一電漿處理第一開口的側壁; 用一第一導電材料填充該第一開口; 形成一第二開口,通過該介電質層以及該接觸蝕刻停止層,以暴露一第一源極/汲極接點; 用一第二電漿處理該第二開口的側壁;以及 用一第二導電材料填充該第二開口。
  9. 如請求項8所述的方法,其中形成該第二開口的步驟在該第一源極/汲極接點內形成一凹槽。
  10. 如請求項8所述的方法,其中形成第二開口的步驟在該第一源極/汲極接點內不形成一凹槽。
  11. 如請求項8所述的方法,其中處理第一開口的該側壁以及處理該第二開口的該側壁係同時執行。
  12. 如請求項8所述的方法,其中形成第二開口的步驟形成該第二開口,通過覆蓋在第一源極/汲極接點上的一第二硬遮罩材料。
  13. 如請求項12所述的方法,其中形成第二開口的步驟在該第一源極/汲極接點內形成一凹槽。
  14. 如請求項8所述的方法,其中該含氮前驅物係氨。
  15. 一種半導體裝置,包含: 一閘極堆疊,在一半導體鰭片之上; 一第一硬遮罩材料,覆蓋在該閘極堆疊上,該第一硬遮罩材料包含一第一處理區; 一蝕刻停止層,覆蓋在該第一硬遮罩材料上,該蝕刻停止層包含一第二處理區; 一介電質層,覆蓋在該蝕刻停止層上,該介電質層包含一第三處理區;以及 一導電材料,延伸通過以及實體接觸該第一處理區、一第二處理區以及一第三處理區,其中該導電材料係亦與位在該閘極堆疊內的一第四處理區實體接觸。
  16. 如請求項15所述的半導體裝置,其中該第一處理區、該第二處理區、該第三處理區以及該第四處理區中的每一者包含氮。
  17. 如請求項16所述的半導體裝置,其中該第一處理區的氮濃度在約0.3%-原子以及約3%-原子之間。
  18. 如請求項17所述的半導體裝置,其中該第四處理區的氮濃度在約3%-原子以及約30%-原子之間。
  19. 如請求項15所述的半導體裝置,進一步包含一第二導電材料,延伸通過以及實體接觸該介電質層的一未處理部分以及該蝕刻停止層的一未處理部分,以與該源極/汲極接點實體接觸。
  20. 如請求項19所述的半導體裝置,其中該第二導電材料延伸到該源極/汲極接點中。
TW111114414A 2021-07-29 2022-04-15 半導體裝置及其製造方法 TWI835119B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163226836P 2021-07-29 2021-07-29
US63/226,836 2021-07-29
US17,675,558 2022-02-18
US17/675,558 US20230036693A1 (en) 2021-07-29 2022-02-18 Semiconductor Devices and Methods of Manufacture

Publications (2)

Publication Number Publication Date
TW202305949A true TW202305949A (zh) 2023-02-01
TWI835119B TWI835119B (zh) 2024-03-11

Family

ID=

Also Published As

Publication number Publication date
CN115458476A (zh) 2022-12-09
US20230036693A1 (en) 2023-02-02

Similar Documents

Publication Publication Date Title
US11776847B2 (en) Contact structure for semiconductor device
US10943901B2 (en) Semiconductor device and method
US11069784B2 (en) Semiconductor device and method of manufacture
US10170427B2 (en) Semiconductor device and method
US11616132B2 (en) Semiconductor device and methods of manufacture
US20220130730A1 (en) Semiconductor Device and Method
CN107689395B (zh) 半导体器件和方法
TWI715218B (zh) 半導體元件及其製造方法
CN110729243B (zh) 用于半导体处理的方法
US20210090958A1 (en) Method of Manufacturing a Semiconductor Device
US20220173222A1 (en) Semiconductor Device and Method of Manufacture
TWI835119B (zh) 半導體裝置及其製造方法
TW202139269A (zh) 半導體裝置的形成方法
US20230036693A1 (en) Semiconductor Devices and Methods of Manufacture
TWI798543B (zh) 半導體裝置及其製造方法
US11462626B2 (en) Semiconductor device and method of manufacture