TW202305163A - 層形成方法 - Google Patents

層形成方法 Download PDF

Info

Publication number
TW202305163A
TW202305163A TW111140123A TW111140123A TW202305163A TW 202305163 A TW202305163 A TW 202305163A TW 111140123 A TW111140123 A TW 111140123A TW 111140123 A TW111140123 A TW 111140123A TW 202305163 A TW202305163 A TW 202305163A
Authority
TW
Taiwan
Prior art keywords
precursor
layer
substrate
reactant
supplying
Prior art date
Application number
TW111140123A
Other languages
English (en)
Other versions
TWI839906B (zh
Inventor
朱馳宇
基蘭 什雷斯塔
琦 謝
巴山 羅普
Original Assignee
荷蘭商Asm 智慧財產控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/691,241 external-priority patent/US11056344B2/en
Application filed by 荷蘭商Asm 智慧財產控股公司 filed Critical 荷蘭商Asm 智慧財產控股公司
Publication of TW202305163A publication Critical patent/TW202305163A/zh
Application granted granted Critical
Publication of TWI839906B publication Critical patent/TWI839906B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal

Abstract

提供一種形成一層之方法,該方法包括將一種子層沈積於基板上;並將一主體層沈積於該種子層上。沈積該種子層包括將包含金屬及鹵素原子之第一前驅體供應至該基板;並將第一反應物供應至該基板。沈積該主體層包括將包含金屬及鹵素原子之第二前驅體供應至該種子層;並將第二反應物供應至該種子層。

Description

層形成方法
本發明大體上係關於一種在一基板上形成一層之方法。更具體地說,本發明係關於依序重複原子層沈積(ALD)循環或化學氣相沈積(CVD)程序以在具有間隙之基板上形成層之至少一部分,該等間隙係在特徵之製造過程中產生。在基板上之層可用於製造半導體裝置。 [相關專利申請案之交叉參考]
本申請案係2017年8月30日申請的名稱為「層形成方法(LAYER FORMING METHOD)」之美國非臨時申請案第15/691,241號的部分接續申請案,且主張2017年12月18日申請的名稱為「層形成方法」之美國臨時專利申請案第62/607,070號的權益,兩案皆以引用之方式併入本文中。
在原子層沈積(ALD)及化學氣相沈積(CVD)中,對基板施加適於在基板上反應形成所需層的第一前驅體及第一反應物。該層可沈積於基板上在製造特徵過程中所產生之間隙中以填充間隙。
在ALD中,使基板暴露於第一前驅體之脈衝且第一前驅體單層可以化學吸附於該基板之表面上。表面位置可由第一前驅體之全部或由第一前驅體之片段佔據。該反應可以為化學自限式反應,因為第一前驅體不會吸附於基板表面上或不與已經吸附於基板表面上之第一前驅體之部分反應。接著,過量的第一前驅體藉由例如提供惰性氣體及/或自反應室移除第一前驅體進行淨化。隨後,使基板暴露於第一反應物之脈衝,該第一反應物與所吸附的第一前驅體之全部或片段發生化學反應,直至該反應完成且表面經反應產物單層覆蓋。
已發現可能需要改善沈積層之品質。
可能需要一種在基板上形成沈積層之改良方法。因此,可提供一種形成層之方法,該方法包括:提供具有在特徵製造過程中產生之間隙的基板並將種子層沈積於該基板上;以及在該種子層上沈積主體層。沈積該種子層可包括:將包含金屬及鹵素原子之第一前驅體供應至該基板;並將第一反應物供應至該基板,其中該第一前驅體與該第一反應物之一部分反應以形成該種子層之至少一部分。沈積該主體層可包括:將包含金屬及鹵素原子之第二前驅體供應至該種子層;並將第二反應物供應至該種子層,其中該第二前驅體及該第二反應物之一部分反應以在該種子層上形成該主體層之至少一部分。第一及第二前驅體可為不同。
藉由具有不同的第一及第二前驅體用於種子層及主體層,種子層及主體層之特性可得到優化,由此使總體層之品質可得到改善。第一及第二反應物可為相同且包含氫原子。
在一些其他具體例中,提供一種用於半導體處理之方法。該方法包括將金屬層沈積於基板中之間隙中,由此填充該間隙。
金屬層可在半導體裝置中被需要作為導電層。在積體電路裝置之特徵的製造過程中產生的間隙可用金屬層來填充。該等間隙可具有高縱橫比,因其深度為遠大於其寬度。
該等間隙可在所製造的具有實質上水平之頂表面的層中豎直地延伸。沿豎直方向且填充有金屬之間隙可例如用於動態隨機存取記憶體(DRAM)型記憶體積體電路之字線中。沿豎直方向且填充有金屬之間隙亦可例如用於邏輯積體電路中。舉例而言,金屬填充間隙可以用作P型金屬氧化物半導體(PMOS)或互補金屬氧化物半導體(CMOS)積體電路中或源極/汲極溝槽型接觸中之閘極填充。
該等間隙亦可沿水平方向配置於所製造之層中。此外,該等間隙可具有高縱橫比,因其深度,現於水平方向上,為遠大於其寬度。沿水平方向且填充有金屬之間隙可例如用於3D NAND型記憶體積體電路之字線中。該等間隙亦可沿豎直方向與水平方向之組合配置。
間隙之表面可包含一個種類之沈積材料。或者,間隙之表面可包含不同種類之沈積材料。間隙之表面可例如包含氧化鋁及/或氮化鈦。當例如鉬導電層可能為間隙中所需時,可能很難將鉬沈積於間隙中之不同材料上。可能需要的是,鉬層可以覆蓋間隙之全部表面且填充整個間隙。另外,亦可能需要鉬層可以覆蓋包括不同種類材料之間隙的全部表面。
為了填充整個間隙,種子層可沈積於間隙中且主體層可沈積於該種子層上。種子層可藉由依序重複預處理原子層沈積(ALD)循環來形成。或者,種子層可藉由化學氣相沈積(CVD)程序來形成。CVD程序可為脈衝式的,其中第一前驅體以脈衝供應至基板上,同時將第一反應物持續地供應至基板上,或反之亦然。主體層可藉由依序重複主體ALD循環來沈積於種子層上。或者,該主體層可藉由CVD程序來沈積於種子層上。CVD程序可為脈衝式的,其中第二前驅體以脈衝供應至基板上,同時將第二反應物持續地供應至基板上,或反之亦然。
圖1a及1b顯示一流程圖,說明根據一個具體例沈積一層之方法,其中種子層可沈積於間隙中且主體層可沈積於種子層上。關於種子層之預處理ALD循環1可如圖1a中所示且關於主體層之主體ALD循環2可如圖1b中所示。
在步驟3中將具有間隙之基板提供於反應室中之後,包含金屬及鹵素原子之第一前驅體可以在步驟5中供應至基板,持續第一供應時段T1(參看圖1a)。隨後,藉由例如在步驟7中自反應室移除,例如淨化掉,第一前驅體之一部分,持續第一移除時段R1,可停止第一前驅體向基板之另外供應。另外,該循環可包括將第一反應物供應9至基板,持續第二供應時段T2。第一前驅體及第一反應物的一部分可反應以在基板上形成種子層之至少一部分。通常,在種子層沈積開始之前,其可花費數個(約50個)循環。可例如藉由在步驟11中自反應室移除,例如淨化掉第一反應物之一部分,持續第二移除時段R1,而停止第一反應物向基板之另外供應。
第一前驅體及第一反應物可經選擇以在間隙之表面上具有適當成核作用。預處理ALD循環1可重複N次以沈積種子層,其中N係選擇在100與1000之間,較佳在200與800之間,且更佳在300與600之間。種子層可具有的厚度係在1與20 nm之間,較佳在2與10 nm之間,更佳在3與7 nm之間。
在預處理之後,將ALD循環1重複N次。包含金屬及鹵素原子之第二前驅體可以在步驟11中,藉由主體ALD循環2供應至基板,持續第三供應時段T3(參看圖1b)。這可以在與圖1a之預處理ALD循環1相同之反應室中或在不同反應室中進行。當有關預處理循環之溫度要求可能不同時,在與預處理ALD循環不同的反應室中進行主體ALD循環可能係有利的。因此,基板轉移可能為必要的。隨後,例如藉由在步驟13中自反應室移除,例如淨化掉第二前驅體之一部分,持續第三移除時段R3,可停止第二前驅體向基板之另外供應。
另外,該循環可包括將第二反應物供應15至基板,持續第四供應時段T4。第二前驅體及第二反應物的一部分可反應以在基板上形成主體層之至少一部分。可例如藉由在步驟17中自反應室移除,例如淨化掉第二反應物之一部分,持續第四移除時段R4,而停止第二反應物向基板之另外供應。第二前驅體及第二反應物可經選擇以具有適當電子特性。舉例而言,以具有低電阻率。鉬膜可具有的電阻率係低於3000 μΩ-cm,或低於1000 μΩ-cm,或低於500 μΩ-cm,或低於200 μΩ-cm,或低於100 μΩ-cm,或低於50 μΩ-cm,或低於25 μΩ-cm,或低於15 μΩ-cm或甚至低於10 μΩ-cm。
關於主體層之主體ALD循環2可以重複M次,其中M選擇係在200與2000之間,較佳在400與1200之間,且更佳在600與1000之間。主體層可具有的厚度係在1與100 nm之間,較佳在5與50 nm之間,更佳在10與30 nm之間。
第一及第二前驅體可包含相同金屬原子。金屬可為過渡金屬原子。該過渡金屬原子可為鉬。
第一及第二前驅體可包含相同鹵素原子。該鹵素原子可為氯。藉由具有相同鹵素,fab中工具及程序之檢核可以簡化,因為只有一種鹵素需要評估。第一前驅體可包含五氯化鉬(MoCl 5)。
在預處理ALD循環期間,反應室中之處理溫度可選擇在300與800℃之間,較佳在400與700℃之間且更佳在450與550℃之間。使第一前驅體汽化之容器可以維持在40與100℃之間,較佳在60與80℃之間且更佳維持在約70℃。
第二前驅體可包含不為金屬或鹵素原子之另外的原子。該另外的原子可為硫屬元素。硫屬元素可為氧、硫、硒或碲。第二前驅體可包含二氯二氧化鉬(VI)(MoO 2Cl 2)。
在主體ALD循環期間,處理溫度可在300與800℃之間,較佳在400與700℃之間且更佳在500與650℃之間。使第二前驅體汽化之容器可維持在20與150℃之間,較佳在30與120℃之間且更佳在40與110℃之間。
將第一及/或第二前驅體供應至反應室中可花費在0.1與10秒之間,較佳在0.5與5秒之間且更佳在0.8與2秒之間選擇的持續時間T1、T3。舉例而言,T1可為1秒且T3可為1.3秒。反應室中第一或第二前驅體之流量可選擇在50與1000 sccm之間,較佳在100與500 sccm之間,且更佳在200與400 sccm之間。反應室中的壓力可選擇在0.1與100托(Torr)之間,較佳在1與50托之間,且更佳在4與20托之間。
第一及第二反應物中之一種或兩種可具有氫原子。第一及第二反應物中之至少一種可包含氫氣(H 2)。第一及第二反應物可為相同。將第一及/或第二反應物供應至反應室中的持續時間T2、T4可花費在0.5與50秒之間,較佳在1與10秒之間,且更佳在2與8秒之間。反應室中第一或第二反應物之流量可在50與50000 sccm之間,較佳在100與20000 sccm之間,且更佳在500與10000 sccm之間。
矽烷可視為第一及/或第二反應物。矽烷之通式係Si xH2 (x+2),其中x係整數1、2、3、4… 矽烷(SiH 4)、二矽烷(Si 2H 6)或三矽烷(Si 3H 8)可為具有氫原子之第一及或第二反應物之適合例子。
自反應室移除,例如淨化掉第一前驅體、第一反應物、第二前驅體及第二反應物中至少一種之一部分,持續時間R1、R2、R3或R4可在0.5與50秒之間、較佳在1與10之間,且更佳在2與8秒之間進行。淨化可使用在將第一前驅體供應至基板之後;在將第一反應物供應至基板之後;在將第二前驅體供應至種子層之後;以及在將第二反應物供應至種子層之後,以自反應室移除第一前驅體、第一反應物、第二前驅體及第二反應物中至少一種之一部分,持續時間R1、R2、R3或R4。移除可藉由泵送及/或藉由提供淨化氣體來實現。淨化氣體可為惰性氣體,諸如氮氣。
該方法可用於單個或分批式晶圓ALD設備中。該方法包括將基板提供於反應室中且在反應室中之預處理ALD循環可包括:將第一前驅體供應至反應室中之基板上;自反應室淨化掉第一前驅體之一部分;將第一反應物供應反應室中之基板上;且自反應室淨化掉第一反應物之一部分。另外,該方法包括將基板提供於反應室中且在反應室中之主體ALD循環包括:將第二前驅體供應至反應室中之基板上;自反應室淨化掉第二前驅體之一部分;將第二反應物供應至反應室中之基板上;且自反應室淨化掉第二反應物之一部分。
專門設計用於執行ALD程序的示例性單晶圓反應器為商品名Pulsar®、Emerald®、Dragon®及Eagle®購自ASM International NV(荷蘭阿爾梅勒(Almere, The Netherlands))。該方法亦可在分批式晶圓反應器,例如立式熔爐中執行。舉例而言,沈積程序可在亦購自ASM International N.V.之A412 TM立式熔爐中執行。熔爐可具有能容納150個直徑為300 mm之半導體基板或晶圓負荷的處理腔室。
晶圓反應器可設置有可以控制反應器之控制器及記憶體。記憶體可用程式編程以在控制器上執行時,根據本發明之具體例將前驅體及反應物供應於反應室中。
圖2顯示根據本發明之一具體例在基板上填充一層的間隙結構之截面。如所示,該間隙可在所製造的具有實質上水平之頂表面的層中豎直地及水平地延伸。
該等間隙可具有高縱橫比,因在豎直方向及或水平方向上之深度為遠大於寬度。舉例而言,在豎直方向上,該間隙具有的寬度在頂部為207 nm、在中間為169 nm且在底部為149 nm,而該間隙之深度要大得多,為432 nm。舉例而言,在水平方向上,第一間隙自頂部具有34 nm之寬度,而該間隙之深度要大得多,為163 nm (四捨五入)。該間隙之縱橫比(間隙深度/間隙寬度)可為大於約2、大於約5、大於約10、大於約20、大於約50、大於約75,或在一些情況下甚至大於約100或大於約150或大於約200。
可以注意到,間隙之縱橫比可能很難測定,但在本文中,縱橫比可用表面增強比率(surface enhancement ratio)替代,該表面增強比率可為晶圓或晶圓之部分中間隙之總表面積相對於晶圓或晶圓之部分之平坦表面面積的比率。間隙之表面增強比率(表面間隙/表面晶圓)可為大於約2、大於約5、大於約10、大於約20、大於約50、大於約75,或在一些情況下甚至大於約100或大於約150或大於約200。
間隙之表面可包含不同種類之沈積材料19、21。該表面可例如包含Al 2O 3或TiN。
共形金屬層23藉由用第一前驅體依序重複預處理ALD循環沈積種子層且藉由用第二前驅體依序重複主體ALD循環沈積主體層來沈積於間隙之表面上。所用方法之詳細為顯示於圖1a及1b和相關說明中。在一些具體例中,所沈積的含Mo膜可具有階梯覆蓋率為大於約50%、大於約80%、大於約90%、大於約95%、大於約98%、大於約99%。
第一及第二前驅體可包含相同金屬原子,例如過渡金屬原子,諸如鉬。第一及第二前驅體可包含相同鹵素原子,例如氯。第一前驅體可包含MoCl5。第二前驅體可包含不為金屬或鹵素原子的另外的原子,例如硫屬原子,諸如氧。第二前驅體可包含二氯二氧化鉬(VI)(MoO 2Cl 2)。該方法可在原子層沈積設備中執行。舉例而言,該等沈積程序可在EMERALD® XP ALD設備中執行。
第一及第二反應物係氫氣(H 2),其係以495 sccm流量供應於反應室中,持續5秒時間T2、T4。沖洗氣體氮氣係被用在供應第一前驅體之後;供應第一反應物之後;供應第二前驅體之後;以及供應第二反應物之後,持續5秒時間R1、R2、R3或R4。
在預處理及主體ALD循環期間,處理溫度係約550℃且壓力係約10托。使第一前驅體汽化之容器係約70℃。使第二前驅體汽化之容器係約35℃。
約4.6 nm之種子層係使用預處理ALD循環沈積,持續500個循環,且約21.4 nm之主體層係使用主體ALD循環沈積,持續800個循環。如所示,鉬層23係極均勻地沈積於間隙之表面上且具有約26 nm總厚度。
間隙之取向,無論其係水平的抑或豎直的,以及間隙之寬度看來不會實質上影響層23之厚度。此外,該表面之材料,無論其係Al 2O 319抑或TiN 21看來也不會影響層23之厚度。以此方式,有可能以良好均勻性產生金屬填充之間隙。
該方法亦可用於空間原子層沈積設備中。在空間ALD中,將前驅體及反應物持續地供應於不同物理區段中且基板在該等區段之間移動。可提供至少兩個區段,在此情況下,於基板存在下,可以進行半反應。若基板存在於此類半反應區段中,則單層可由第一或第二前驅體形成。接著,該基板移動至另一個半反應區,在其中ALD循環利用第一或第二反應物完成以形成一個ALD單層。或者,基板位置可以為固定的且氣體供應可以移動,或該兩者之某一組合。為了獲得較厚的膜,此工序可以重複。
根據空間ALD設備中之一個具體例,該方法包括: 將基板放入包含複數個區段之反應室中,每一區段藉由氣幕與相鄰區段分開; 將第一前驅體供應至反應室之第一區段中的基板上; 將基板表面對於反應室側向地移動穿過氣幕進入反應室之第二區段; 將第一反應物供應至反應室之第二區段中的基板上以形成種子層; 將基板表面對於反應室側向地移動穿過氣幕;且 重複供應第一前驅體及反應物,包括將基板表面對於反應室側向地移動,以形成種子層。
為了形成主體層,該方法進一步包括: 將基板放入包含複數個區段之反應室中,每一區段藉由氣幕與相鄰區段分開; 將第二前驅體供應至反應室之第一區段中的基板上; 將基板表面對於反應室側向地移動穿過氣幕進入反應室之第二區段; 將第二反應物供應至反應室之第二區段中的基板上以形成主體層; 將基板表面對於反應室側向地移動穿過氣幕;且 重複供應第二前驅體及反應物,包括將基板表面對於反應室側向地移動,以形成主體層。
第一及第二前驅體可為不同。第一及第二反應物可為相同且包含氫原子。
根據一個具體例,種子層可用化學氣相沈積(CVD)程序沈積,其中第一前驅體及第一反應物係同時供應至基板。主體層可用CVD程序沈積,其中第二前驅體及第二反應物亦可同時供應至基板。
CVD程序可為脈衝式CVD程序,其中前驅體係以脈衝供應至基板,同時將反應物持續地供應至基板。其優勢可在於,較高濃度之反應物可降低鹵素之濃度。高濃度鹵素可能損害在基板上之半導體裝置。
舉例而言,在對於種子層之脈衝式CVD程序中,第一前驅體五氯化鉬(MoCl5)可以1秒之脈衝與5秒沖洗氣體流量交替地提供。第一反應物氫氣可在500sccm流動速率下持續地供應且基板可保持在550℃。
專門設計用於執行CVD程序的示例性單晶圓反應器可用商品名Dragon®購自ASM International NV(荷蘭阿爾梅勒)。該方法亦可在分批式晶圓反應器,例如立式熔爐中執行。舉例而言,沈積程序可在亦購自ASM International N.V.之A400 TM或A412 TM立式熔爐中執行。熔爐可具有能容納150個半導體基板或晶圓負荷之處理腔室。
對於製造3D NAND記憶體,字線可具有需要低電阻率金屬填充之間隙。現有解決方案可利用TiN作為種子層用於CVD鎢間隙填充。對於當前基於氟之鎢沈積程序,來自WF6前驅體之氟可以擴散。較厚(=3 nm)的TiN阻擋層可能係防止氟擴散及擴散之氟攻擊高k Al2O3膜所必需的。然而,錫膜之高電阻率(在3 nm下,800 µΩ-cm)導致TiN/W疊層電阻率增加,該電阻率增加可能為不合需要的。
可能需要一種在基板上形成具有低電阻率同時不含氟之沈積層的改良方法。因此,可提供一種形成層之方法,該方法包括:提供具有間隙之基板,該等間隙係在特徵製造過程中產生;將種子層沈積於該基板上;並將主體層沈積於該種子層上。沈積主體層可包括:供應包含過渡金屬諸如鎢之第二前驅體以在種子層之頂部上沈積主體層。
第二前驅體可包含鹵素,諸如氯,以沈積主體層。第二前驅體可為五氯化鎢(V)(WCl 5)或六氯化鎢(VI)(WCl 6)。主體層可藉由五氯化鎢(V)(WCl 5)或六氯化鎢(VI)(WCl 6)與氫氣H 2以ALD或CVD操作模式反應來沈積。例如,WCl 5之反應可在450℃溫度及40托壓力下實現。該等前驅體可以ALD或CVD操作模式提供。
種子層可藉由包含鉬之第一前驅體與氫氣反應來沈積。使用鉬之種子層的電阻率可為107 µΩ-cm (3 nm),小於TiN層。特別是對於15nm疊層厚度(相當於30 nm CD結構中之間隙填充),使用此方法實現良好間隙填充。藉由使用五氯化鎢(V)(WCl 5)或六氯化鎢(VI)(WCl 6)在種子層之頂部上沈積主體層,有可能在不使用氟情況下沈積鎢層且仍具有低電阻率。種子層之前驅體可包含過渡金屬(例如鉬(Mo))、鹵素(例如氯(Cl))及可選硫屬原子(例如氧(O))。種子層之前驅體可例如為五氯化物(MoCl 5)或二氯二氧化鉬(VI)(MoO 2Cl 2),兩種皆與氫氣反應。若五氯化鉬(MoCl 5)與二氯二氧化鉬(MoO 2Cl 2)一起使用時,則氫氣之分壓可降低100倍。
鉬種子層之沈積速度可為每個循環1.2埃。為進行比較,TiN種子層之沈積速度在相同情況下可為每個循環0.6埃。鉬種子層之沈積速度因而可為足夠的。
沈積於種子層上之金屬可為銅。第二前驅體可包含銅。第二前驅體可包含鹵素,諸如氯,以沈積主體層。第二前驅體可包含二氯化銅(II)(CuCl 2)或氯化亞銅(CuCl)。該等前驅體可用與氫氣反應之ALD或CVD操作模式提供。
沈積於種子層上之金屬可為來自以下之群之過渡金屬或貴金屬:Ti、V、Cr、Mn、Nb、Mo、Ru、Rh、Pd、Ag、Hf、Ta、W、Re、Os、Ir及Pt。在一些具體例中,該層可包含Co或Ni。
在其他具體例中,種子或主體層可包含小於約40原子%、小於約30原子%、小於約20原子%、小於約10原子%、小於約5原子%或甚至小於約2原子%氧。在其他具體例中,種子或主體層可包含小於約30原子%、小於約20原子%、小於約10原子%或小於約5原子%,或小於約2原子 %,或甚至小於約1原子%氫。在一些具體例中,種子或主體層可包含小於約10原子%,或小於約5原子 %、小於約1原子 %,或甚至小於約0.5原子 %鹵素原子或氯。在又其他具體例中,種子或主體層可包含小於約10原子%,或小於約5原子%,或小於約2原子%,或小於約1原子%,或甚至小於約0.5原子%碳。在本文所概述之具體例中,元素之原子百分比(原子%)濃度可利用拉塞福後向散射(Rutherford backscattering,RBS)測定。
在本發明之一些具體例中,形成一半導體裝置結構,諸如半導體裝置結構可包括形成包含鉬膜之閘電極結構,該閘電極結構具有之有效功函數為大於約4.9 eV,或大於約5.0 eV,或大於約5.1 eV,或大於約5.2 eV,或大於約5.3 eV,或甚至大於約5.4 eV。在一些具體例中,以上提供之有效功函數值可由包含厚度小於約100埃,或小於約50埃,或小於約40埃,或甚至小於約30埃之鉬膜的電極結構展示。
熟習此項技術者將理解,在不偏離本發明之範疇情況下,可對上述程序以及結構進行各種省略、添加以及修改。預期可進行具體例之特定特徵及態樣的各種組合或子組合且仍在說明內容之範疇內。所揭示具體例之各種特徵及態樣可依序相互組合或經取代。所有該等修改及變化意欲歸屬於如由隨附申請專利範圍所界定之發明範疇內。
1:預處理ALD循環 2:主體ALD循環 3:步驟 5:步驟 7:步驟 9:供應 11:步驟 13:步驟 15:供應 17:步驟 19:沈積材料 21:沈積材料 23:共形金屬層 R1:移除時段/時間 R2:時間 R3:時間 R4:時間
本文所揭示的本發明之此等及其他特徵、態樣及優點在下文參考某些具體例之圖式來描述,該等具體例意欲說明且不限制本發明。
[圖1a及1b]顯示一流程圖,說明根據一個具體例沈積一層之方法。
[圖2]顯示根據一個具體例在基板上填充一層的間隙結構之截面。

Claims (20)

  1. 一種形成一層之方法,其包括: 提供一基板至一反應室中; 將一第一層沈積於該基板上;且 將一第二層沈積於該第一層上, 其中,沈積該第一層包括: 將包含金屬及鹵素原子之第一前驅體供應至該基板;且 將第一反應物供應至該基板, 其中,該第一前驅體及該第一反應物之一部分反應形成該第一層之至少一部分; 其中,沈積該第二層包括: 供應包含金屬及鹵素之第二前驅體;且 供應第二反應物, 其中該第二前驅體及該第二反應物之一部分反應以在該第一層上形成該第二層之至少一部分, 其中,該第一反應物或第二反應物中之至少一者包含矽烷,且 其中,該第一及第二前驅體係不同的。
  2. 如請求項1之方法,其中,該矽烷由通式Si xH (2x+2)代表。
  3. 如請求項2之方法,其中,x係大於或等於1且小於或等於4的整數。
  4. 如請求項1之方法,其中,該第一及第二反應物中之一者包含氫氣(H 2)。
  5. 如請求項1之方法,其中,該第一及第二前驅體包含相同金屬原子。
  6. 如請求項1之方法,其中,該第一及第二前驅體中之至少一者包含過渡金屬原子。
  7. 如請求項5之方法,其中,該過渡金屬原子係鉬。
  8. 如請求項1之方法,其中,該第一及第二前驅體包含相同鹵素原子。
  9. 如請求項1之方法,其中,該鹵素原子係氯。
  10. 如請求項1之方法,其中,該第一前驅體包含五氯化鉬(MoCl 5)。
  11. 如請求項1之方法,其中,該第二前驅體包含不為金屬或鹵素原子之另外的原子。
  12. 如請求項10之方法,其中,該另外的原子係硫屬原子。
  13. 如請求項11之方法,其中,該硫屬原子係氧。
  14. 如請求項12之方法,其中,該第二前驅體包含二氯二氧化鉬(VI)(MoO 2Cl 2)。
  15. 如請求項1之方法,其中,該反應室中之壓力係在0.1與100托之間。
  16. 如請求項1之方法,其中,該反應室中之處理溫度係在300 ℃與800 ℃之間。
  17. 如請求項1之方法,其中沈積該第一層包括重複包含依序將該第一前驅體供應至該基板以及將該第一反應物供應至該基板之原子層沈積(ALD)循環;及/或 沈積該第二層包括重複包含依序將該第二前驅體供應至該基板以及將該第二反應物供應至該基板之原子層沈積(ALD)循環。
  18. 如請求項1之方法,其中,沈積該第一及第二層中之至少一者包括化學氣相沈積(CVD)程序。
  19. 如請求項5之方法,其中,該過渡金屬原子係鎢(W)或銅(Cu)。
  20. 如請求項1之方法,其中,該第二前驅體包含鎢(W)或銅(Cu)。
TW111140123A 2017-08-30 2018-08-10 層形成方法 TWI839906B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US15/691,241 US11056344B2 (en) 2017-08-30 2017-08-30 Layer forming method
US15/691,241 2017-08-30
US201762607070P 2017-12-18 2017-12-18
US62/607,070 2017-12-18

Publications (2)

Publication Number Publication Date
TW202305163A true TW202305163A (zh) 2023-02-01
TWI839906B TWI839906B (zh) 2024-04-21

Family

ID=

Also Published As

Publication number Publication date
CN109427570B (zh) 2024-04-12
TWI784036B (zh) 2022-11-21
TW201934792A (zh) 2019-09-01
JP7460319B2 (ja) 2024-04-02
KR20220155951A (ko) 2022-11-24
JP2019044266A (ja) 2019-03-22
CN109427570A (zh) 2019-03-05
KR20190024806A (ko) 2019-03-08

Similar Documents

Publication Publication Date Title
US20210313182A1 (en) Layer forming method
US20190067095A1 (en) Layer forming method
JP7372247B2 (ja) 堆積方法
US11447864B2 (en) Layer forming method and apparatus
TWI784036B (zh) 層形成方法
US11908736B2 (en) Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11898242B2 (en) Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
KR102553413B1 (ko) 기판의 유전체 표면 상에 몰리브덴 금속막을 증착하는 방법 및 이와 관련된 반도체 소자 구조
US7144806B1 (en) ALD of tantalum using a hydride reducing agent
JP2020029618A (ja) 周期的堆積プロセスによって基材の誘電体表面上にモリブデン金属膜を堆積させる方法および関連する半導体デバイス構造
TW202122615A (zh) 在基板表面上形成多晶鉬膜之方法及包括多晶鉬膜之相關結構
TWI839906B (zh) 層形成方法
JP7422971B2 (ja) 基材および関連する半導体デバイス構造の誘電体表面上にモリブデン金属膜を堆積する方法