TW202246734A - 用於具有失真幾何形狀之深結構之精確量測之方法及系統 - Google Patents

用於具有失真幾何形狀之深結構之精確量測之方法及系統 Download PDF

Info

Publication number
TW202246734A
TW202246734A TW111104874A TW111104874A TW202246734A TW 202246734 A TW202246734 A TW 202246734A TW 111104874 A TW111104874 A TW 111104874A TW 111104874 A TW111104874 A TW 111104874A TW 202246734 A TW202246734 A TW 202246734A
Authority
TW
Taiwan
Prior art keywords
parameters
ray
structures
model
metrology
Prior art date
Application number
TW111104874A
Other languages
English (en)
Inventor
約翰 J 漢琪
陶德斯 傑拉德 佐拉
Original Assignee
美商科磊股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商科磊股份有限公司 filed Critical 美商科磊股份有限公司
Publication of TW202246734A publication Critical patent/TW202246734A/zh

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B15/00Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons
    • G01B15/04Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons for measuring contours or curvatures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B15/00Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B15/00Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons
    • G01B15/02Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons for measuring thickness
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Electromagnetism (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Length-Measuring Devices Using Wave Or Particle Radiation (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

本文中呈現用於基於X射線散射量測估計特性化高深寬比半導體結構之平面內失真形狀之幾何參數之值之方法及系統。一參數化幾何模型擷取孔形狀之平面內非橢圓失真之散射簽章。藉由增加用於描述孔結構之平面內形狀之獨立參數之數目,改良對高深寬比結構之實際形狀之模型擬合。在一個態樣中,一幾何上參數化量測模型包含用於特性化一經量測結構之平面內形狀之多於兩個自由度。在一些實施例中,該幾何模型包含具有三個或更多個自由度之一閉合曲線。在一些實施例中,該幾何模型包含兩個或更多個圓錐區段之一分段組合。將獨立幾何模型參數表達為深度之函數以擷取通過該結構之形狀變動。

Description

用於具有失真幾何形狀之深結構之精確量測之方法及系統
所述實施例係關於度量衡系統及方法,且更特定言之係關於用於藉由重複微影及蝕刻製造程序步驟製造之深半導體結構之經改良量測之方法及系統。
半導體裝置(諸如邏輯及記憶體裝置)通常由應用至一樣品之一系列處理步驟製造。半導體裝置之各種特徵及多個結構層級係由此等處理步驟形成。例如,微影尤其係涉及在一半導體晶圓上產生一圖案之一個半導體製造程序。半導體製造程序之額外實例包含(但不限於)化學機械拋光、蝕刻、沈積及離子植入。多個半導體裝置可製造於一單一半導體晶圓上且接著被分離成個別半導體裝置。
在一半導體製造程序期間之各個步驟使用度量衡程序以偵測晶圓上之缺陷以促進更高良率。通常使用包含散射量測及反射量測實施方案之數個基於度量衡之技術以及相關聯分析演算法以特性化奈米級結構之關鍵尺寸、膜厚度、組合物及其他參數。X射線散射量測技術提供高處理能力之潛力而無樣本破壞之風險。
傳統上,光學散射量測關鍵尺寸(SCR)量測係在由薄膜及/或重複週期性結構組成之目標上執行。在裝置製造期間,此等膜及週期性結構通常表示實際裝置幾何形狀及材料結構或一中間設計。隨著裝置(例如,邏輯及記憶體裝置)朝向更小奈米級尺寸發展,特性化變得更困難。併入複雜三維幾何形狀及具有不同實體性質之材料之裝置促成特性化困難。例如,現代記憶體結構通常係高深寬比三維結構,此使光學輻射難以穿透至底層。利用紅外至可見光之光學度量衡工具可穿透半透明材料之許多層,但提供良好穿透深度之較長波長不提供對小異常之足夠靈敏度。另外,特性化複雜結構(例如,FinFET)所需之參數之數目增加導致參數相關性增加。因此,特性化目標之參數通常無法可靠地與可用量測解耦合。
在一個實例中,已採用較長波長(例如,近紅外)以嘗試克服利用多晶矽作為堆疊中之交替材料之一者之3D FLASH裝置之穿透問題。然而,隨著照明在膜堆疊中傳播更深,如同3D FLASH之結構之鏡固有地引起光強度降低。此引起在深處之靈敏度損失及相關性問題。在此案例中,光學SCD僅能夠以高靈敏度及低相關性成功地提取一縮減組度量衡尺寸。
在另一實例中,在現代半導體結構中愈來愈多地採用不透明高介電常數材料。光學輻射通常無法穿透由此等材料構成之層。因此,使用薄膜散射量測工具(諸如橢偏儀或反射計)之量測變得愈來愈具挑戰性。
回應於此等挑戰,已開發更複雜光學度量衡工具。例如,已開發具有多個照明角、更短照明波長、更廣照明波長範圍及來自經反射信號之更完整資訊擷取(例如,除更習知反射率或橢偏信號之外,亦量測多穆勒(Mueller)矩陣元素)之工具。然而,此等方法尚未可靠地克服與許多先進目標(例如,複雜3D結構、小於10 nm之結構、採用不透明材料之結構)之量測及量測應用(例如,線邊緣粗糙度及線寬度粗糙度量測)相關聯之基礎挑戰。
光學方法可提供程序步驟之間之程序變量之非破壞性追蹤,但需要藉由破壞性方法之定期校準以在面對程序漂移(光學方法無法獨立地區分其等)時維持精確度。
原子力顯微鏡(AFM)及掃描穿隧顯微鏡(STM)能夠達成原子解析度,但其等可僅探測樣品之表面。另外,AFM及STM顯微鏡需要長掃描時間。掃描電子顯微鏡(SEM)達成中間解析度位準,但無法穿透結構至足夠深度。因此,未良好地特性化高深寬比孔。另外,樣品之所需充電對成像效能具有一不利影響。X射線反射計亦經受在量測高深寬比結構時限制其等有效性之穿透問題。
為了克服穿透深度問題,與破壞性樣本製備技術(諸如聚焦離子束(FIB)加工、離子銑削、毯覆式或選擇性蝕刻等)一起採用傳統成像技術(諸如TEM、SEM等)。例如,透射離子顯微鏡(TEM)達成高解析度位準且能夠探測任意深度,但TEM需要樣品之破壞性分區。材料移除及量測之若干反覆通常提供遍及一三維結構量測關鍵度量衡參數所需之資訊。但此等技術需要樣本破壞及冗長程序時間。歸因於蝕刻及度量衡步驟之漂移,完成此等類型之量測之複雜性及時間引入大不精確度,此係因為量測結果在所量測晶圓上之程序已完成之後很久才變得可用。因此,量測結果經受進一步處理及延遲回饋之偏差。另外,此等技術需要多個反覆,此引入配準誤差。概括言之,裝置良率受SEM及TEM技術所需之長及破壞性樣本製備之負面影響。
一般言之,存在使用光學、聲學及電子束工具之組合進行程序監測之許多方法。此等技術直接量測晶圓、特殊設計目標或特定監測晶圓。然而,無法以一具成本效益且及時的方式量測高深寬比結構之所關注參數導致尤其一晶圓之記憶體區段中之低良率。
採用一硬X射線能量位準(>15 keV)之光子之透射小角度X射線散射量測(T-SAXS)系統已展示解決具挑戰性量測應用之希望。在以下案中描述將SAXS技術應用至關鍵尺寸(CD-SAXS)及疊對(OVL-SAXS)之量測之各種態樣:1)頒予Zhuang及Fielden之標題為「High-brightness X-ray metrology」之美國專利第7,929,667號;2)Bakeman、Shchegrov、Zhao及Tan發表之標題為「Model Building And Analysis Engine For Combined X-Ray And Optical Metrology」之美國專利公開案第2014/0019097號;3)由Veldman、Bakeman、Shchegrov及Mieher發表之標題為「Methods and Apparatus For Measuring Semiconductor Device Overlay Using X-Ray Metrology」之美國專利公開案第2015/0117610號;4)由Hench、Shchegrov及Bakeman發表之標題為「Measurement System Optimization For X-Ray Based Metrology」之美國專利公開案第2016/0202193號;5)由Dziura、Gellineau及Shchegrov發表之標題為「X-ray Metrology For High Aspect Ratio Structures」之美國專利公開案第2017/0167862號;及6)由Gellineau、Dziura、Hench、Veldman及Zalubovsky發表之標題為「Full Beam Metrology for X-Ray Scatterometry Systems」之美國專利公開案第2018/0106735號,此等文件之各者之內容之全文以引用的方式併入本文中。前述專利文件被指派至加利福尼亞(美國),米爾皮塔斯市(Milpitas),KLA-Tencor Corporation。另外,頒予Mazor等人之標題為「X-ray scatterometry apparatus」之美國專利第9,606,073號描述SAXS技術至半導體結構之應用之各種態樣,該案之內容之全文以引用的方式併入本文中。
SAXS亦已應用至材料之特性化及其他非半導體相關應用。例示性系統已由包含Xenocs SAS (www.xenocs.com)、Bruker Corporation (www.bruker.com)及Rigaku Corporation (www.rigaku.com/en)之若干公司商業化。Bruker及Rigaku兩者提供分別稱為「Nanostar」及「Nanopix」之小角度X射線散射量測系統及廣角X射線散射量測系統。此等系統包含可調整樣本至偵測器距離。
亦在科學文獻中描述關於半導體結構之CD-SAXS度量衡之研究。大多數研究小組已採用歸因於其等巨大的大小、成本等而不適合用於一半導體製造設施中之高亮度X射線同步加速器源。在Lemaillet、Germer、Kline等人之標題為「Intercomparison between optical and x-ray scatterometry measurements of FinFET structures」,Proc. SPIE,第8681卷,第86810Q頁(2013年)之文章中描述此一系統之一個實例,此等文件之各者之內容之全文以引用的方式併入本文中。最近,美國國家標準與技術研究院(NIST)之一小組已起始採用緊緻及明亮X射線源(類似於在美國專利第7,929,667號中描述之X射線源)之研究。在標題為「X-ray scattering critical dimensional metrology using a compact x-ray source for next generation semiconductor devices」之J. Micro/Nanolith. MEMS MOEMS 16(1), 014001 (2017年,一月至三月)之一文章中描述此研究,此等文件之各者之內容之全文以引用的方式併入本文中。
基於X射線散射量測之度量衡技術係量測一所量測樣品之實體性質之間接方法。在大多數情況中,原始量測信號無法用於直接判定樣品之實體性質。代替性地,採用一量測模型以基於原始量測信號估計特性化所量測結構之一或多個所關注參數之值。一般言之,需要一基於物理學之量測模型或一基於機器學習之量測模型以基於原始量測信號(例如,經偵測強度I meas)判定樣品之實體性質。
在一些實例中,創建嘗試基於一或多個模型參數之經估計值預測原始量測信號之一基於物理學之量測模型。如在方程式(1)中繪示,量測模型包含與度量衡工具自身相關聯之參數,例如,系統參數(P system)及與所量測樣品相關聯之參數。當對所關注參數求解時,將一些樣品參數視為固定值(P spec-fixed)且使其他所關注樣品參數浮動(P spec-float),即,基於原始量測信號求解。
Figure 02_image001
系統參數係用於特性化度量衡工具(例如,一X射線散射計)之參數。例示性系統參數包含入射角(AOI)、方位角(Az)、照明波長等。樣品參數係用於特性化樣品之參數(例如,特性化(若干)所量測結構之材料及幾何參數)。針對一薄膜樣品,例示性樣品參數包含折射率、介電函數張量、全部層之標稱層厚度、層序列等。針對一CD樣品,例示性樣品參數包含與不同層相關聯之幾何參數值、與不同層相關聯之折射率等。為了量測目的,將系統參數及許多樣品參數視為已知固定值參數。然而,將一或多個樣品參數之值視為未知所關注浮動參數。
在一些實例中,所關注浮動參數之值藉由產生理論預測與實驗資料之間的最佳擬合之一反覆程序(例如,迴歸)求解。變動未知所關注浮動參數之值且以一反覆方式計算模型輸出值(例如,I model)且比較模型輸出值與原始量測資料I meas,直至判定導致模型輸出值與經實驗量測值之間之一充分緊密匹配之一組樣品參數值。在一些其他實例中,藉由搜尋遍及預運算解之一庫來求解浮動參數以尋找最緊密匹配。
在最近的文章中,作者報告在由當前最先進技術半導體製造設備製造之深通道孔中觀察到之數個幾何失真。此等深通道孔係NAND及DRAM記憶體裝置兩者之常見結構元件。一例示性文章標題為「Plasma etching of high aspect ratio features in SiO2 using Ar/C4F8/O2 mixtures:A computational investigation」,作者為Shuo Huang等人,其內容之全文以引用的方式併入本文中。
當嘗試在一裝置中蝕刻一相對深圓柱形孔時,產生各種類型之失真。一些失真包含關鍵尺寸(CD)之變動及依據高度而變化之孔輪廓之定向之變動。其他失真包含導致本質上為非橢圓之一平面內孔形狀之平面內形狀失真。圖1係標題為「Plasma etching of high aspect ratio features in SiO2 using Ar/C4F8/O2 mixtures:A computational investigation」之前述文章中呈現之一圖(圖21)之一部分之一圖解。圖1描繪一孔結構之五個影像10A至10E。各影像係在距孔結構之表面之一不同深度處之孔結構之一水平圖塊。孔結構係透過一抗反射塗層之材料層製造。影像10A繪示透過一光阻劑材料蝕刻之孔之形狀。影像10B至10E繪示透過氧化矽材料蝕刻之孔之形狀。如圖1中描繪,孔結構之形狀依據深度而變化且更重要地,實際平面內孔形狀在孔結構內之更大深度處在本質上係非橢圓的。
如圖1中描繪,非橢圓失真趨於依據孔之深度而增長。在經蝕刻孔結構之頂部附近,孔良好地近似表示為一圓形。然而,在經蝕刻孔結構之底部附近,孔嚴重失真使得一簡單橢圓形不緊密近似表示孔形狀。
通常言之,與一基於X射線散射量測之量測相關聯之一量測模型將一半導體裝置中之一經蝕刻孔形狀描述為一簡單橢圓。在裝置中之任何給定高度處,橢圓由其在一標稱標準軸(例如,x或y軸)中之偏心率e、其中心位置(例如,x0及y0)及其相對於x軸之旋轉
Figure 02_image002
描述。此等參數依據垂直於晶圓表面之高度z而變化。以此方式,模型擷取平面內形狀依據結構之高度之變化。
由方程式(2A)至(2B)繪示用由笛卡爾x及y描述之標準軸以一原點為中心之一橢圓形之一簡單描述。
Figure 02_image003
如由方程式(2A)至(2B)繪示,一橢圓之傳統模型係具有兩個自由度(即,定義一二維平面中之曲線之形狀之兩個獨立參數)之一閉合曲線。在此實例中,兩個自由度係標稱半徑r及偏心率e。
不幸地,一橢圓形模型(諸如方程式(2A)至(2B)中繪示之模型)未考量一非橢圓性質之平面內失真且亦未能以一有意義方式參數化自一標稱橢圓形之偏差。當存在非線性分量時,當前量測模型實施方案在信號殘差中引入系統誤差。在一些實例中,此等系統誤差使一參數迴歸之解偏差。此降低結構之所關注參數(例如,關鍵尺寸)之經估計值之可重複性、匹配及準確度。
總之,許多半導體結構之特徵大小之不斷減小及深度增加對度量衡系統施加困難要求。因此,期望用於量測高深寬比結構之經改良度量衡系統及方法以維持高裝置良率。
本文中呈現用於基於X射線散射量測估計特性化高深寬比半導體結構之平面內失真形狀之幾何參數之值之方法及系統。在一些實施例中,該一或多個所量測結構係藉由一系列微影及蝕刻步驟製造之深孔結構。
在一些實例中,基於散射量測之度量衡工具對貫穿一孔結構之深度之孔形狀之小變化(包含孔形狀之平面內非橢圓失真)靈敏。一量測模型包含擷取失真形狀之散射簽章之一參數化幾何模型。藉由增加用於描述孔結構之平面內形狀之參數之數目,所得幾何模型擬合孔結構之實際形狀,包含由非理想微影及蝕刻程序引發之形狀誤差。
在一個態樣中,一基於散射量測之量測模型包含具有用於特性化一經量測結構之平面內形狀之多於兩個自由度之一幾何上參數化量測模型。
在一些實施例中,用於特性化一經量測孔結構在一特定深度處之平面內形狀之幾何模型包含具有三個或更多個自由度之一閉合曲線,即,三個或更多個獨立參數定義一二維平面中之曲線之形狀。
在一些實施例中,用於特性化一經量測孔結構在一特定深度處之平面內形狀之幾何模型係一閉合曲線,該閉合曲線包括兩個或更多個圓錐區段(例如,橢圓、拋物線、雙曲線區段)之一分段組合。在此等實施例中,各圓錐區段由至少一個獨立參數定義,即,各圓錐區段具有至少一個自由度。再者,採用由總共多於兩個獨立參數(即,多於兩個自由度)定義之圓錐區段之一分段組合以描述一或多個孔結構在一特定深度處之平面內形狀。
一般言之,將描述一平面內孔形狀之一幾何模型之獨立參數表達為通過結構之深度之函數。以此方式,幾何模型擷取經處理半導體裝置之平面內形狀依據深度之真實變動。在一典型圖案化程序中,光阻劑中之孔係近似圓形,其具有最小或非常小失真。然而,一蝕刻工具之程序控制受限制。因此,在蝕刻程序將微影圖案轉印至半導體層時,失真隨著深度改變。精確地描述依據深度之形狀變動之能力導致模型與經量測資料之間之一更精確擬合,且因此,導致形狀參數值之經改良估計。
在另一態樣中,基於所關注參數之經量測值判定程序校正且將校正傳達至程序工具以改變程序工具(例如,微影工具、蝕刻工具、沈積工具等)之一或多個程序控制參數。
前文係一概述且因此必然含有細節之簡化、概括及省略;因此,熟習此項技術者將瞭解,該概述僅係闡釋性且絕不係限制性。本文中描述之裝置及/或程序之其他態樣、發明特徵及優點將在本文中闡述之非限制性詳細描述中變得顯而易見。
相關申請案之交叉參考
本專利申請案根據35 U.S.C. §119規定主張2021年2月10日申請之標題為「Accurate Modelling of Lithographic and Etch Shapes using Distorted Ellipses」之美國臨時專利申請案第63/147,758號之優先權,該案之標的物之全文以引用的方式併入本文中。
現將詳細參考本發明之背景實例及一些實施例,在隨附圖式中繪示該等實施例之實例。
本文中呈現用於基於X射線散射量測估計特性化高深寬比半導體結構之幾何參數之值之方法及系統。更具體言之,用於執行基於散射量側之量測之一量測模型包含特性化一或多個所量測孔結構之平面內失真形狀之一參數化幾何模型。
現代小角度X射線散射量測(SAXS)度量衡工具對貫穿一孔結構之深度之孔形狀之小變化(包含孔形狀之平面內非橢圓失真)靈敏。傳統上,採用一簡單橢圓作為基礎形狀函數來描述許多半導體裝置(例如,DRAM結構、3D NAND結構等)在結構中之任何給定高度處之幾何形狀。不幸地,許多真實裝置之平面內形狀自一簡單橢圓之形狀顯著失真。因此,傳統量測模型未能擷取存在於由現代SAXS度量衡工具產生之量測信號中之此等失真形狀之散射簽章。
藉由採用更精確地擷取標稱上圓形蝕刻及微影特徵之實際失真平面內幾何形狀之一幾何模型而顯著改良孔形結構貫穿其等深度之量測精確度。藉由精確地模型化孔形結構之平面內形狀,改良模型化與經量測信號之間之擬合以及對於深結構(諸如DRAM及VNAND記憶體)之更穩定參數追蹤。藉由增加用於描述如本文中描述之孔結構之平面內形狀之獨立參數之數目,所得幾何模型擬合孔結構之實際形狀,包含由非理想微影及蝕刻程序引發之形狀誤差。
一般言之,在一製造程序流程之一或多個步驟執行高深寬比結構之X射線散射量測。例示性程序步驟包含蝕刻、沈積及微影程序。快速地且以足夠精確度執行量測以實現一正在進行半導體製造程序流程之良率改良。高深寬比結構包含足夠整體散射體積及材料對比度以有效地散射入射X射線。所收集之散射X射線實現經量測裝置之所關注結構參數之精確估計。X射線能量足夠高以穿透矽晶圓且以最小信號污染在光學路徑中處理氣體。
先進半導體製造節點之裝置良率繼續受影響,尤其複雜高深寬比(深三維)結構之裝置良率。相較於諸如SEM、TEM等之傳統破壞性技術,基於即時監測及程序控制之X射線散射量測實現以一具成本效益方式對高深寬比結構之製造之程序控制。
X射線散射量測以高處理能力提供高深寬比結構之所關注結構參數之精確估計而不損毀所量測樣本。量測靈敏度不受穿透深度顯著影響,從而實現定位於經量測半導體結構之垂直堆疊內深處之結構之精確量測。
在一個態樣中,一基於SAXS之量測模型包含一或多個所量測結構之一幾何上參數化回應模型。該幾何上參數化回應模型使用具有多於兩個自由度之一幾何模型特性化經量測結構之平面內形狀。在一些實施例中,一或多個所量測結構係藉由一系列微影及蝕刻步驟製造之深孔結構。
在一些實施例中,用於特性化一經量測孔結構在一特定深度處之平面內形狀之幾何模型包含具有三個或更多個自由度之一閉合曲線,即,三個或更多個獨立參數定義一二維平面中之曲線之形狀。具有三個自由度之一曲線之一實例係由三階函數定義之一曲線,例如,一三次樣條曲線。在一些其他實施例中,用於特性化一經量測孔結構在一特定深度處之平面內形狀之幾何模型包含一閉合曲線,該閉合曲線包括兩個或更多個圓錐區段(例如,橢圓、拋物線、雙曲線區段)之一分段組合。在此等實施例中,各圓錐區段由至少一個獨立參數定義,即,各圓錐區段具有至少一個自由度。再者,採用由總共多於兩個獨立參數(即,多於兩個自由度)定義之圓錐區段之一分段組合以描述一或多個孔結構在一特定深度處之平面內形狀。例如,四個不同形狀之橢圓曲線之一分段組合可具有描述閉合曲線之至多八個獨立參數,即,兩個獨立參數描述四個橢圓曲線之各者。圓錐區段係由二階函數(例如,二次函數)定義之曲線之實例。
包括曲線之一分段組合之一閉合曲線將一形狀定義為開放曲線之一組合;各開放曲線之各端點接合至組合之另一曲線之一端點以形成連續閉合曲線。在一些實施例中,在一或多個端點處之斜率係平滑的,即,在兩個曲線接合在一起之位置處之第一空間導數針對兩個曲線係相同的。
圖2描繪用於執行安置於一晶圓上之半導體結構之X射線散射量測之一例示性晶圓量測系統100。在所描繪實施例中,量測系統係一透射小角度散射量測(T-SAXS)度量衡系統。在一些實施例中,所關注參數122之經量測值被提供為回饋以控制一製造程序工具(例如,一蝕刻程序工具、一微影程序工具、一沈積工具等)。
晶圓量測系統100包含一真空腔室104,真空腔室104含有一真空環境103。半導體晶圓101定位於真空腔室104內。晶圓101附接至晶圓卡盤105且藉由晶圓載物台140相對於X射線散射計定位。
在一些實施例中,晶圓載物台140藉由組合一旋轉移動與一平移移動(例如,在X方向上之一平移移動及繞Y軸之一旋轉移動)而在XY平面中移動晶圓101以相對於由X射線散射計提供之照明定位晶圓101。在一些其他實施例中,晶圓載物台140組合兩個正交平移移動(例如,在X及Y方向上之移動)以相對於由X射線散射計提供之照明定位晶圓101。在一些實施例中,晶圓載物台140經組態以在六個自由度中相對於由X射線散射計提供之照明控制晶圓101之位置。一般言之,樣品定位系統140可包含用於達成所要線性及角度定位效能之機械元件(包含(但不限於)測角器載物台、六腳架載物台、角度載物台及線性載物台)之任何適合組合。
在一些實施例中,晶圓量測系統100不包含晶圓載物台140。在此等實施例中,一晶圓處置機器人(未展示)將晶圓101定位於真空腔室104內部之晶圓卡盤105上。晶圓101自晶圓處置機器人被傳送至與一真空環境103相容之一靜電晶圓卡盤105上。在此等實施例中,由X射線散射計執行之量測限於在將晶圓101夾箝至晶圓卡盤105上之後在X射線散射計之視場內之晶圓101之部分。在此意義上,晶圓載物台140係選用的。為了克服此限制,晶圓量測系統100可包含各量測晶圓101之一不同區域之多個X射線散射計系統。
如圖2中描繪,X射線散射計之光學元件定位於真空腔室104外部。然而,在一些其他實施例中,X射線散射計之光學元件定位於真空腔室104內部。
在所描繪實施例中,SAXS度量衡系統包含一X射線照明子系統125,X射線照明子系統125包含一X射線照明源110、聚焦光學器件111、光束發散控制狹縫112、中間狹縫113及一光束塑形狹縫機構120。X射線照明源110經組態以產生適用於T-SAXS量測之X射線輻射。在一些實施例中,X射線照明源110經組態以產生在0.01奈米與1奈米之間之波長。一般言之,可考慮能夠在足以實現高處理能力線內度量衡之通量位準下產生高亮度X射線之任何適合高亮度X射線照明源以供應用於T-SAXS量測之X射線照明。在一些實施例中,一X射線源包含使X射線源能夠在不同、可選擇波長下遞送X射線輻射之一可調諧單色器。
在一些實施例中,採用發射具有大於15 keV或大於17 keV之光子能量之輻射之一或多個X射線源以確保X射線源供應容許充分透射穿過整個裝置以及晶圓基板及任何中介元件之波長之光。中介元件可包含一或多個窗(例如,由鈹、藍寶石、鑽石等製成之窗)。中介元件亦可包含在晶圓101與偵測器119之間之散射X射線輻射之路徑中之結構,諸如晶圓卡盤105、一裝載埠或載物台140之元件。穿過結構塑膠材料之透射無散射信號之過量污染之風險。穿過晶圓卡盤105、載物台140或一裝載埠之結構元件之孔隙或窗可用於最小化信號污染。例如,晶圓處之X射線位點可小至50至200微米。針對接近晶圓定位之元件,最小化散射級之污染所需之孔隙之大小係最小的。然而,歸因於與所關注散射級相關聯之有限散射角,所需孔隙大小隨著距晶圓之距離增加而增加。
例示性X射線源包含經組態以轟擊固體或液體目標以模擬X射線輻射之電子束源。在2011年4月19日頒予KLA-Tencor Corp.之美國專利第7,929,667號中描述用於產生高亮度液體金屬X射線照明之方法及系統,該專利之全文以引用的方式併入本文中。
藉由非限制性實例,X射線照明源110可包含一粒子加速器源、一液體陽極源、一旋轉陽極源、一固定固體陽極源、一微聚焦源、一微聚焦旋轉陽極源、一基於電漿之源及一逆康普頓(Compton)源之任何者。在一個實例中,可考慮可購自加利福尼亞(美國) Palo Alto,Lyncean Technologies, Inc.之一逆康普頓源。逆康普頓源具有能夠產生在一光子能量範圍內之X射線,藉此使X射線源能夠遞送不同可選擇波長之X射線輻射之一額外優點。
在一些實例中,運算系統130將命令信號137傳達至X射線照明源110以引起X射線照明源110以一所要能量位準發射X射線輻射。改變能量位準以擷取具有關於所量測高深寬比結構之更多資訊之量測資料。
X射線照明源110在具有有限橫向尺寸(即,正交於光束軸之非零尺寸)之一源區域上方產生X射線發射。聚焦光學器件111將源輻射聚焦至定位於樣品101上之一度量衡目標上。有限橫向源尺寸導致由來自源之邊緣之射線117界定之目標上之有限位點大小102。在一些實施例中,聚焦光學器件111包含橢圓形聚焦光學元件。
一光束發散控制狹縫112定位於聚焦光學器件111與光束塑形狹縫機構120之間之光束路徑中。光束發散控制狹縫112限制經提供至所量測樣品之照明之發散。一額外中間狹縫113定位於光束發散控制狹縫112與光束塑形狹縫機構120之間之光束路徑中。中間狹縫113提供額外光束塑形。然而,一般言之,中間狹縫113係選用的。
光束塑形狹縫機構120定位於光束路徑中樣品101之前。在一些實施例中,光束塑形狹縫機構120包含多個經獨立致動光束塑形狹縫。在一項實施例中,光束塑形狹縫機構120包含四個經獨立致動光束塑形狹縫。此四個光束塑形狹縫有效地阻擋傳入光束115之一部分且產生具有一盒形照明橫截面之一照明光束116。
一般言之,X射線光學器件塑形且引導X射線輻射至樣品101。在一些實例中,X射線光學器件包含一X射線單色器以使入射於樣品101上之X射線光束單色化。在一些實例中,X射線光學器件使用多層X射線光學器件將X射線光束準直或聚焦至樣品101之量測區域102上至小於1毫弧度發散度。在此等實例中,多層X射線光學器件亦用作一光束單色器。在一些實施例中,X射線光學器件包含一或多個X射線準直鏡、X射線孔隙、X射線光束光闌、折射X射線光學器件、繞射光學器件(諸如波帶片、蒙泰爾(Montel)光學器件)、鏡面X射線光學器件(諸如掠入射橢球面鏡)、多毛細管光學器件(諸如中空毛細管X射線波導)、多層光學器件或系統或其等之任何組合。在美國專利公開案第2015/0110249號中描述進一步細節,該案之內容之全文以引用的方式併入本文中。
在一些實施例中,將X射線照明源110、聚焦光學器件111、狹縫112及113或其等之任何組合維持於一受控大氣環境(例如,氣體沖洗環境)中。然而,在一些實施例中,在任何此等元件之間及內之光學路徑長度係長的且在空氣中之X射線散射對偵測器上之影像造成雜訊。因此,在一些實施例中,將X射線照明源110、聚焦光學器件111以及狹縫112及113之任何者維持於一局部化、真空環境中。在圖2中描繪之實施例中,將聚焦光學器件111、狹縫112及113以及光束塑形狹縫機構120維持於一經抽空飛行管118內之一受控環境(例如,真空)中。照明光束116在入射於真空腔室104之窗106上之前在飛行管118之端部處行進穿過窗126。在一些實施例中,飛行管118與真空腔室104整合。
在入射於晶圓101上之後,散射X射線輻射114透過窗107離開真空腔室104。在一些實施例中,真空腔室104與偵測器119之間之光學路徑長度(即,集光光束路徑)係長的且空氣中之X射線散射對偵測器上之影像造成雜訊。因此,在較佳實施例中,將真空腔室104與偵測器119之間之集光光學路徑長度之一大部分維持於藉由一真空窗(例如,真空窗124)與環境分離之一局部化真空環境中。在一些實施例中,真空腔室123與真空腔室104整合,其中一窗將真空環境103與維持於真空腔室123內之真空環境分離。在一些實施例中,將X射線偵測器119維持於相同於真空腔室104與偵測器119之間之光束路徑長度之局部化真空環境中。例如,如圖2中描繪,真空腔室123維持偵測器119及真空腔室104與偵測器119之間之光束路徑長度之一大部分周圍之一局部化真空環境。
在一些其他實施例中,將X射線偵測器119維持於一受控大氣環境(例如,氣體沖洗環境)中。此可有利於自偵測器119移除熱。然而,在此等實施例中,較佳將真空腔室104與偵測器119之間之光束路徑長度之一大部分維持於一真空腔室內之一局部化真空環境中。一般言之,真空窗可由對X射線輻射實質上透明之任何適合材料(例如,聚醯亞胺、鈹)構成。
X射線偵測器119收集自樣品101散射之X射線輻射114且根據一T-SAXS量測模態產生指示對入射X射線輻射靈敏之樣品101之性質之一輸出信號135。在一些實施例中,藉由X射線偵測器119收集散射X射線114,同時樣品定位系統140定位且定向樣品101以產生角度解析之散射X射線。
在一些實施例中,一T-SAXS系統包含具有高動態範圍(例如,大於10 5)之一或多個光子計數偵測器。在一些實施例中,一單一光子計數偵測器偵測經偵測光子之位置及數目。
在一些實施例中,X射線偵測器解析一或多個X射線光子能量且針對各X射線能量分量產生指示樣品之性質之信號。在一些實施例中,X射線偵測器119包含一CCD陣列、一微通道板、一光電二極體陣列、一微帶比例計數器、一充氣比例計數器、一閃爍器或一螢光材料之任何者。
以此方式,除像素位置及計數數目之外,偵測器內之X射線光子相互作用亦藉由能量鑑別。在一些實施例中,藉由比較X射線光子相互作用之能量與一預定上臨限值及一預定下臨限值而鑑別X射線光子相互作用。在一項實施例中,經由輸出信號135將此資訊傳達至運算系統130以供進一步處理及儲存(例如,在記憶體190中)。
在一進一步態樣中,採用一T-SAXS系統以基於散射光之一或多個繞射級判定一樣品之性質(例如,結構參數值)。如圖2中描繪,系統100包含用於獲取藉由偵測器119產生之信號135且至少部分基於經獲取信號判定樣品之性質且將經判定所關注參數122儲存於一記憶體(例如,記憶體190)中之一運算系統130。在一些實施例中,運算系統130經組態為一程序控制度量衡引擎以使用一量測模型基於晶圓之散射量測間接估計一或多個所關注參數之值。
在另一態樣中,基於T-SAXS之度量衡涉及藉由一預定量測模型使用經量測資料之逆解而判定樣本之尺寸。量測模型包含數個(約十個)可調整參數且代表樣品之幾何形狀及光學性質以及量測系統之光學性質。逆解之方法包含(但不限於)基於模型之迴歸、斷層掃描、機器學習或其等之任何組合。以此方式,藉由求解最小化經量測散射X射線強度與模型化結果之間之誤差之一參數化量測模型之值而估計目標輪廓參數。
在一些實施例中,量測模型係產生代表自所量測目標之散射之影像之量測之一電磁模型(例如,一出生波模型)。模型化影像可藉由程序控制參數(例如,蝕刻時間、蝕刻傾斜、蝕刻選擇性、沈積速率等)參數化。模型化影像亦可藉由經量測高深寬比結構之結構參數(例如,高度、在不同高度處之直徑、一孔相對於其他結構之對準、一孔特徵之直度、一孔特徵之同心度、依據深度而變化之經沈積層之厚度、跨一特定孔特徵或在不同孔特徵之間之經沈積層之均勻度等)參數化。
採用經量測散射影像以藉由執行一逆解而估計一或多個所關注參數之值。在此等實例中,一逆解將對程序參數、幾何參數或兩者之值求解,此產生最緊密匹配經量測影像之模型化散射影像。在一些實例中,使用量測模型使用迴歸方法(例如,梯度下降等)搜尋散射影像之空間。在一些實例中,產生預運算影像之一庫且搜尋庫以尋找導致模型化與經量測影像之間之最佳匹配之所關注參數之一或多者之值。
在一些其他實例中,一量測模型由一機器學習演算法訓練以將散射影像之許多樣本與已知程序條件、幾何參數值或兩者相關。以此方式,經訓練量測模型將經量測散射影像映射至程序參數、幾何參數或兩者之經估計值。在一些實例中,經訓練量測模型係定義實際量測與所關注參數之間之一直接函數關係之一信號回應度量衡(SRM)模型。
一般言之,將本文中描述之任何經訓練模型實施為一神經網路模型。在其他實例中,任何經訓練模型可實施為一線性模型、一非線性模型、一多項式模型、一回應表面模型、一支援向量機模型、一決策樹模型、一隨機森林模型、一深度網路模型、一卷積網路模型或其他類型之模型。
在一些實例中,可將本文中描述之任何經訓練模型實施為模型之一組合。在Pandev等人之美國專利公開案第2016/0109230號中提供模型訓練及使用經訓練量測模型進行半導體量測之額外描述,該案之內容之全文以引用的方式併入本文中。
可期望以大範圍之入射角及方位角執行量測以增加經量測參數值之準確度及精確度。此方法藉由擴展可用於分析之資料集之數目及多樣性以包含各種大角度平面外定向而減小參數之間之相關性。例如,在一標稱定向上,T-SAXS能夠解析一特徵之關鍵尺寸,但對一特徵之側壁角及高度在很大程度上不靈敏。然而,藉由在平面外角度定向之一廣範圍內收集量測資料,可解析一特徵之側壁角及高度。在其他實例中,以大範圍之入射角及方位角執行之量測提供用於通過其等整個深度特性化高深寬比結構之足夠解析度及穿透深度。
收集依據相對於晶圓表面法線之X射線入射角而變化之繞射輻射之強度之量測。多個繞射級中含有之資訊通常在各所考量模型參數之間係唯一的。因此,X射線散射產生具有小誤差及減小之參數相關性的所關注參數之值的估計結果。
圖3係繪示藉由運算系統130實施之一例示性模型建立及分析引擎180之一圖式。如圖3中描繪,模型建立及分析引擎180包含產生一樣品之一經量測結構之一結構模型182之一結構模型建立模組181。在一些實施例中,結構模型182亦包含樣品之材料性質。結構模型182經接收作為至T-SAXS回應函數建立模組183之輸入。T-SAXS回應函數建立模組183至少部分基於結構模型182產生一T-SAXS回應函數模型184。在一些實例中,T-SAXS回應函數模型184係基於X射線形狀因數,
Figure 02_image004
其中F係形狀因數,q係散射向量,且ρ(r)係樣品在球面座標中之電子密度。X射線散射強度接著藉由以下給出:
Figure 02_image005
T-SAXS回應函數模型184經接收作為至擬合分析模組185之輸入。擬合分析模組185比較模型化T-SAXS回應與對應經量測資料135以判定樣品之幾何以及材料性質。
在一些實例中,藉由最小化一卡方值而達成模型化資料至實驗資料之擬合。例如,針對T-SAXS量測,一卡方值可定義為
Figure 02_image006
其中
Figure 02_image007
係「通道」j中之經量測T-SAXS信號126,其中索引j描述一組系統參數(諸如繞射級、能量、角座標等)。
Figure 02_image008
係針對一組結構(目標)參數
Figure 02_image009
評估之「通道」j之模型化T-SAXS信號S j,其中此等參數描述幾何(CD、側壁角、疊對等)及材料(電子密度等)。
Figure 02_image011
係與第j通道相關聯之不確定性。
Figure 02_image012
係X射線度量衡中之通道之總數。L係特性化度量衡目標之參數之數目。
方程式(5)假定與不同通道相關聯之不確定性係不相關的。在其中與不同通道相關聯之不確定性相關之實例中,可計算不確定性之間之一協方差。在此等實例中,T-SAXS量測之一卡方值可表達為
Figure 02_image013
其中
Figure 02_image014
係SAXS通道不確定性之協方差矩陣,且T表示轉置。
在一些實例中,擬合分析模組185藉由使用T-SAXS回應模型184對T-SAXS量測資料135執行一擬合分析而對至少一個樣品參數值求解。在一些實例中,
Figure 02_image015
經最佳化。
如上文描述,藉由最小化卡方值而達成T-SAXS資料之擬合。然而,一般言之,T-SAXS資料之擬合可藉由其他函數達成。
T-SAXS度量衡資料之擬合對於提供對所關注幾何及/或材料參數之靈敏度之任何類型之T-SAXS技術係有利的。樣品參數可係確定性(例如,CD、SWA等)或統計性的(例如,側壁粗糙度之rms高度、粗糙度相關長度等),只要使用描述與樣品之T-SAXS光束相互作用之適當模型。
一般言之,運算系統130經組態以採用即時關鍵尺寸(RTCD)即時存取模型參數,或其可存取經預運算模型庫以判定與樣品101相關聯之至少一個樣品參數值之一值。一般言之,某一形式之CD引擎可用於評估一樣品之經指派CD參數與相關聯於經量測樣品之CD參數之間之差異。在2010年11月2日頒予KLA-Tencor Corp.之美國專利第7,826,071號中描述用於運算樣品參數值之例示性方法及系統,該專利之全文以引用的方式併入本文中。
在一些實例中,模型建立及分析引擎180藉由側饋分析、前饋分析及並行分析之任何組合改良經量測參數之精確度。側饋分析係指在相同樣品之不同區域上獲取多個資料集且將自第一資料集判定之共同參數傳遞至第二資料集上以用於分析。前饋分析係指在不同樣品上獲取資料集且使用一逐步複製精確參數前饋方法將共同參數正向傳遞至後續分析。並行分析係指將一非線性擬合方法並行或同時應用至多個資料集,其中在擬合期間耦合至少一個共同參數。
多工具及結構分析係指基於迴歸、一查找表(即,「庫」匹配)或多個資料集之另一擬合程序之一前饋、側饋或並行分析。在2009年1月13日頒予KLA-Tencor Corp.之美國專利第7,478,019號中描述用於多工具及結構分析之例示性方法及系統,該專利之全文以引用的方式併入本文中。
在另一態樣中,一或多個SAXS系統經組態以量測一晶圓之多個不同區域。在一些實施例中,基於跨晶圓之各所關注參數之經量測值判定與各經量測所關注參數相關聯之一晶圓均勻度值。
在一些實施例中,多個度量衡系統與程序工具整合且度量衡系統經組態以在程序期間跨晶圓同時量測不同區域。在一些實施例中,與一程序工具整合之一單一度量衡系統經組態以在程序期間依序量測一晶圓之多個不同區域。
在一些實施例中,如本文中描述之用於半導體裝置之基於SAXS之度量衡之方法及系統適用於記憶體結構之量測。此等實施例實現針對週期性及平坦結構之關鍵尺寸(CD)、膜及組合物度量衡。
可使用如本文中描述之散射量測以判定各種半導體結構之特性。例示性結構包含(但不限於) FinFET、低維結構(諸如奈米線或石墨烯)、亞10 nm結構、微影結構、貫穿基板通孔(TSV)、記憶體結構(諸如DRAM、DRAM 4F2、FLASH、MRAM)及高深寬比記憶體結構。例示性結構特性包含(但不限於)幾何參數(諸如線邊緣粗糙度、線寬度粗糙度、孔徑、孔密度、側壁角、輪廓、關鍵尺寸、間距、厚度、疊對)及材料參數(諸如電子密度、組合物、晶粒結構、形態、應力、應變及元素識別)。在一些實施例中,度量衡目標係一週期性結構。在一些其他實施例中,度量衡目標係非週期性的。
在一些實例中,使用如本文中描述之T-SAXS量測系統執行對包含(但不限於)以下各者之高深寬比半導體結構之關鍵尺寸、厚度、疊對及材料性質之量測:自旋轉移力矩隨機存取記憶體(STT-RAM)、三維NAND記憶體(3D-NAND)或垂直NAND記憶體(V-NAND)、動態隨機存取記憶體(DRAM)、三維FLASH記憶體(3D-FLASH)、電阻性隨機存取記憶體(Re-RAM)及相變隨機存取記憶體(PC-RAM)。
在一些實例中,量測模型實施為可購自美國加州Milpitas市KLA-Tencor Corporation之一SpectraShape®關鍵尺寸度量衡系統之一元件。以此方式,模型經創建且在散射影像由系統收集之後立即備妥可用。
在一些其他實例中,量測模型(例如)由實施可購自美國加州Milpitas市KLA-Tencor Corporation之AcuShape®軟體之一運算系統離線實施。所得模型可併入為可由執行量測之一度量衡系統存取之一AcuShape®庫之一元件。
在一些實施例中,用於特性化一經量測孔結構在一特定深度處之平面內形狀之幾何模型包含具有三個或更多個自由度之一閉合曲線,即,三個或更多個獨立參數定義一二維平面中之曲線之形狀,其之一實例由方程式(7A)至(7B)繪示。如方程式(7A)至(7B)中繪示,一平面內孔形狀之模型(例如,一二階圓函數)包含四個自由度,即,用於判定由函數描述之形狀之四個獨立參數。四個獨立參數包含標稱半徑r、一階偏心率e、二階偏心率e x及二階偏心率e y
Figure 02_image016
藉由將平面內形狀之參數化增加至四個自由度,由閉合曲線描述之形狀可稍微失真。
圖4描繪在一個實例中由一橢圓函數及一二階圓函數描述之形狀。曲線212繪示由藉由方程式(2A)至(2B)繪示之具有兩個自由度r及e之非零值之一橢圓函數描述之一形狀。曲線211繪示由藉由方程式(7A)至(7B)繪示之具有r、e及e y之非零值之y方向上之一二階圓函數描述之一形狀。如圖4中描繪,由二階圓函數描述之形狀在y方向上失真。
圖5描繪在一個實例中之偵測器處之信號誤差之一三維圖220。在圖5中描繪之實例中,將信號誤差判定為偵測器處之經量測信號與使用孔形狀之一橢圓函數模型之模型化信號之間之一加權差。加權係一對數函數。對數函數正規化跨偵測器之誤差信號。一般言之,此強調強散射級之誤差(即,較遠離偵測器之中心之信號)且取消強調較低級誤差(即,較接近偵測器之中心之誤差)。如圖5中描繪,顯著誤差以較低散射級存在,但亦以其中有價值形狀資訊趨於定位之較高級存在。此等誤差指示偵測器處之經量測與模型化強度之間之一不良匹配。
在一些其他實施例中,用於特性化一經量測孔結構在一特定深度處之平面內形狀之幾何模型包含兩個或更多個圓錐區段(例如,橢圓、拋物線、雙曲線區段)之一分段組合。
在一個實例中,由藉由方程式(7A)至(7B)繪示之二階圓函數描述之失真橢圓由各具有其自身之徑向及橢圓參數之四個純橢圓象限之分段組合緊密近似表示。其等線性參數可由加權方程式(7A)至(7B)中之非線性項之參數常數判定,如由方程式(8A)至(8B)、(9A)至(9B)、(10A)至(10B)及(11A)至(11B)繪示。
針對東北象限,即,自x軸逆時針量測之自0度至90度之
Figure 02_image017
Figure 02_image018
針對西北象限,即,自x軸逆時針量測之自90度至180度之
Figure 02_image017
Figure 02_image019
針對西南象限,即,自x軸逆時針量測之自180度至270度之
Figure 02_image017
Figure 02_image020
Figure 02_image021
針對東南象限,即,自x軸逆時針量測之自270度至360度之
Figure 02_image017
Figure 02_image022
圖6描繪在一個實例中由一橢圓函數、一二階圓函數及四個圓錐區段之分段組合描述之形狀。曲線236繪示由藉由方程式(2A)至(2B)繪示之具有兩個自由度r及e之非零值之一階圓函數描述之一形狀。曲線238繪示由藉由方程式(7A)至(7B)繪示之具有r、e及e y之非零值之y方向上之一二階圓函數描述之一形狀。曲線232A至232D繪示各由一不同一階橢圓函數描述之四個橢圓區段之一分段組合。曲線232A由方程式(8A)至(8B)描述,曲線232B由方程式(9A)至(9B)描述,曲線232C由方程式(10A)至(10B)描述且曲線232D由方程式(11A)至(11B)針對r、e、e x及e y之非零值描述。如圖6中描繪,由橢圓函數之分段組合描述之形狀緊密匹配由二階圓函數描述之形狀。再者,由橢圓函數之分段組合描述之形狀係由一階圓函數描述之形狀之一近似20%失真。
一般言之,將描述一平面內孔形狀之獨立參數表達為通過結構(即,z方向)之深度之函數以描述經處理半導體裝置之平面內形狀依據深度之真實變動。在一典型圖案化程序中,光阻劑中之孔係近似圓形,其具有最小或非常小失真。然而,一蝕刻工具之程序控制受限制。因此,在蝕刻程序將微影圖案轉印至半導體層時,失真隨著深度改變。精確地描述依據深度之形狀變動之能力導致模型與經量測資料之間之一更精確擬合,且因此,導致形狀參數值之經改良估計。
按照半徑及線性偏心率以及二次偏心率參數描述用於描述橢圓曲線之前述參數化。然而,一般言之,可採用其他參數化以描述具有相同結果之橢圓曲線,且在本專利文件之範疇內考慮此等參數化。藉由非限制性實例,在本專利文件之範疇內考慮藉由長及短軸參數之參數化。
雖然按照二階橢圓項描述橢圓失真之前述參數化,但一般言之,在本專利文件之範疇內考慮更高階項。然而,冪律近似數學及蝕刻物理學表明,用於描述一形狀之參數化之貢獻之量值與誤差之階數成比例地下降。因此,二階失真比更高階擷取更多平面內形狀變動等等。
一般言之,在本專利文件之範疇內考慮用於描述一經量測結構之任何平面內形狀之任何任意平移及旋轉。藉由非限制性實例,橢圓軸可使用給定旋轉進行旋轉。類似地,橢圓軸可移位使得橢圓定位於一笛卡爾平面中之任何位置處。
一般言之,更高階失真可由任何數目個圓錐區段(例如,橢圓區段)之分段組合等效地描述。例如,可採用三個或六個圓錐區段以描述一三角形孔結構。在另一實例中,可採用八個橢圓區段以描述一正方形或八邊形孔結構。因而,在本專利文件內考慮大於一之任何數目個圓錐區段可經鄰接以描述一經量測結構之形狀。
用於近似表示一經量測結構之形狀之圓錐區段之一分段組合之優點係全部曲線具有與其他圓錐區段或線性曲線相交之已知分析公式。可用分析解與已經由可購自美國,加利福尼亞,米爾皮塔斯市,KLA-Tencor Corporation之AcuShape®軟體執行之運算相容。
相反地,起因於使用曲線之二階或更高階描述之一困難係二階或更高階曲線之間之相交點之運算需要一數值解,此增加模型之運算負擔。
一般言之,一度量衡目標藉由被定義為度量衡目標之一最大高度尺寸(即,正交於晶圓表面之尺寸)除以一最大橫向範圍尺寸(即,與晶圓表面對準之尺寸)之一深寬比特性化。在一些實施例中,所量測度量衡目標具有至少二十之一深寬比。在一些實施例中,度量衡目標具有至少四十之一深寬比。
圖7A至圖7C分別描繪以本文中描述之方式經受量測之一典型3D FLASH記憶體裝置170之一等角視圖、一俯視圖及一橫截面視圖。記憶體裝置170之總高度(或等效地,深度)在自一至數微米之範圍內。記憶體裝置170係一垂直製造裝置。一垂直製造裝置(諸如記憶體裝置170)基本上將一習知平面記憶體裝置轉動90度,從而使位元線及單元串垂直地(垂直於晶圓表面)定向。為了提供充分記憶體容量,不同材料之大量交替層沈積於晶圓上。對於具有一百奈米或更小之一最大橫向範圍之結構,此需要圖案化程序在數微米之深度下執行良好。因此,25對1或50對1之深寬比並不少見。
在另一態樣中,基於所關注參數之經量測值(例如,關鍵尺寸、疊對、高度、側壁角等)判定程序校正且將校正傳達至程序工具以改變程序工具(例如,微影工具、蝕刻工具、沈積工具等)之一或多個程序控制參數。在一些實施例中,在對經量測結構執行程序時執行SAXS量測且更新程序控制參數。在一些實施例中,在一特定程序步驟之後執行SAXS量測且更新與該程序步驟相關聯之程序控制參數以藉由該程序步驟處理未來裝置。在一些實施例中,在一特定程序步驟之後執行SAXS量測且更新與一後續程序步驟相關聯之程序控制參數以藉由後續程序步驟處理經量測裝置或其他裝置。
在一些實例中,可將基於本文中描述之量測方法判定之經量測參數之值傳達至一蝕刻工具以調整用於達成一所要蝕刻深度之蝕刻時間。以一類似方式,蝕刻參數(例如,蝕刻時間、擴散率等)或沈積參數(例如,時間、濃度等)可包含於一量測模型中以將主動回饋分別提供至蝕刻工具或沈積工具。在一些實例中,可將對基於經量測裝置參數值判定之程序參數之校正傳達至程序工具。在一項實施例中,運算系統130在程序期間基於自度量衡系統101接收之經量測信號135判定一或多個所關注參數之值。另外,運算系統130基於一或多個所關注參數之經判定值將控制命令傳達至一程序控制器。控制命令引起程序控制器改變程序之狀態(例如,停止蝕刻程序、改變擴散率等)。在一個實例中,一控制命令引起一程序控制器在量測一所要蝕刻深度時停止蝕刻程序。在另一實例中,一控制命令引起一程序控制器改變蝕刻速率以改良一CD參數之經量測晶圓均勻度。
雖然圖2描繪一透射SAXS量測系統,但一般言之,可採用一反射SAXS量測系統以量測特徵,如本文中描述。
圖8描繪用於半導體結構之X射線散射量測之一例示性晶圓量測系統200。在一些實施例中,所關注參數222之經量測值被提供為回饋以控制一製造程序工具(例如,一蝕刻程序工具、一微影程序工具、一沈積工具等)。
晶圓量測系統200包含一真空腔室204,真空腔室204含有一真空環境203及一反射X射線散射計。半導體晶圓201定位於真空腔室204內。晶圓201經附接至晶圓卡盤205且藉由晶圓載物台240相對於真空腔室204及X射線散射計定位。
在所描繪實施例中,類似於參考圖2之照明源110之描述,SAXS度量衡系統包含經組態以產生適用於反射SAXS量測之X射線輻射之一X射線照明源210。
在一些實例中,運算系統130將命令信號237傳達至X射線照明源210以引起X射線照明源210以一所要能量位準發射X射線輻射。改變能量位準以擷取具有關於所量測高深寬比結構之更多資訊之量測資料。
照明光束216行進穿過真空腔室204之窗206且在一量測位點202上方照明樣品201。在入射於晶圓201上之後,散射X射線輻射214透過窗207離開真空腔室204。在一些實施例中,真空腔室204與偵測器219之間之光學路徑長度(即,集光光束路徑)係長的且空氣中之X射線散射對偵測器上之影像造成雜訊。因此,在較佳實施例中,將真空腔室204與偵測器219之間之集光光束路徑長度之一大部分維持於一局部化真空環境中。
X射線偵測器219收集自樣品201散射之X射線輻射214且根據一反射SAXS量測模態產生指示對入射X射線輻射靈敏之樣品201之性質之輸出信號235。在一些實施例中,藉由X射線偵測器219收集散射X射線214,同時樣品定位系統240定位且定向樣品201以根據自運算系統230傳達至樣品定位系統240之命令信號239產生角度解析之散射X射線。
在一進一步態樣中,採用運算系統230以基於散射光之一或多個繞射級判定晶圓201之性質(例如,結構參數值)。如圖8中描繪,系統200包含用於獲取藉由偵測器219產生之信號235且至少部分基於經獲取信號判定樣品之性質且將所關注參數之經判定值之一指示222儲存於一記憶體(例如,記憶體290)中之一運算系統230。在一些實施例中,運算系統230經組態為一程序控制度量衡引擎以使用如本文中描述之一量測模型基於所處理晶圓之散射量測間接估計一或多個所關注參數之值。
圖9繪示在至少一個新穎態樣中執行高深寬比結構之度量衡量測之一方法300。方法300適用於藉由一度量衡系統(諸如本發明之圖2及圖8中繪示之SAXS度量衡系統)實施。在一個態樣中,應認知,方法300之資料處理方塊可經由藉由運算系統130、運算系統230或任何其他通用運算系統之一或多個處理器執行之一經預程式化演算法實行。本文中應認知,圖2及圖8中描繪之度量衡系統之特定結構態樣不表示限制且應僅解譯為闡釋性。
在方塊301中,將一定量之X射線照明光引導至包含製造於一半導體晶圓上之一或多個結構之一量測位點。
在方塊302中,回應於該一定量之X射線照明光偵測自半導體晶圓反射或透射穿過半導體晶圓之X射線光之一量。
在方塊303中,基於X射線光之經偵測量判定與一或多個結構之一幾何上參數化回應模型相關聯之一或多個所關注參數之值。幾何上參數化回應模型使用具有多於兩個自由度之一幾何模型特性化一或多個結構之一平面內形狀。
在一進一步實施例中,系統100包含用於基於根據本文中描述之方法收集之散射量測資料執行半導體結構之量測之一或多個運算系統130。一或多個運算系統130可通信地耦合至一或多個偵測器、主動光學元件、程序控制器等。在一個態樣中,一或多個運算系統130經組態以接收與晶圓101之結構之散射量測相關聯之量測資料。
應認知,貫穿本發明描述之一或多個步驟可藉由一單一電腦系統130或替代地一多電腦系統130實行。再者,系統100之不同子系統可包含適用於實行本文中描述之步驟之至少一部分之一電腦系統。因此,前述描述不應被解譯為對本發明之一限制而僅為一圖解。
另外,電腦系統130可以此項技術中已知之任何方式通信地耦合至光譜儀。例如,一或多個運算系統130可耦合至與散射計相關聯之運算系統。在另一實例中,散射計可藉由耦合至電腦系統130之一單一電腦系統直接控制。
系統100之電腦系統130可經組態以藉由可包含有線及/或無線部分之一傳輸媒體自系統之子系統(例如,散射計及類似者)接收及/或擷取資料或資訊。以此方式,傳輸媒體可用作電腦系統130與系統100之其他子系統之間之一資料鏈路。
系統100之電腦系統130可經組態以藉由可包含有線及/或無線部分之一傳輸媒體自其他系統接收及/或獲取資料或資訊(例如,量測結果、模型化輸入、模型化結果等)。以此方式,傳輸媒體可用作電腦系統130與其他系統(例如,記憶體板上系統100、外部記憶體或其他外部系統)之間之一資料鏈路。例如,運算系統130可經組態以經由一資料鏈路自一儲存媒體(即,記憶體132或一外部記憶體)接收量測資料。例如,使用本文中描述之散射計獲得之散射影像可儲存於一永久或半永久記憶體裝置(例如,記憶體132或一外部記憶體)中。在此方面,可自板上記憶體或自一外部記憶體系統匯入散射量測影像。再者,電腦系統130可經由一傳輸媒體將資料發送至其他系統。例如,由電腦系統130判定之一量測模型或一經估計參數值可經傳達且儲存於一外部記憶體中。在此方面,可將量測結果匯出至另一系統。
運算系統130可包含(但不限於)一個人電腦系統、主機電腦系統、工作站、影像電腦、平行處理器或此項技術中已知之任何其他裝置。一般言之,術語「運算系統」可被廣泛地定義為涵蓋具有執行來自一記憶體媒體之指令之一或多個處理器之任何裝置。
可經由諸如一導線、電纜或無線傳輸鏈路之一傳輸媒體傳輸實施諸如本文中描述之方法之方法之程式指令134。例如,如圖1中繪示,經由匯流排133將儲存於記憶體132中之程式指令134傳輸至處理器131。程式指令134儲存於一電腦可讀媒體(例如,記憶體132)中。例示性電腦可讀媒體包含唯讀記憶體、一隨機存取記憶體、一磁碟或光碟或一磁帶。包含元件231至234之運算系統230類似於分別包含元件131至134之運算系統,如本文中描述。
如本文中描述,術語「關鍵尺寸」包含一結構之任何關鍵尺寸(例如,底部關鍵尺寸、中間關鍵尺寸、頂部關鍵尺寸、側壁角、光柵高度等)、任何兩個或更多個結構之間之一關鍵尺寸(例如,兩個結構之間之距離)及兩個或更多個結構之間之一位移(例如,疊對光柵結構之間之疊對位移等)。結構可包含三維結構、圖案化結構、疊對結構等。
如本文中描述,術語「關鍵尺寸應用」或「關鍵尺寸量測應用」包含任何關鍵尺寸量測。
如本文中描述,術語「度量衡系統」包含至少部分用於在任何態樣中特性化一樣品之任何系統,包含量測應用,諸如關鍵尺寸度量衡、疊對度量衡、焦點/劑量度量衡及組合物度量衡。然而,此等技術術語不限制如本文中描述之術語「度量衡系統」之範疇。另外,度量衡系統可經組態用於圖案化晶圓及/或未經圖案化晶圓之量測。度量衡系統可組態為一LED檢測工具、邊緣檢測工具、背側檢測工具、巨集檢測工具或多模式檢測工具(涉及同時來自一或多個平台之資料)及受益於基於關鍵尺寸資料之系統參數之校準的任何其他度量衡或檢測工具。
本文中描述可用於在任何半導體處理工具(例如,一檢測系統或一微影系統)內量測一樣品之一半導體量測系統之各項實施例。術語「樣品」在本文中使用以指代一晶圓、一倍縮光罩或可藉由此項技術中已知之手段處理(例如,印刷或檢測缺陷)之任何其他樣本。
如本文中使用,術語「晶圓」大體上係指由一半導體或非半導體材料形成之基板。實例包含(但不限於)單晶矽、砷化鎵及磷化銦。此等基板通常可在半導體製造設施中發現及/或處理。在一些情況中,一晶圓可僅包含基板(即,裸晶圓)。替代地,一晶圓可包含形成於一基板上之一或多個不同材料層。形成於一晶圓上之一或多個層可「經圖案化」或「未經圖案化」。例如,一晶圓可包含具有可重複圖案特徵之複數個晶粒。
一「倍縮光罩」可為處於一倍縮光罩製造程序之任何階段之一倍縮光罩,或為可能經釋放或可能未經釋放以於一半導體製造設施中使用之一成品倍縮光罩。一倍縮光罩或一「遮罩」大體上被定義為具有形成於其上且以一圖案組態之實質上不透明區之一實質上透明基板。基板可包含(例如)一玻璃材料,諸如非晶SiO 2。可在一微影程序之一曝光步驟期間將一倍縮光罩安置於一覆蓋有光阻劑之晶圓上方,使得可將倍縮光罩上之圖案轉印至光阻劑。
形成於一晶圓上之一或多個層可經圖案化或未經圖案化。例如,一晶圓可包含各具有可重複圖案化特徵之複數個晶粒。此等材料層之形成及處理最終可導致成品裝置。許多不同類型的裝置可形成於一晶圓上,且如本文中使用之術語晶圓旨在涵蓋其上製造此項技術中已知之任何類型之裝置之一晶圓。
在一或多項例示性實施例中,所述功能可實施於硬體、軟體、韌體或其等之任何組合中。若在軟體中實施,則功能可作為一或多個指令或程式碼儲存於一電腦可讀媒體上或經由該電腦可讀媒體傳輸。電腦可讀媒體包含電腦儲存媒體及通信媒體(包含促進一電腦程式自一個位置至另一位置之傳送之任何媒體)兩者。一儲存媒體可為可藉由一通用電腦或專用電腦存取之任何可用媒體。藉由實例且非限制,此等電腦可讀媒體可包括RAM、ROM、EEPROM、CD-ROM或其他光碟儲存器、磁碟儲存器或其他磁性儲存裝置或可用於載送或儲存呈指令或資料結構之形式之所要程式碼構件且可藉由一通用電腦或專用電腦或一通用或專用處理器存取之任何其他媒體。再者,任何連接被適當地稱為一電腦可讀媒體。例如,若使用一同軸電纜、光纖電纜、雙絞線、數位用戶線(DSL)或無線技術(諸如紅外線、無線電及微波)自一網站、伺服器或其他遠端源傳輸軟體,則同軸電纜、光纖電纜、雙絞線、DSL或無線技術(諸如紅外線、無線電及微波)被包含於媒體之定義中。如本文中使用,磁碟及光碟包含光碟片(CD)、雷射光碟、光碟、數位多功能光碟(DVD)、軟碟及藍光光碟,其中磁碟通常磁性地重現資料而光碟用雷射光學地重現資料。上述組合亦應被包含於電腦可讀媒體之範疇內。
雖然上文為指導目的而描述某些特定實施例,但本專利文件之教示具有一般適用性且不限於上文描述之特定實施例。因此,在不脫離如發明申請專利範圍中闡述之本發明之範疇之情況下,可實踐所述實施例之各種特徵之各種修改、調適及組合。
10A至10E:影像 100:晶圓量測系統 101:半導體晶圓 102:有限位點大小 103:真空環境 104:真空腔室 105:晶圓卡盤 106:窗 107:窗 110:X射線照明源 111:聚焦光學器件 112:光束發散控制狹縫 113:中間狹縫 114:X射線輻射 115:傳入光束 116:照明光束 117:射線 118:飛行管 119:X射線偵測器 120:光束塑形狹縫機構 122:所關注參數 123:真空腔室 124:真空窗 125:X射線照明子系統 126:窗 130:運算系統 131:處理器 132:記憶體 133:匯流排 134:程式指令 135:輸出信號 137:命令信號 140:晶圓載物台 170:記憶體裝置 180:模型建立及分析引擎 181:結構模型建立模組 182:結構模型 183:透射小角度X射線散射量測(T-SAXS)回應函數建立模組 184:透射小角度X射線散射量測(T-SAXS)回應函數模型 185:擬合分析模組 190:記憶體 200:晶圓量測系統 201:半導體晶圓 202:量測位點 203:真空環境 204:真空腔室 205:晶圓卡盤 206:窗 207:窗 210:X射線照明源 211:曲線 212:曲線 214:X射線輻射 216:照明光束 219:偵測器 220:三維圖 222:所關注參數/指示 230:運算系統 231:元件 232:元件 232A:曲線 232B:曲線 232C:曲線 232D:曲線 233:元件 234:元件 235:輸出信號 236:曲線 237:命令信號 238:曲線 239:命令信號 240:樣品定位系統/晶圓載物台 290:記憶體 300:方法 301:方塊 302:方塊 303:方塊
圖1描繪在距晶圓表面之不同深度處之一孔結構之若干水平切片之影像之一圖解。
圖2描繪用於基於安置於一晶圓上之半導體結構之X射線散射量測監測一蝕刻程序之一例示性晶圓量測系統100。
圖3係繪示一例示性模型建立及分析引擎180之一圖式。
圖4係繪示在一個實例中由一階圓函數及二階圓函數描述之形狀之一圖式。
圖5係繪示在一個實例中在偵測器處之信號誤差之一三維圖之一圖式。
圖6係繪示在一個實例中由一階圓函數、二階圓函數及四個圓錐區段之分段組合描述之形狀之一圖式。
圖7A至圖7C分別描繪如本文中描述般經受量測之一典型3D FLASH記憶體裝置之一等角視圖、一俯視圖及一橫截面視圖。
圖8描繪用於基於安置於一晶圓上之半導體結構之反射X射線散射量測監測一蝕刻程序之一例示性晶圓處理系統200。
圖9繪示用於基於小角度X射線散射量測對高深寬比結構進行量測之一方法300之一流程圖。
100:晶圓量測系統
101:半導體晶圓
102:有限位點大小
103:真空環境
104:真空腔室
105:晶圓卡盤
106:窗
107:窗
110:X射線照明源
111:聚焦光學器件
112:光束發散控制狹縫
113:中間狹縫
114:X射線輻射
115:傳入光束
116:照明光束
117:射線
118:飛行管
119:X射線偵測器
120:光束塑形狹縫機構
122:所關注參數
123:真空腔室
124:真空窗
125:X射線照明子系統
126:窗
130:運算系統
131:處理器
132:記憶體
133:匯流排
134:程式指令
135:輸出信號
137:命令信號
140:晶圓載物台
190:記憶體

Claims (20)

  1. 一種方法,其包括: 將一定量之X射線照明光引導至包含製造於一半導體晶圓上之一或多個結構之一量測位點; 回應於該一定量之X射線照明光偵測自該半導體晶圓反射或透射穿過該半導體晶圓之X射線光之一量; 基於X射線光之該經偵測量判定與該一或多個結構之一幾何上參數化回應模型相關聯之一或多個所關注參數之值,其中該幾何上參數化回應模型使用具有多於兩個自由度之一幾何模型特性化該一或多個結構之一平面內形狀。
  2. 如請求項1之方法,其中該判定該一或多個所關注參數之該等值涉及X射線光之該經偵測量與該幾何上參數化回應模型之一擬合分析。
  3. 如請求項1之方法,其中該幾何模型包含具有在一二維平面中由三個或更多個獨立參數定義之一形狀之一閉合曲線。
  4. 如請求項1之方法,其中該幾何模型包含包括兩個或更多個圓錐區段之一分段組合之一閉合曲線。
  5. 如請求項4之方法,其中兩個或更多個圓錐區段之該分段組合包含複數個橢圓區段,該複數個橢圓區段之各者由獨立徑向及橢圓參數描述。
  6. 如請求項4之方法,其中兩個或更多個圓錐區段之該分段組合包含各由兩個獨立參數描述之複數個拋物線區段。
  7. 如請求項1之方法,其中該一或多個結構包含一三維NAND結構或一動態隨機存取記憶體(DRAM)結構。
  8. 如請求項1之方法,其中在該一或多個結構之一製造程序流程之一程序步驟判定該一或多個所關注參數之該等值,且其中將該一或多個所關注參數之該等值之一指示傳達至該製造工具以引起該製造工具在該程序步驟調整該製造工具之一或多個程序控制參數之一值。
  9. 如請求項1之方法,其中該幾何模型之獨立值依據至該一或多個所量測結構中之深度而變化。
  10. 如請求項1之方法,其中以複數個入射角、方位角或兩者將該一定量之X射線照明光引導至該量測位點。
  11. 如請求項1之方法,其中以複數個不同能量位準將該一定量之X射線照明光引導至該量測位點。
  12. 一種系統,其包括: 一照明源,其經組態以將一定量之X射線照明光引導至包含製造於一半導體晶圓上之一或多個結構之一量測位點; 一偵測器,其經組態以回應於該一定量之X射線照明光偵測自該半導體晶圓反射或透射穿過該半導體晶圓之X射線光之一量;及 一運算系統,其經組態以基於X射線光之該經偵測量與該一或多個結構之一幾何上參數化回應模型之一擬合分析判定一或多個所關注參數之值,其中該幾何上參數化回應模型使用具有多於兩個自由度之一幾何模型特性化該一或多個結構之一平面內形狀。
  13. 如請求項12之系統,其中該幾何模型包含具有在一二維平面中由三個或更多個獨立參數定義之一形狀之一閉合曲線。
  14. 如請求項12之系統,其中該幾何模型包含包括兩個或更多個圓錐區段之一分段組合之一閉合曲線。
  15. 如請求項14之系統,其中兩個或更多個圓錐區段之該分段組合包含複數個橢圓區段,該複數個橢圓區段之各者由獨立徑向及橢圓參數描述。
  16. 如請求項14之系統,其中圓錐區段之該分段組合包含各由兩個獨立參數描述之複數個拋物線區段。
  17. 如請求項12之系統,其中該一或多個結構包含一三維NAND結構或一動態隨機存取記憶體(DRAM)結構。
  18. 如請求項12之系統,其中在該一或多個結構之一製造程序流程之一程序步驟判定該一或多個所關注參數之該等值,且其中將該一或多個所關注參數之該等值之一指示傳達至該製造工具以引起該製造工具在該程序步驟調整該製造工具之一或多個程序控制參數之一值。
  19. 如請求項12之系統,其中該幾何模型之獨立值依據至該一或多個所量測結構中之深度而變化。
  20. 一種系統,其包括: 一照明源,其經組態以將一定量之X射線照明光引導至包含製造於一半導體晶圓上之一或多個結構之一量測位點; 一偵測器,其經組態以回應於該一定量之X射線照明光偵測自該半導體晶圓反射或透射穿過該半導體晶圓之X射線光之一量;及 一非暫時性電腦可讀媒體,其儲存指令,該等指令在藉由一或多個處理器執行時引起該一或多個處理器基於X射線光之該經偵測量與該一或多個結構之一幾何上參數化回應模型之一擬合分析判定一或多個所關注參數之值,其中該幾何上參數化回應模型使用具有多於兩個自由度之一幾何模型特性化該一或多個結構之一平面內形狀。
TW111104874A 2021-02-10 2022-02-10 用於具有失真幾何形狀之深結構之精確量測之方法及系統 TW202246734A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163147758P 2021-02-10 2021-02-10
US63/147,758 2021-02-10
US17/590,116 US20220252395A1 (en) 2021-02-10 2022-02-01 Methods And Systems For Accurate Measurement Of Deep Structures Having Distorted Geometry
US17/590,116 2022-02-01

Publications (1)

Publication Number Publication Date
TW202246734A true TW202246734A (zh) 2022-12-01

Family

ID=82703733

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111104874A TW202246734A (zh) 2021-02-10 2022-02-10 用於具有失真幾何形狀之深結構之精確量測之方法及系統

Country Status (6)

Country Link
US (1) US20220252395A1 (zh)
JP (1) JP2024505941A (zh)
KR (1) KR20230138482A (zh)
IL (1) IL304231A (zh)
TW (1) TW202246734A (zh)
WO (1) WO2022173634A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116499401A (zh) * 2023-06-29 2023-07-28 深圳市圭华智能科技有限公司 基于X-ray的晶圆级玻璃通孔TGV检测装置及方法

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11867595B2 (en) 2019-10-14 2024-01-09 Industrial Technology Research Institute X-ray reflectometry apparatus and method thereof for measuring three dimensional nanostructures on flat substrate

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060187466A1 (en) * 2005-02-18 2006-08-24 Timbre Technologies, Inc. Selecting unit cell configuration for repeating structures in optical metrology
US7627392B2 (en) * 2007-08-30 2009-12-01 Tokyo Electron Limited Automated process control using parameters determined with approximation and fine diffraction models
US10352695B2 (en) * 2015-12-11 2019-07-16 Kla-Tencor Corporation X-ray scatterometry metrology for high aspect ratio structures
JP6999268B2 (ja) * 2016-01-11 2022-01-18 ブルカー テクノロジーズ リミテッド X線スキャタロメトリーのための方法および装置
US11990380B2 (en) * 2019-04-19 2024-05-21 Kla Corporation Methods and systems for combining x-ray metrology data sets to improve parameter estimation

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116499401A (zh) * 2023-06-29 2023-07-28 深圳市圭华智能科技有限公司 基于X-ray的晶圆级玻璃通孔TGV检测装置及方法

Also Published As

Publication number Publication date
IL304231A (en) 2023-09-01
WO2022173634A9 (en) 2022-10-20
KR20230138482A (ko) 2023-10-05
US20220252395A1 (en) 2022-08-11
JP2024505941A (ja) 2024-02-08
WO2022173634A1 (en) 2022-08-18

Similar Documents

Publication Publication Date Title
US11955391B2 (en) Process monitoring of deep structures with X-ray scatterometry
TWI758201B (zh) 基於小角度x射線散射量測之計量系統之校準
TWI689702B (zh) 用於高高寬比結構之x光散射測量計量
KR102495770B1 (ko) X-선 산란계측 시스템들을 위한 전체 빔 계측
JP7001846B2 (ja) X線計量方法
TWI783988B (zh) 傳輸小角度x射線散射度量系統
TWI649536B (zh) 用於以散射術量測為基礎之成像及關鍵尺寸度量之度量方法、度量系統及非暫時性電腦可讀媒體
US10859518B2 (en) X-ray zoom lens for small angle x-ray scatterometry
US20220252395A1 (en) Methods And Systems For Accurate Measurement Of Deep Structures Having Distorted Geometry