TW202243243A - 積體電路裝置 - Google Patents
積體電路裝置 Download PDFInfo
- Publication number
- TW202243243A TW202243243A TW111108185A TW111108185A TW202243243A TW 202243243 A TW202243243 A TW 202243243A TW 111108185 A TW111108185 A TW 111108185A TW 111108185 A TW111108185 A TW 111108185A TW 202243243 A TW202243243 A TW 202243243A
- Authority
- TW
- Taiwan
- Prior art keywords
- layer
- source
- drain
- epitaxial
- gate
- Prior art date
Links
- 125000006850 spacer group Chemical group 0.000 claims abstract description 93
- 229910021332 silicide Inorganic materials 0.000 claims abstract description 83
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 claims abstract description 83
- 239000000758 substrate Substances 0.000 claims abstract description 53
- 238000000034 method Methods 0.000 abstract description 187
- 239000010410 layer Substances 0.000 description 735
- 239000004065 semiconductor Substances 0.000 description 242
- 230000008569 process Effects 0.000 description 161
- 238000005530 etching Methods 0.000 description 84
- 239000000463 material Substances 0.000 description 60
- 230000004888 barrier function Effects 0.000 description 43
- 239000003989 dielectric material Substances 0.000 description 30
- 238000005229 chemical vapour deposition Methods 0.000 description 28
- 238000002955 isolation Methods 0.000 description 27
- 229910052751 metal Inorganic materials 0.000 description 27
- 239000002184 metal Substances 0.000 description 27
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 24
- 238000005137 deposition process Methods 0.000 description 24
- 229910052710 silicon Inorganic materials 0.000 description 24
- 239000010703 silicon Substances 0.000 description 24
- 230000015572 biosynthetic process Effects 0.000 description 17
- 239000002019 doping agent Substances 0.000 description 17
- 239000011229 interlayer Substances 0.000 description 17
- 230000006870 function Effects 0.000 description 16
- 206010010144 Completed suicide Diseases 0.000 description 13
- 238000000151 deposition Methods 0.000 description 13
- 238000004519 manufacturing process Methods 0.000 description 13
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 12
- 238000000231 atomic layer deposition Methods 0.000 description 12
- 239000000126 substance Substances 0.000 description 12
- 239000000203 mixture Substances 0.000 description 11
- 239000002070 nanowire Substances 0.000 description 11
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 10
- 238000011049 filling Methods 0.000 description 10
- 238000005240 physical vapour deposition Methods 0.000 description 10
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 9
- 238000000407 epitaxy Methods 0.000 description 9
- 229910052814 silicon oxide Inorganic materials 0.000 description 9
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 8
- 229910052581 Si3N4 Inorganic materials 0.000 description 8
- 238000001505 atmospheric-pressure chemical vapour deposition Methods 0.000 description 8
- 238000000277 atomic layer chemical vapour deposition Methods 0.000 description 8
- 239000013590 bulk material Substances 0.000 description 8
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 8
- 229910044991 metal oxide Inorganic materials 0.000 description 8
- 150000004706 metal oxides Chemical class 0.000 description 8
- 230000003647 oxidation Effects 0.000 description 8
- 238000007254 oxidation reaction Methods 0.000 description 8
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 8
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 7
- 229910017052 cobalt Inorganic materials 0.000 description 7
- 239000010941 cobalt Substances 0.000 description 7
- 230000008021 deposition Effects 0.000 description 7
- 230000005669 field effect Effects 0.000 description 7
- 239000007789 gas Substances 0.000 description 7
- 229910052732 germanium Inorganic materials 0.000 description 7
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 7
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 7
- 229910052719 titanium Inorganic materials 0.000 description 7
- 239000010936 titanium Substances 0.000 description 7
- 238000001039 wet etching Methods 0.000 description 7
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 6
- 239000012212 insulator Substances 0.000 description 6
- 229910052757 nitrogen Inorganic materials 0.000 description 6
- 229910052715 tantalum Inorganic materials 0.000 description 6
- 229910052721 tungsten Inorganic materials 0.000 description 6
- 229910052782 aluminium Inorganic materials 0.000 description 5
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 5
- 238000001312 dry etching Methods 0.000 description 5
- 238000009713 electroplating Methods 0.000 description 5
- 229910052760 oxygen Inorganic materials 0.000 description 5
- 239000001301 oxygen Substances 0.000 description 5
- 229910010271 silicon carbide Inorganic materials 0.000 description 5
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 4
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 4
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 4
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 4
- 229910045601 alloy Inorganic materials 0.000 description 4
- 239000000956 alloy Substances 0.000 description 4
- 238000000137 annealing Methods 0.000 description 4
- 229910052799 carbon Inorganic materials 0.000 description 4
- 239000004020 conductor Substances 0.000 description 4
- 238000005516 engineering process Methods 0.000 description 4
- 230000009969 flowable effect Effects 0.000 description 4
- 229910052763 palladium Inorganic materials 0.000 description 4
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 4
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 4
- 229920005591 polysilicon Polymers 0.000 description 4
- 238000004151 rapid thermal annealing Methods 0.000 description 4
- 229910052707 ruthenium Inorganic materials 0.000 description 4
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 4
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 4
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 description 4
- 239000010937 tungsten Substances 0.000 description 4
- 238000000038 ultrahigh vacuum chemical vapour deposition Methods 0.000 description 4
- 238000000927 vapour-phase epitaxy Methods 0.000 description 4
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 3
- 229910004129 HfSiO Inorganic materials 0.000 description 3
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 3
- 239000003990 capacitor Substances 0.000 description 3
- 230000000295 complement effect Effects 0.000 description 3
- 239000010949 copper Substances 0.000 description 3
- 230000007423 decrease Effects 0.000 description 3
- 238000009792 diffusion process Methods 0.000 description 3
- 238000001451 molecular beam epitaxy Methods 0.000 description 3
- 238000005498 polishing Methods 0.000 description 3
- -1 silicon carbide nitride Chemical class 0.000 description 3
- 229910003468 tantalcarbide Inorganic materials 0.000 description 3
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 3
- ITWBWJFEJCHKSN-UHFFFAOYSA-N 1,4,7-triazonane Chemical compound C1CNCCNCCN1 ITWBWJFEJCHKSN-UHFFFAOYSA-N 0.000 description 2
- VHUUQVKOLVNVRT-UHFFFAOYSA-N Ammonium hydroxide Chemical compound [NH4+].[OH-] VHUUQVKOLVNVRT-UHFFFAOYSA-N 0.000 description 2
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 2
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 2
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 2
- 229910004166 TaN Inorganic materials 0.000 description 2
- 229910004200 TaSiN Inorganic materials 0.000 description 2
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 2
- 229910010038 TiAl Inorganic materials 0.000 description 2
- 229910010037 TiAlN Inorganic materials 0.000 description 2
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 2
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 2
- MCMNRKCIXSYSNV-UHFFFAOYSA-N Zirconium dioxide Chemical compound O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 description 2
- 239000000908 ammonium hydroxide Substances 0.000 description 2
- 229910052785 arsenic Inorganic materials 0.000 description 2
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- UMIVXZPTRXBADB-UHFFFAOYSA-N benzocyclobutene Chemical compound C1=CC=C2CCC2=C1 UMIVXZPTRXBADB-UHFFFAOYSA-N 0.000 description 2
- 229910052796 boron Inorganic materials 0.000 description 2
- 239000012159 carrier gas Substances 0.000 description 2
- 150000001875 compounds Chemical class 0.000 description 2
- 229910052802 copper Inorganic materials 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 238000007772 electroless plating Methods 0.000 description 2
- 238000007667 floating Methods 0.000 description 2
- 239000011737 fluorine Substances 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- 239000011521 glass Substances 0.000 description 2
- 229910052735 hafnium Inorganic materials 0.000 description 2
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 2
- 239000012535 impurity Substances 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- 238000005468 ion implantation Methods 0.000 description 2
- 238000005224 laser annealing Methods 0.000 description 2
- 239000012705 liquid precursor Substances 0.000 description 2
- 238000001459 lithography Methods 0.000 description 2
- 239000007769 metal material Substances 0.000 description 2
- 238000004377 microelectronic Methods 0.000 description 2
- 229910052750 molybdenum Inorganic materials 0.000 description 2
- 239000002135 nanosheet Substances 0.000 description 2
- 229910052759 nickel Inorganic materials 0.000 description 2
- 230000003071 parasitic effect Effects 0.000 description 2
- 239000012071 phase Substances 0.000 description 2
- 229910052698 phosphorus Inorganic materials 0.000 description 2
- 239000011574 phosphorus Substances 0.000 description 2
- 239000005360 phosphosilicate glass Substances 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 229910052697 platinum Inorganic materials 0.000 description 2
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 2
- 229910052718 tin Inorganic materials 0.000 description 2
- 229910052726 zirconium Inorganic materials 0.000 description 2
- 229910019311 (Ba,Sr)TiO Inorganic materials 0.000 description 1
- AIRCTMFFNKZQPN-UHFFFAOYSA-N AlO Inorganic materials [Al]=O AIRCTMFFNKZQPN-UHFFFAOYSA-N 0.000 description 1
- 229910017121 AlSiO Inorganic materials 0.000 description 1
- 229910000980 Aluminium gallium arsenide Inorganic materials 0.000 description 1
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- 229910000531 Co alloy Inorganic materials 0.000 description 1
- 229910019001 CoSi Inorganic materials 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- 229910005540 GaP Inorganic materials 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 1
- 229910003855 HfAlO Inorganic materials 0.000 description 1
- 229910000673 Indium arsenide Inorganic materials 0.000 description 1
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 1
- 229910018245 LaO Inorganic materials 0.000 description 1
- 229910001182 Mo alloy Inorganic materials 0.000 description 1
- 229910016006 MoSi Inorganic materials 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- 229910005883 NiSi Inorganic materials 0.000 description 1
- 229910005881 NiSi 2 Inorganic materials 0.000 description 1
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 1
- 229910001252 Pd alloy Inorganic materials 0.000 description 1
- 239000004642 Polyimide Substances 0.000 description 1
- 229910000929 Ru alloy Inorganic materials 0.000 description 1
- 229910002367 SrTiO Inorganic materials 0.000 description 1
- 229910001362 Ta alloys Inorganic materials 0.000 description 1
- 229910004490 TaAl Inorganic materials 0.000 description 1
- 229910001069 Ti alloy Inorganic materials 0.000 description 1
- 229910010041 TiAlC Inorganic materials 0.000 description 1
- 229910010413 TiO 2 Inorganic materials 0.000 description 1
- 229910052769 Ytterbium Inorganic materials 0.000 description 1
- QCWXUUIWCKQGHC-UHFFFAOYSA-N Zirconium Chemical compound [Zr] QCWXUUIWCKQGHC-UHFFFAOYSA-N 0.000 description 1
- 229910006249 ZrSi Inorganic materials 0.000 description 1
- 229910006501 ZrSiO Inorganic materials 0.000 description 1
- UGACIEPFGXRWCH-UHFFFAOYSA-N [Si].[Ti] Chemical compound [Si].[Ti] UGACIEPFGXRWCH-UHFFFAOYSA-N 0.000 description 1
- 239000004964 aerogel Substances 0.000 description 1
- RVSGESPTHDDNTH-UHFFFAOYSA-N alumane;tantalum Chemical compound [AlH3].[Ta] RVSGESPTHDDNTH-UHFFFAOYSA-N 0.000 description 1
- UQZIWOQVLUASCR-UHFFFAOYSA-N alumane;titanium Chemical compound [AlH3].[Ti] UQZIWOQVLUASCR-UHFFFAOYSA-N 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- GPBUGPUPKAGMDK-UHFFFAOYSA-N azanylidynemolybdenum Chemical compound [Mo]#N GPBUGPUPKAGMDK-UHFFFAOYSA-N 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 238000002425 crystallisation Methods 0.000 description 1
- 230000008025 crystallization Effects 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 239000010432 diamond Substances 0.000 description 1
- 230000003628 erosive effect Effects 0.000 description 1
- 229940104869 fluorosilicate Drugs 0.000 description 1
- HZXMRANICFIONG-UHFFFAOYSA-N gallium phosphide Chemical compound [Ga]#P HZXMRANICFIONG-UHFFFAOYSA-N 0.000 description 1
- 239000003292 glue Substances 0.000 description 1
- IXCSERBJSXMMFS-UHFFFAOYSA-N hcl hcl Chemical compound Cl.Cl IXCSERBJSXMMFS-UHFFFAOYSA-N 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 238000002513 implantation Methods 0.000 description 1
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 229910052741 iridium Inorganic materials 0.000 description 1
- GKOZUEZYRPOHIO-UHFFFAOYSA-N iridium atom Chemical compound [Ir] GKOZUEZYRPOHIO-UHFFFAOYSA-N 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 229910001092 metal group alloy Inorganic materials 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- NFFIWVVINABMKP-UHFFFAOYSA-N methylidynetantalum Chemical compound [Ta]#C NFFIWVVINABMKP-UHFFFAOYSA-N 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- 239000002086 nanomaterial Substances 0.000 description 1
- RUFLMLWJRZAWLJ-UHFFFAOYSA-N nickel silicide Chemical compound [Ni]=[Si]=[Ni] RUFLMLWJRZAWLJ-UHFFFAOYSA-N 0.000 description 1
- 229910021334 nickel silicide Inorganic materials 0.000 description 1
- 229920000052 poly(p-xylylene) Polymers 0.000 description 1
- 229920001721 polyimide Polymers 0.000 description 1
- 230000001737 promoting effect Effects 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 150000003839 salts Chemical class 0.000 description 1
- 238000007789 sealing Methods 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- HWEYZGSCHQNNEH-UHFFFAOYSA-N silicon tantalum Chemical compound [Si].[Ta] HWEYZGSCHQNNEH-UHFFFAOYSA-N 0.000 description 1
- 238000005549 size reduction Methods 0.000 description 1
- 239000011343 solid material Substances 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 1
- 229910021341 titanium silicide Inorganic materials 0.000 description 1
- MTPVUVINMAGMJL-UHFFFAOYSA-N trimethyl(1,1,2,2,2-pentafluoroethyl)silane Chemical compound C[Si](C)(C)C(F)(F)C(F)(F)F MTPVUVINMAGMJL-UHFFFAOYSA-N 0.000 description 1
- UONOETXJSWQNOL-UHFFFAOYSA-N tungsten carbide Chemical compound [W+]#[C-] UONOETXJSWQNOL-UHFFFAOYSA-N 0.000 description 1
- 229910052720 vanadium Inorganic materials 0.000 description 1
- GPPXJZIENCGNKB-UHFFFAOYSA-N vanadium Chemical compound [V]#[V] GPPXJZIENCGNKB-UHFFFAOYSA-N 0.000 description 1
- 238000001947 vapour-phase growth Methods 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
- NAWDYIZEMPQZHO-UHFFFAOYSA-N ytterbium Chemical compound [Yb] NAWDYIZEMPQZHO-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/45—Ohmic electrodes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823418—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02587—Structure
- H01L21/0259—Microstructure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28518—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/76—Making of isolation regions between components
- H01L21/764—Air gaps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823412—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823431—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823475—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/0886—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/0603—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
- H01L29/0642—Isolation within the component, i.e. internal isolation
- H01L29/0649—Dielectric regions, e.g. SiO2 regions, air gaps
- H01L29/0653—Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/0657—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
- H01L29/0665—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/0657—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
- H01L29/0665—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
- H01L29/0669—Nanowires or nanotubes
- H01L29/0673—Nanowires or nanotubes oriented parallel to a substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/08—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
- H01L29/0843—Source or drain regions of field-effect devices
- H01L29/0847—Source or drain regions of field-effect devices of field-effect transistors with insulated gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/417—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
- H01L29/41725—Source or drain electrodes for field effect devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/423—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
- H01L29/42312—Gate electrodes for field effect devices
- H01L29/42316—Gate electrodes for field effect devices for field-effect transistors
- H01L29/4232—Gate electrodes for field effect devices for field-effect transistors with insulated gate
- H01L29/42384—Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
- H01L29/42392—Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66439—Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66545—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66553—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66568—Lateral single gate silicon transistors
- H01L29/66636—Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66742—Thin film unipolar transistors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/775—Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/786—Thin film transistors, i.e. transistors with a channel being at least partly a thin film
- H01L29/78606—Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
- H01L29/78618—Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/786—Thin film transistors, i.e. transistors with a channel being at least partly a thin film
- H01L29/78696—Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B82—NANOTECHNOLOGY
- B82Y—SPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
- B82Y10/00—Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/30604—Chemical etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/04—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
- H01L29/045—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/12—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/16—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
- H01L29/161—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
- H01L29/165—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/665—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/7842—Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
- H01L29/7848—Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
Landscapes
- Engineering & Computer Science (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Ceramic Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Chemical & Material Sciences (AREA)
- Crystallography & Structural Chemistry (AREA)
- Nanotechnology (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
Abstract
揭示源極/汲極矽化物及其製造方法。裝置包含設置在基底上方的第一通道層、設置在第一通道層上方的第二通道層、以及圍繞第一通道層和第二通道層的閘極堆疊。源極/汲極部件設置成鄰近第一通道層、第二通道層和閘極堆疊。源極/汲極部件設置在第一通道層的多個第一刻面和第二通道層的多個第二刻面上方。第一刻面和第二刻面具有(111)結晶取向。內間隔物設置在閘極堆疊和源極/汲極部件之間以及第一通道層和第二通道層之間。矽化物部件設置在源極/汲極部件上方。矽化物部件朝向基底延伸到源極/汲極部件中至第一通道層的深度。
Description
本發明實施例關於半導體製造技術,特別關於積體電路裝置及其形成方法。
電子產業對於更小且更快的電子裝置的需求已持續增長,這些電子裝置同時能夠支持更多數量之日益複雜和繁複的功能。為了滿足這些需求,積體電路(integrated circuit,IC)產業持續存在製造低成本、高性能和低功耗積體電路的趨勢。迄今,藉由縮減積體電路尺寸(例如最小積體電路部件尺寸)並藉此提高生產效率及降低相關成本,已經很大程度地實現這些目標。然而,這樣的縮減也增加了積體電路生產製程的複雜性。因此,實現積體電路裝置及其性能的持續進展需要在積體電路生產製程和技術上有類似的進展。
最近,已經引入多閘極裝置以改善閘極控制。已經觀察到多閘極裝置可增加閘極-通道耦合、降低截止狀態電流、及/或降低短通道效應(short-channel effects,SCEs)。一種這樣的多閘極裝置是全繞式閘極(gate-all around,GAA)裝置,其包含可以部分或完全圍繞通道區延伸的閘極結構,以在至少兩側提供對通道區的通路。全繞式閘極裝置能夠使積體電路技術大幅縮減尺寸、維持閘極控制並降低短通道效應,同時與傳統積體電路生產製程無縫整合。隨著全繞式閘極裝置持續擴展,添加多個堆疊的通道層帶來了挑戰,已經觀察到這些挑戰會降低全繞式閘極裝置的性能。
根據一些實施例提供積體電路裝置。此裝置包含設置在基底上方的第一通道層;設置在第一通道層上方的第二通道層;圍繞第一通道層和第二通道層的閘極堆疊;源極/汲極部件設置成鄰近第一通道層、第二通道層和閘極堆疊,其中源極/汲極部件設置在第一通道層的多個第一刻面和第二通道層的多個第二刻面上方,其中第一刻面和第二刻面具有(111)結晶取向;內間隔物設置在閘極堆疊和源極/汲極部件之間,並且更設置在第一通道層和第二通道層之間;設置在源極/汲極部件上方的矽化物部件,其中矽化物部件朝向基底延伸到源極/汲極部件中至第一通道層的深度;以及設置在矽化物部件上方的源極/汲極接觸件。
根據一些實施例提供積體電路裝置的形成方法。此方法包含形成源極/汲極凹槽,其鄰近設置在基底上方的半導體層堆疊,其中半導體層堆疊包含第一半導體層,其設置在第二半導體層和第三半導體層之間;進行第一蝕刻製程,調整第一蝕刻製程以形成第二半導體層的多個第一刻面和第三半導體層的多個第二刻面,其中第一刻面和第二刻面具有(111)結晶取向;在形成第一刻面和第二刻面之後,進行沉積製程和第二蝕刻製程以形成鄰近第一半導體層的多個內間隔物;從第二半導體層的第一刻面和第三半導體層的第二刻面磊晶成長源極/汲極部件,其中源極/汲極部件填充源極/汲極凹槽並在源極/汲極部件中設置孔洞於第一半導體層的深度;在用閘極堆疊取代第一半導體層之後,形成暴露出源極/汲極部件中的孔洞之源極/汲極接觸開口;以及在源極/汲極接觸開口中形成源極/汲極接觸件,其中源極/汲極接觸件包含填充孔洞的矽化物部件。
根據一些實施例提供積體電路裝置。此裝置包含設置在基底上方的第一通道層;設置在第一通道層上方的第二通道層;設置在第二通道層上方的第三通道層;閘極堆疊圍繞第一通道層、第二通道層和第三通道層;設置成鄰近第一通道層、第二通道層、第三通道層和閘極堆疊的源極/汲極部件,其中源極/汲極部件設置在第一通道層的多個第一刻面、第二通道層的多個第二刻面和第三通道層的多個第三刻面上方,其中第一刻面、第二刻面和第三刻面具有(111)結晶取向;設置在閘極堆疊和源極/汲極部件之間的第一內間隔物和第二內間隔物,其中第一內間隔物更設置在第一通道層和第二通道層之間,並且第二內間隔物更設置在第二通道層與第三通道層之間;設置在源極/汲極部件上方的矽化物部件,其中矽化物部件朝向基底延伸到源極/汲極部件中至第一通道層下方的深度;以及設置在矽化物部件上方的源極/汲極接觸件。
本發明實施例整體而言關於積體電路裝置,更具體而言關於多閘極裝置,例如全繞式閘極(gate-all-around,GAA)裝置。
以下內容提供許多不同實施例或範例,用於實施本發明實施例的不同部件。在本文描述的各種範例中可重複使用參考標號及/或字母。此重複是為了簡化和清楚之目的,並非代表討論的不同實施例及/或組態之間有特定的關係。另外,組件和配置的具體範例描述如下,以簡化本發明實施例。當然,這些僅僅是範例,並非用於限定本發明實施例。舉例來說,敘述中提及第一部件形成於第二部件上或上方,可能包含形成第一部件和第二部件直接接觸的實施例,也可能包含額外的部件形成於第一部件和第二部件之間,使得第一部件和第二部件不直接接觸的實施例。此外,一部件形成於另一部件上、一部件連接至另一部件、及/或一部件耦合至另一部件,可能包含形成這些部件直接接觸的實施例,也可能包含額外的部件形成於這些部件之間,使得這些部件不直接接觸的實施例。
另外,本文可能使用空間相對用語,例如「下部的」、「上部的」、「水平的」、「垂直的」、「在……之上」、「在……上方」、「在……之下」、「在……下方」、「向上」、「向下」、「頂部」、「底部」等及前述之衍生物(例如「水平地」、「向下地」、「向上地」等),這些空間相對用語係為了便於描述一個(些)元件或部件與另一個(些)元件或部件之間的關係。這些空間相對用語是為了涵蓋與包含這(些)元件或部件之裝置(或系統或設備)所描繪的不同的方位,包含與裝置的使用或操作有關的方位。當設備被轉向不同方位時(旋轉90度或其他方位),則在此所使用的空間相對形容詞也將依轉向後的方位來解釋。
第1圖是根據本發明實施例的各個面向之用於製造多閘極裝置的方法100的流程圖。在一些實施例中,方法100製造在源極/汲極區中包含多個孔洞的多閘極裝置。在方框102,在基底上方形成第一半導體層堆疊、在基底上方形成第二半導體層堆疊、以及在第一半導體層堆疊和第二半導體層堆疊之間形成源極/汲極凹槽。在第一半導體層堆疊上方和第二半導體層堆疊上方形成包含虛設閘極堆疊的閘極結構。第一半導體層堆疊和第二半導體層堆疊包含以交替配置垂直堆疊的第一半導體層和第二半導體層。在方框104,沿著第一半導體層堆疊和第二半導體層堆疊中的第一半導體層的側壁形成內間隔物。在方框106,蝕刻第一半導體層堆疊和第二半導體層堆疊中的第二半導體層以形成具有(111)結晶取向(crystallographic orientation)的刻面(facet)。在方框108,在源極/汲極凹槽中形成其中具有孔洞的源極/汲極部件,其中源極/汲極部件從第二半導體層的刻面成長。在方框110,用金屬閘極堆疊取代虛設閘極堆疊和第一半導體層。在方框112,形成源極/汲極接觸開口,其中源極/汲極接觸開口暴露出源極/汲極部件中的至少一孔洞。在方框114,在源極/汲極部件上方的源極/汲極接觸開口中形成矽化物部件,其中矽化物部件填充暴露的至少一孔洞。在方框116,在矽化物部件上的源極/汲極接觸開口中形成源極/汲極接觸件。
第2A~2H圖是根據本發明實施例的各個面向之在各個製造階段(例如與第1圖中的方法100相關的階段)的多閘極裝置200的剖面示意圖。多閘極裝置200可以被包含在微處理器、記憶體、及/或其他積體電路裝置中。在一些實施例中,多閘極裝置200是積體電路晶片的一部分、單晶片系統(system on chip,SoC)、或前述之一部分,其包含各種被動和主動微電子裝置,例如電阻器、電容器、電感器、二極體、p型場效電晶體(PFETs)、n型場效電晶體(NFETs)、金屬氧化物半導體場效電晶體(metal-oxide semiconductor field effect transistors,MOSFETs)、互補式金屬氧化物半導體(complementary metal-oxide semiconductor,CMOS)電晶體、雙極性接面電晶體(bipolar junction transistors,BJTs)、橫向擴散金屬氧化物半導體(laterally diffused MOS,LDMOS)電晶體、高壓電晶體、高頻電晶體、其他合適的組件、或前述之組合。在一些實施例中,多閘極裝置200被包含在非揮發性記憶體中,例如非揮發性隨機存取記憶體(non-volatile random access memory,NVRAM)、快閃記憶體、電子可抹除可程式化唯讀記憶體(electrically erasable programmable read only memory,EEPROM)、可抹除可程式化唯讀記憶體(erasable programmable read-only memory,EPROM)、其他合適的記憶體類型、或前述之組合。為了清楚起見,已經簡化第2A~2H圖以更容易理解本發明實施例的發明概念。可以在多閘極裝置200中添加額外部件,並且可以在多閘極裝置200的其他實施例中替換、修改或消除以下描述的一些部件。
轉向第2A圖,多閘極裝置200包含基底(晶圓)202。在描繪的實施例中,基底202包含矽。替代地或額外地,基底202包含另一元素半導體,例如鍺;化合物半導體,例如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦;合金半導體,例如矽鍺(SiGe)、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、及/或GaInAsP;或前述之組合。或者,基底202是絕緣體上覆半導體基底,例如絕緣體上覆矽(silicon-on-insulator,SOI)基底、絕緣體上覆矽鍺(silicon germanium-on-insulator,SGOI)基底、或絕緣體上覆鍺(germanium-on-insulator,GOI)基底。絕緣體上覆半導體基底的製造可以使用藉由氧佈植(implantation of oxygen,SIMOX)分離、晶圓接合、及/或其他合適的方法來製造。取決於多閘極裝置200的設計需求,基底202可以包含各種摻雜區域。
在一些實施例中,可以在基底202上方形成基底延伸部204。基底延伸部204可以包含矽或如前所述關於基底202之另一元素半導體。基底延伸部204和基底202可以由相同的半導體材料形成。基底延伸部204和基底202可以由不同的半導體材料形成。
在多閘極裝置200的通道區C中的相應基底延伸部204上方設置半導體層堆疊205A和半導體層堆疊205B。通道區C設置在多閘極裝置200的相應源極/汲極區S/D之間。半導體層堆疊205A、205B包含從基底202的表面以交錯或交替配置垂直(例如沿著z方向)堆疊的半導體層206和半導體層208。在一些實施例中,半導體層206和半導體層208以描繪的交錯和交替配置磊晶成長。舉例來說,在基底202上磊晶成長半導體層206的第一層,在半導體層206的第一層上磊晶成長半導體層208的第一層,在半導體層208的第一層上磊晶成長半導體層206的第二層,並依此類推,直到半導體層堆疊205A、205B具有所需數量的半導體層206和半導體層208。在這樣的實施例中,半導體層206和半導體層208可以被稱為磊晶層。在一些實施例中,半導體層206和半導體層208的磊晶成長藉由分子束磊晶(molecular beam epitaxy,MBE)製程、化學氣相沉積(chemical vapor deposition,CVD)製程、金屬有機化學氣相沉積(metalorganic chemical vapor deposition,MOCVD)製程、其他合適的磊晶成長製程、或前述之組合實現。
半導體層206的組成不同於半導體層208的組成以在後續處理期間實現蝕刻選擇性及/或不同的氧化速率。在一些實施例中,半導體層206對蝕刻劑具有第一蝕刻速率而半導體層208對蝕刻劑具有第二蝕刻速率,其中第二蝕刻速率小於第一蝕刻速率。在一些實施例中,半導體層206具有第一氧化速率而半導體層208具有第二氧化速率,其中第二氧化速率小於第一氧化速率。在描繪的實施例中,半導體層206和半導體層208包含不同的材料、成分原子百分比、成分重量百分比、厚度、及/或特性,以在蝕刻製程期間實現期望的蝕刻選擇性,例如實施以在多閘極裝置200的通道區中形成懸浮通道層的蝕刻製程。舉例來說,在半導體層206包含矽鍺並且半導體層208包含矽的情況下,半導體層208的矽蝕刻速率小於半導體層206的矽鍺蝕刻速率。在一些實施例中,半導體層206和半導體層208可以包含相同的材料但具有不同的組成原子百分比以實現蝕刻選擇性及/或不同的氧化速率。舉例來說,半導體層206和半導體層208可以包含矽鍺,其中半導體層206具有第一矽原子百分比及/或第一鍺原子百分比,並且半導體層208具有不同的第二矽原子百分比及/或不同的第二鍺原子百分比。本發明實施例考慮到半導體層206和半導體層208包含可以提供期望的蝕刻選擇性、期望的氧化速率差異、及/或期望的性能特性(例如使電流最大化的材料)之半導體材料的任何組合,包含本文揭示的任何半導體材料。
如以下進一步描述的,半導體層208或半導體層208的一部分形成多閘極裝置200的通道區。在描繪的實施例中,半導體層堆疊205包含三個半導體層206和三個半導體層208,其被配置為形成設置在基底202上方的三個半導體層對,每個半導體層對具有各自的半導體層206和各自的半導體層208。在經歷後續處理之後,這樣的配置將產生具有三個通道的多閘極裝置200。然而,本發明實施例考慮到半導體層堆疊205包含更多或更少半導體層的實施例,例如取決於多閘極裝置200(例如全繞式閘極電晶體)所需的通道數量及/或多閘極裝置200的設計要求。舉例來說,半導體層堆疊205可以包含二到十個半導體層206和二到十個半導體層208。
分別在半導體層堆疊205A、205B上方設置閘極結構210A、210B。在一些實施例中,閘極結構210A、210B沿著x方向大致互相平行地延伸,具有在x方向上定義的長度、在y方向上定義的寬度、及在z方向上定義的高度。在這樣的實施例中,在Y-Z平面中,閘極結構210A、210B設置在多閘極裝置200的各個通道區C的頂表面上方,使得閘極結構210A、210B插入各個源極/汲極區S/D,例如第2A圖所示。在此類實施例的進一步中,在X-Z平面中,閘極結構210A、210B包覆半導體層堆疊205A、205B的頂表面和側壁表面,並且在一些實施例中,包覆基底延伸部204的側壁表面。閘極結構210A、210B中的每一個包含虛設閘極堆疊212和閘極間隔物214。虛設閘極堆疊212包含虛設閘極電極,並且在一些實施例中包含虛設閘極介電質。虛設閘極電極包含合適的虛設閘極材料,例如多晶矽層。在虛設閘極堆疊212包含設置在虛設閘極電極和半導體層堆疊205A、205B之間的虛設閘極介電質的實施例中,虛設閘極介電質包含介電材料,例如氧化矽、高介電常數介電材料、其他合適的介電材料材料、或前述之組合。高介電常數介電材料的範例包含HfO
2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、氧化鋯、氧化鋁、二氧化鉿-氧化鋁(HfO
2-Al
2O
3)合金、其他合適的高介電常數介電材料、或前述之組合。在一些實施例中,虛設閘極介電質包含設置在半導體層堆疊205A、205B上方的界面層(包含例如氧化矽)以及設置在界面層上方的高介電常數介電層。虛設閘極堆疊212可以包含許多其他層,例如蓋層、界面層、擴散層、阻障層、硬遮罩層、或前述之組合。舉例來說,虛設閘極堆疊212還可以包含設置在虛設閘極電極上方的硬遮罩層。
閘極間隔物214設置為鄰近相應的虛設閘極堆疊212(亦即,沿著其側壁)。閘極間隔物214藉由任何合適的製程形成並且包含介電材料。介電材料可包含矽、氧、碳、氮、其他合適的材料、或前述之組合(例如氧化矽、氮化矽、氮氧化矽(SiON)、碳化矽、氮碳化矽(SiCN)、碳氧化矽(SiOC)、氮碳氧化矽(SiOCN))。舉例來說,可以在虛設閘極堆疊212上方沉積包含矽和氮的介電層(例如氮化矽層),隨後蝕刻(例如非等向性蝕刻)介電層以形成閘極間隔物214。在一些實施例中,閘極間隔物214包含多層結構,例如包含氮化矽的第一介電層和包含氧化矽的第二介電層。在一些實施例中,鄰近虛設閘極堆疊212形成多於一組間隔物,例如密封間隔物、偏移(offset)間隔物、犧牲間隔物、虛設間隔物、及/或主間隔物。在這樣的實施方式中,各組間隔物可以包含具有不同蝕刻速率的材料。舉例來說,可以沉積和蝕刻包含矽和氧的第一介電層(例如氧化矽)以形成與虛設閘極堆疊212相鄰的第一間隔物組,並且可以沉積和蝕刻包含矽和氮(例如氮化矽)的第二介電層以形成鄰近第一間隔物組的第二間隔物組。
在第2A圖的製程階段,例如藉由蝕刻製程在多閘極裝置200的源極/汲極區S/D中形成源極/汲極凹槽215。閘極結構210A、210B因此設置在各自的源極/汲極凹槽215之間。在描繪的實施例中,源極/汲極凹槽215的形成藉由完全移除多閘極裝置200的源極/汲極區S/D中的半導體層206和半導體層208的一部分並部分地移除多閘極裝置200的源極/汲極區S/D中的基底202的一部分的蝕刻製程,藉此在多閘極裝置200的通道區C中形成半導體層堆疊205A、205B和基底延伸部204。在源極/汲極蝕刻製程之後,源極/汲極凹槽215具有由通道區C中的半導體層206和半導體層208的剩餘部分界定的側壁(亦即,半導體層堆疊205A、205B)和由基底202界定的底部。在一些實施例中,蝕刻製程移除源極/汲極區S/D中的半導體層206和半導體層208的一部分,但不是全部,使得源極/汲極凹槽215具有由半導體層206或半導體層208之一界定的底部。在一些實施例中,蝕刻製程進一步移除基底202的一些但不是全部,使得源極/汲極凹槽215延伸至基底202的最頂表面下方。蝕刻製程可以包含乾式蝕刻製程、濕式蝕刻製程、其他合適的蝕刻製程、或前述之組合。在一些實施例中,蝕刻製程是多步驟蝕刻製程。舉例來說,蝕刻製程可以交替蝕刻劑以單獨地和交替地移除半導體層206和半導體層208。在一些實施例中,蝕刻製程的參數被配置為選擇性地蝕刻半導體層206和半導體層208,而最小程度地蝕刻(至不蝕刻)虛設閘極堆疊212和閘極間隔物214。在一些實施例中,進行例如本文所述之那些微影製程以形成覆蓋虛設閘極堆疊212和閘極間隔物214的圖案化遮罩層,並且蝕刻製程使用圖案化遮罩層作為蝕刻遮罩。
在形成源極/汲極凹槽215之後,本發明實施例提出使用刻面蝕刻製程來配置半導體層208的表面,以增強後續的半導體成長,例如在形成磊晶源極/汲極部件時從半導體層208磊晶半導體成長。舉例來說,調整刻面蝕刻製程以最小程度地蝕刻半導體層208,以在源極/汲極凹槽215中實現半導體層208的刻面,這促進了磊晶成長,其將在源極/汲極凹槽215的一部分中合併,而不在源極/汲極凹槽215的其他部分中合併,使得可以在磊晶源極/汲極部件中形成孔洞。在描繪的實施例中,在刻面蝕刻製程之後,半導體層堆疊205A的半導體層208具有刻面218A和刻面218B,並且半導體層堆疊205B的半導體層208具有刻面218C和刻面218D。刻面218A~218D中的每一個具有(111)結晶取向,其有助於以本文所述的方式磊晶源極/汲極部件的後續成長。角度α1位於刻面218A和刻面218B之間,並且角度α2位於刻面218C和刻面218D之間。在一些實施例中,角度α1和角度α2為約90°至約130°。在一些實施例中,半導體層堆疊205A、205B的半導體層208可以以三個刻面開始,例如具有(100)結晶取向的第一刻面、具有(110)結晶取向的第二刻面、及具有(100)結晶取向的第三刻面,其中第二刻面從第一刻面延伸至第三刻面。在這樣的實施例中,控制刻面蝕刻製程以移除半導體層208的一部分並獲得具有(111)結晶取向的刻面218A~218D。可以調整各種蝕刻參數以實現半導體層208的所需刻面蝕刻,例如蝕刻氣體組成、載氣組成、蝕刻氣體流速、載氣流速、蝕刻時間、蝕刻壓力、蝕刻溫度、源功率、射頻(radio frequency,RF)及/或直流(DC)偏壓、RF及/或DC偏壓功率、其他合適的蝕刻參數、或前述之組合。在一些實施例中,進行濕式蝕刻製程,其使用一或多種濕式蝕刻化學物質,例如臭氧(O
3)、SCA(以H
2O
2為主)、氫氧化銨(NH
4OH)、四甲基氫氧化銨(tetramethylammonium hydroxide,TMAH)、或其他合適的濕式蝕刻化學物質,以選擇性地蝕刻半導體層208以暴露出具有(111)結晶取向的刻面218A~218D。
在形成刻面218A~218D之後,藉由內間隔物沉積和蝕刻製程沿著半導體層堆疊205A、205B的半導體層206的側壁形成內間隔物216。舉例來說,進行第一蝕刻製程,其選擇性地蝕刻由源極/汲極凹槽215暴露的半導體層206,而最小程度地蝕刻(至不蝕刻)蝕刻半導體層208,使得在閘極間隔物214下方的半導體層208與基底202之間以及半導體層208之間形成間隙。半導體層208的一部分(邊緣)因此懸浮在閘極間隔物214下方。在一些實施例中,間隙部分地在虛設閘極堆疊212下方延伸。第一蝕刻製程被配置為橫向蝕刻(例如沿著y方向)半導體層206,藉此減少半導體層206沿著y方向的長度。第一蝕刻製程為乾式蝕刻製程、濕式蝕刻製程、其他合適的蝕刻製程、或前述之組合。然後,沉積製程在虛設閘極堆疊212、閘極間隔物214上方和界定源極/汲極凹槽215(例如半導體層208、半導體層206和基底202)的部件上方形成間隔層,例如化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)、高密度電漿化學氣相沉積(HDPCVD)、金屬有機化學氣相沉積(MOCVD)、遠距電漿化學氣相沉積(RPCVD)、電漿輔助化學氣相沉積(PECVD)、低壓化學氣相沉積(LPCVD)、原子層化學氣相沉積(ALCVD)、常壓化學氣相沉積(APCVD)、電鍍、其他合適的方法、或前述之組合。間隔層部分地(並且在一些實施例中完全地)填充源極/汲極凹槽215。沉積製程被配置為確保間隔層填充閘極間隔物下方的半導體層208和基底202之間以及半導體層208之間的間隙。然後,進行第二蝕刻製程,其選擇性地蝕刻間隔層以形成如第2A圖所示之內間隔物210,而最小程度地蝕刻(至不蝕刻)半導體層208、虛設閘極堆疊212和閘極間隔物214。在一些實施例中,從閘極間隔物214的側壁、半導體層208的側壁、虛設閘極堆疊212、及基底202移除間隔層。間隔層(以及因此內間隔物216)包含與半導體層208的材料和閘極間隔層214的材料不同的材料,以在第二蝕刻製程期間實現期望的蝕刻選擇性。在一些實施例中,間隔層包含介電材料,其包含矽、氧、碳、氮、其他合適的材料、或前述之組合(例如氧化矽、氮化矽、氮氧化矽、碳化矽、或氮碳氧化矽)。在一些實施例中,間隔層包含低介電常數介電材料,例如本文所述的那些材料。在一些實施例中,摻質(例如p型摻質、n型摻質、或前述之組合)被引入到介電材料中,使得間隔層包含摻雜的介電材料。
轉向第2B~2E圖,製程繼續在源極/汲極凹槽215中形成磊晶源極/汲極部件。如下所述,磊晶源極/汲極部件具有改善/增強接觸件形成和提升多閘極裝置200的性能的特性。舉例來說,參照第2B~2D圖,在源極/汲極凹槽215中形成第一磊晶層220。第一磊晶層220部分地填充源極/汲極凹槽215。舉例來說,從源極/汲極凹槽215暴露出的半導體層208和基底202的一部分磊晶成長半導體材料,在源極/汲極凹槽215中形成第一磊晶層220。磊晶製程可以使用化學氣相沉積沉積技術(例如氣相磊晶(VPE)及/或超高真空化學氣相沉積(UHV-CVD))、分子束磊晶、其他合適的磊晶成長製程、或前述之組合。磊晶製程可以使用氣態及/或液態前驅物,其與基底202及/或半導體層208的組成交互作用。第一磊晶層220可以摻雜有n型摻質及/或p型摻質。在一些實施例中,對於n型全繞式閘極電晶體,第一磊晶層220包含矽。在這樣的實施例中,第一磊晶層220可以摻雜有碳、磷、砷、其他n型摻質、或前述之組合(例如形成Si:C磊晶源極/汲極部件、Si:P磊晶源極/汲極部件、或Si:C:P磊晶源極/汲極部件)。在一些實施例中,對於p型全繞式閘極電晶體,第一磊晶層220包含矽鍺或鍺。在這樣的實施例中,第一磊晶層220可以摻雜有硼、其他p型摻質、或前述之組合(例如形成Si:Ge:B磊晶源極/汲極部件)。在一些實施例中,第一磊晶層220包含多於一個磊晶半導體層,其中磊晶半導體層可以包含相同或不同的材料及/或摻質濃度。在一些實施例中,第一磊晶層220包含在通道區中實現所需張應力及/或壓應力的材料及/或摻質。在一些實施例中,藉由在沉積期間對磊晶製程的源極材料添加雜質(亦即,原位(in-situ))來摻雜第一磊晶層220。在一些實施例中,在沉積製程之後藉由離子佈植製程摻雜第一磊晶層220。在一些實施例中,進行退火製程(例如快速熱退火(rapid thermal annealing,RTA)及/或雷射退火)以活化第一磊晶層220及/或其他源極/汲極區(例如重摻雜源極/汲極區及/或輕摻雜源極/汲極(lightly doped source/drain,LDD)區)中的摻質。在一些實施例中,在單獨的製程順序中形成第一磊晶層220,舉例來說,第1圖和第2B~2D圖中的每一個可以描繪磊晶製程中的單獨步驟。在其他實施例中,在單個製程中形成第一磊晶層220,舉例來說,當第2B~2D圖被配置為單步驟時,可以共同描述磊晶製程。
轉向第2B圖,在源極/汲極凹槽215中的基底202和半導體層208上(具體而言,在半導體層208的(111)刻面218A~218D上)上形成第一磊晶層220。在一些實施例中,進行磊晶製程直到第一磊晶層220覆蓋源極/汲極凹槽215中的基底202和半導體層208的露出表面。在描繪的實施例中,第一磊晶層220包含彼此不連接(或合併)的分離部分。舉例來說,由於第一磊晶層220沒有形成在內間隔物216上,設置在相鄰半導體層208上的第一磊晶層220的一部分不彼此連接,並且形成在基底202上的第一磊晶層220的一部分不連接到形成在半導體層208上的第一磊晶層220的一部分。在一些實施例中,第一磊晶層220在刻面218A~218D上具有厚度t1。在第2B圖中,源極/汲極凹槽215現在由第一磊晶層220和內間隔物216界定。
轉向第2C圖,在源極/汲極凹槽215中繼續形成第一磊晶層220,使得第一磊晶層220的一部分合併為源極/汲極凹槽215中的連續層。在一些實施例中,第一磊晶層220具有厚度t2,其大於厚度t1。在一些實施例中,可以作為用於將第一磊晶層220形成為厚度t1之相同製程的一部分將第一磊晶層220形成為厚度t2。在一些實施例中,可以在與用於將第一磊晶層220形成為厚度t1不同的製程中,將第一磊晶層220形成為厚度t2。源極/汲極凹槽215現在僅由第一磊晶層220界定。
轉向第2D圖,在源極/汲極凹槽215中繼續形成第一磊晶層220。在一些實施例中,第一磊晶層220具有大於厚度t2的厚度t3。在一些實施例中,厚度t3為約2 nm至約10 nm。在一些實施例中,可以在與用於將第一磊晶層220形成為厚度t2相同的製程中,將第一磊晶層220形成為厚度t3。在一些實施例中,可以在與用於將第一磊晶層220形成為厚度t2不同的製程中,將第一磊晶層220形成為厚度t3。第一磊晶層220具有刻面222A、刻面222B、刻面222C、刻面222D、刻面224A、及刻面224B。在描繪的實施例中,第一磊晶層220的刻面222A~222D具有(111)結晶取向,並且第一磊晶層220的刻面224A~224B具有(100)結晶取向。刻面222A和刻面222D沿著第一方向延伸,並且刻面222B和刻面222C沿著不同於第一方向的第二方向延伸。在一些實施例中,刻面222A和刻面222D大致彼此平行,並且刻面222B和刻面222C大致彼此平行。刻面222A和刻面222C相隔距離D1,並且刻面222B和刻面222D相隔距離D2。距離D1沿著垂直於基底202的頂表面的方向(例如z方向)增加。距離D2沿著垂直於基底202的頂表面的方向降低。角度α3位於刻面222A和刻面222B之間,並且角度α4位於刻面222C和刻面222D之間。在一些實施例中,角度α3和角度α4為約90°至約130°。在描繪的實施例中,第一磊晶層220的刻面222A~222D界定源極/汲極凹槽215的孔洞部分226A、孔洞部分226B和孔洞部分226C。舉例來說,孔洞部分226A~226C中的每一個由刻面222A中的相應一個、刻面222B中的相應一個、刻面222C中的相應一個、及刻面222D中的相應一個界定。在一些實施例中,孔洞部分226A~226C具有類鑽石形狀。第一磊晶層220的刻面224A、224B設置在刻面222A~222D和孔洞部分226A~226C之間。舉例來說,刻面224A從刻面222B延伸至刻面222A,並且刻面224B從刻面222D延伸至刻面222C。刻面224A和刻面224B相隔距離D3,其小於距離D1和距離D2。在描繪的實施例中,第一磊晶層220的刻面222A~222D和刻面224A、224B界定源極/汲極凹槽215的三個孔洞部分226A~226C,但本發明實施例考慮到由第一磊晶層220界定之更多或更少孔洞部分的實施例。調整第一磊晶層220的磊晶製程以確保距離D3足夠小於距離D2和距離D1,以在填充孔洞部分226A~226C之前實現刻面224A、224B之間的隨後形成的磊晶層的合併。舉例來說,可以控制第一磊晶層220之第一磊晶材料的成長速率及/或成長時間,以實現期望的距離D3、距離D1、及/或距離D2。在一些實施例中,可以調整磊晶製程的各種參數,例如時間、溫度和壓力,以實現期望的距離D1、D2和D3。源極/汲極凹槽215現在由第一磊晶層220界定。
轉向第2E圖,在源極/汲極凹槽215中的第一磊晶層220上形成第二磊晶層230。第二磊晶層230填充源極/汲極凹槽215的剩餘部分,並且在描繪的實施例中,過填充源極/汲極凹槽215,使得第二磊晶層230的一部分設置在閘極結構210A、210B之間。第二磊晶層230和第一磊晶層220共同形成多閘極裝置200的磊晶源極/汲極部件232。磊晶製程可以使用化學氣相沉積沉積技術(例如氣相磊晶(VPE)及/或超高真空化學氣相沉積(UHV-CVD))、分子束磊晶、其他合適的磊晶成長過程、或前述之組合。磊晶製程可以使用氣態及/或液態前驅物,其與第一磊晶層220的組成交互作用。第二磊晶層230可以摻雜有n型摻質及/或p型摻質。在一些實施例中,對於n型全繞式閘極電晶體,第二磊晶層230包含矽。在這樣的實施例中,第二磊晶層230可以摻雜有碳、磷、砷、其他n型摻質、或前述之組合(例如形成Si:C磊晶源極/汲極部件、Si:P磊晶源極/汲極部件、或Si:C:P磊晶源極/汲極部件)。在一些實施例中,對於p型全繞式閘極電晶體,第二磊晶層230包含矽鍺或鍺。在這樣的實施例中,第二磊晶層230可以摻雜有硼、其他p型摻質、或前述之組合(例如形成Si:Ge:B磊晶源極/汲極部件)。在一些實施例中,第二磊晶層230包含多於一個磊晶半導體層,其中磊晶半導體層可以包含相同或不同的材料及/或摻質濃度。在一些實施例中,第二磊晶層230包含在通道區中實現所需張應力及/或壓應力的材料及/或摻質。在一些實施例中,藉由在沉積期間對磊晶製程(亦即,原位)的源極材料添加雜質來摻雜第二磊晶層230。在一些實施例中,在沉積製程之後藉由離子佈植製程摻雜第二磊晶層230。在一些實施例中,進行退火製程(例如快速熱退火(RTA)及/或雷射退火)以活化第二磊晶層230及/或其他源極/汲極區(例如重摻雜源極/汲極區及/或輕摻雜源極/汲極區(LDD))中的摻質。
在一些實施例中,第二磊晶層230由與第一磊晶層220相同的材料形成。在一些實施例中,第二磊晶層230由與第一磊晶層220不同的材料形成。在一些實施例中,第二磊晶層230中摻質的摻雜濃度不同於(例如大於或小於)第一磊晶層220中的摻質的摻雜濃度。在磊晶製程期間,因為距離D3小於距離D1和距離D2,所以在完全填充源極/汲極凹槽215的孔洞部分226A~226C之前,第二磊晶層230在第一磊晶層220的刻面224A和刻面224B之間合併,藉此在第二磊晶層230中形成孔洞226A’、孔洞226B’和226C’。調整第一磊晶層220的磊晶製程以確保刻面224A、224B之間的距離D3足夠小於距離D2和距離D1,使得第二磊晶層230在填充孔洞部分226A~226C之前在刻面224A、224B之間合併。孔洞226A’~226C’(也稱為氣隙)是未被第二磊晶層230填充且被第二磊晶層230的合併部分分開的孔洞部分226A~226C的剩餘部分。在描繪的實施例中,在相應的一對內間隔物216和半導體層206之間界定孔洞226A’~226C’中的每一個,並在相應的一對半導體層208之間界定第二磊晶層230的合併部分中的每一個。如下文進一步描述的,取決於所需的矽化物形成,孔洞226A’~226C’可以具有任何合適的形狀,並且孔洞226A’~226C’可以具有相同的形狀/輪廓或不同的形狀/輪廓。在描繪的實施例中,孔洞226A’是橢圓形的,並且孔洞226B’和孔洞226C’是鑽石形的。在一些實施例中,孔洞226A’~226C’都是鑽石形的。在一些實施例中,孔洞226A’~226C’都是橢圓形的。本發明實施例考慮到孔洞226A’~226C’的各種形狀。在一些實施例中,相鄰孔洞之間的垂直間距D4為約5 nm至約10 nm。
轉向第2F圖,在磊晶源極/汲極部件232(具體而言,第二磊晶層230)、虛設閘極212和閘極間隔物214上方形成層間介電(inter-level dielectric,ILD)層234,例如藉由沉積製程(例如化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)、高密度電漿化學氣相沉積(HDPCVD)、金屬有機化學氣相沉積(MOCVD)、遠距電漿化學氣相沉積(RPCVD)、電漿輔助化學氣相沉積(PECVD)、低壓化學氣相沉積(LPCVD)、原子層化學氣相沉積(ALCVD)、常壓化學氣相沉積(APCVD)、電鍍、其他合適的方法、或前述之組合)。在一些實施例中,層間介電層234藉由可流動式化學氣相沉積(flowable CVD,FCVD)製程形成,其包含例如在多閘極裝置200上方沉積可流動的材料(例如液態化合物),並藉由合適的技術(例如熱退火及/或紫外線輻射處理)將可流動的材料轉化為固態材料。層間介電層234包含介電材料,其包含例如氧化矽、氮化矽、氮氧化矽、四乙氧基矽烷(TEOS)形成的氧化物、磷矽酸鹽玻璃(PSG)、硼磷矽酸鹽玻璃(BPSG)、低介電常數介電材料、其他合適的介電材料、或前述之組合。例示性低介電常數介電材料包含氟矽玻璃(FSG)、碳摻雜的氧化矽、黑鑽石(BlackDiamond®,加利福尼亞州聖塔克拉拉的應用材料)、乾凝膠(Xerogel)、氣凝膠(Aerogel)、非晶的氟化碳、聚對二甲苯(Parylene)、苯環丁烯(BCB)、SiLK(密歇根州米德蘭的陶氏化學)、聚醯亞胺(polyimide)、其他低介電常數介電材料、或前述之組合。在描繪的實施例中,層間介電層234是包含低介電常數介電材料的介電層(通常稱為低介電常數介電層)。層間介電層234可以包含具有多種介電材料的多層結構。在一些實施例中,在層間介電層234和第二磊晶層230之間以及層間介電層234和閘極間隔物214之間設置接觸蝕刻停止層(contact etch stop layer,CESL)。接觸蝕刻停止層包含不同於層間介電層234的材料,例如不同於層間介電層234的介電材料之介電材料。舉例來說,在層間介電層234包含低介電常數介電材料的情況下,接觸蝕刻停止層包含矽和氮,例如氮化矽或氮氧化矽。在層間介電層234及/或接觸蝕刻停止層的沉積之後,可以進行化學機械研磨(CMP)製程及/或其他平坦化製程,直到到達(暴露出)虛設閘極堆疊214的頂部(或頂表面)。在一些實施例中,平坦化製程移除硬遮罩層以暴露出虛設閘極堆疊214下方的虛設閘極電極,例如多晶矽閘極電極層。
層間介電層234可以是設置在基底202上方的多層互連(multilayer interconnect,MLI)部件的一部分。多層互連部件電耦合各種裝置(例如多閘極裝置200的p型全繞式閘極電晶體及/或n型全繞式閘極電晶體、電晶體、電阻器、電容器、及/或電感器)及/或組件(例如多閘極裝置200的閘極結構及/或磊晶源極/汲極部件),使得各種裝置及/或組件可以根據多閘極裝置200的設計要求按規定運作。多層互連部件包含被配置為形成各種互連結構的介電層和導電層(例如金屬層)的組合。導電層被配置為形成垂直互連部件,例如裝置級接觸件及/或導孔(vias)、及/或水平互連部件,例如導電線。垂直互連部件通常連接多層互連部件的不同層(或不同平面)中的水平互連部件。在操作期間,互連部件被配置為在多閘極裝置200的組件及/或裝置之間路由訊號及/或將訊號(例如時脈訊號、電壓訊號、及/或接地訊號)分配到多閘極裝置200的組件及/或裝置。
繼續第2F圖,移除虛設閘極堆疊212以形成暴露出多閘極裝置200的通道區C中的半導體層堆疊205A、205B之閘極溝槽。在一些實施例中,蝕刻製程完全移除虛設閘極堆疊212以暴露出半導體層堆疊205A、205B的半導體層208和半導體層206。蝕刻製程為乾式蝕刻製程、濕式蝕刻製程、其他合適的蝕刻製程、或前述之組合。在一些實施例中,蝕刻製程是多步驟蝕刻製程。舉例來說,蝕刻製程可以交替蝕刻劑以分別移除虛設閘極堆疊212的各層,例如虛設閘極電極層、虛設閘極介電層、及/或硬遮罩層。在一些實施例中,蝕刻製程被配置為選擇性地蝕刻虛設閘極堆疊212,而最小程度地蝕刻(至不蝕刻)多閘極裝置200的其他部件,例如層間介電層234、閘極間隔物214、半導體層206和半導體層208。在一些實施例中,進行微影製程(例如本文所述的那些製程),以形成覆蓋層間介電層234及/或閘極間隔物214的圖案化遮罩層,並且蝕刻製程使用圖案化遮罩層作為蝕刻遮罩。
繼續第2F圖,然後從多閘極裝置200的通道區C中選擇性地移除由閘極溝槽暴露出的半導體層206,藉此留下懸浮的通道層208’。在描繪的實施例中,移除半導體層206提供三個通道層208’,在多閘極裝置200的操作期間,電流將經由這些通道層208’在相應的磊晶源極/汲極部件之間流動。在一些實施例中,此製程可以被稱為通道奈米線釋放製程,其中每個通道層208’具有奈米大小的尺寸並且可以被稱為奈米線。「奈米線」通常是指以允許金屬閘極物理接觸通道層的至少兩側的方式懸浮的通道層,並且在全繞式閘極電晶體中,將允許金屬閘極物理接觸通道層的至少四側通道層(亦即,圍繞通道層)。在此類實施例中,懸浮通道層的垂直堆疊可被稱為奈米結構。在一些實施例中,在移除半導體層206之後,進行蝕刻製程以修改通道層208’的輪廓以獲得期望尺寸及/或期望形狀(例如圓柱形(例如奈米線)、矩形(例如奈米棒)、片狀(例如奈米片)等)。取決於多閘極裝置200的設計要求,本發明實施例進一步考慮到通道層208’(奈米線)具有次奈米(sub-nanometer)尺寸的實施例。
在一些實施例中,蝕刻製程選擇性地蝕刻半導體層206,而最小程度地蝕刻(至不蝕刻)半導體層208,並且在一些實施例中,最小程度地蝕刻(至不蝕刻)閘極間隔物214及/或內間隔物216。可以調整蝕刻參數以實現半導體層206的選擇性蝕刻,例如蝕刻劑組成、蝕刻溫度、蝕刻溶液濃度、蝕刻時間、蝕刻壓力、源功率、RF偏壓、RF偏壓功率、蝕刻劑流速、其他合適的蝕刻參數、或前述之組合。舉例來說,選擇蝕刻劑,使蝕刻製程以比半導體層208的材料(例如矽)更高的速率蝕刻半導體層206的材料(例如矽鍺)(亦即,蝕刻劑相對於半導體層206的材料具有高蝕刻選擇性)。蝕刻製程為乾式蝕刻製程、濕式蝕刻製程、其他合適的蝕刻製程、或前述之組合。在一些實施例中,乾式蝕刻製程(例如反應離子蝕刻(RIE)製程)利用含氟氣體(例如SF
6)來選擇性地蝕刻半導體層206。在一些實施例中,可以調整含氟氣體與含氧氣體(例如O
2)的比例、蝕刻溫度、及/或RF功率以選擇性地蝕刻矽鍺或矽。在一些實施例中,濕式蝕刻製程利用包含氫氧化銨(NH
4OH)和水(H
2O)的蝕刻溶液來選擇性蝕刻半導體層206。在一些實施例中,使用鹽酸(HCl)的化學氣相蝕刻製程來選擇性蝕刻半導體層206。
然後,在閘極溝槽中形成金屬閘極堆疊,其包含閘極介電質236(例如閘極介電層)和閘極電極238(例如功函數層和塊體(bulk)導電層)。在第2F圖中,金屬閘極堆疊包覆(圍繞)通道層208’,其中閘極介電質236設置在閘極電極238和通道層208’之間。金屬閘極堆疊可以包含許多其他層,例如蓋層、界面層、擴散層、阻障層、硬遮罩層、或前述之組合。在描繪的實施例中,閘極介電質236包含高介電常數介電層,其包含高介電常數介電材料,例如HfO
2、HfSiO、HfSiO
4、HfSiON、HfLaO、HfTaO、HfTiO、HfZrO、HfAlO
x、ZrO、ZrO
2、ZrSiO
2、AlO、AlSiO、Al
2O
3、TiO、TiO
2、LaO、LaSiO、Ta
2O
3、Ta
2O
5、Y
2O
3、SrTiO
3、BaZrO、BaTiO
3(BTO)、(Ba,Sr)TiO
3(BST)、Si
3N
4、二氧化鉿-氧化鋁(HfO
2-Al
2O
3)合金、其他合適的高介電常數介電材料、或前述之組合。高介電常數介電材料通常是指具有高介電常數(例如大於氧化矽的介電常數(k≈3.9))的介電材料。高介電常數介電層藉由本文所述的任何製程形成,例如原子層沉積、化學氣相沉積、物理氣相沉積、以氧化為主的沉積製程、其他合適的製程、或前述之組合。在一些實施例中,閘極介電質236包含設置在高介電常數介電層和通道層208’之間的界面層。
閘極電極238包含導電材料,例如多晶矽、Al、Cu、Ti、Ta、W、Mo、Co、TaN、NiSi、CoSi、TiN、WN、TiAl、TiAlN、TaCN、TaC、TaSiN、其他導電材料、或前述之組合。在一些實施例中,功函數層是調整為具有期望功函數(例如n型功函數或p型功函數)的導電層,並且導電塊體層是形成在功函數層上方的導電層。在一些實施例中,功函數層是n型功函數層並且包含任何合適的功函數材料,例如Ti、Al、Ag、Mn、Zr、TiAl、TiAlC、TiAlSiC、TaC、TaCN、TaSiN、TaAl、TaAlC、TaSiAlC、TiAlN、其他n型功函數材料、或前述之組合。在一些實施例中,功函數層包含p型功函數材料,例如Ru、Mo、Al、TiN、TaN、WN、ZrSi
2、MoSi
2、TaSi
2、NiSi
2、WN、其他合適的p型功函數材料、或前述之組合。塊體(或填充)導電層包含合適的導電材料,例如Al、W、及/或Cu。塊體導電層可以額外地或共同地包含多晶矽、Ti、Ta、金屬合金、其他合適的材料、或前述之組合。藉由本文所述的任何製程形成功函數層及/或導電塊體層,例如原子層沉積、化學氣相沉積、物理氣相沉積、電鍍、其他沉積製程、或前述之組合。
轉向第2G圖,形成暴露出磊晶源極/汲極部件232之一的源極/汲極接觸開口240。舉例來說,蝕刻製程移除層間介電層234的一部分以暴露出設置在閘極結構210A和閘極結構210B之間的磊晶源極/汲極部件232。蝕刻製程也部分地蝕刻磊晶源極/汲極部件232(例如第二磊晶層230和第一磊晶層220)直到到達孔洞226A’。在描繪的實施例中,調整蝕刻製程以蝕刻第二磊晶層230和第一磊晶層220以暴露出孔洞226A’而不暴露出孔洞226B’或孔洞226C’。在一些實施例中,調整蝕刻製程以蝕刻第二磊晶層230及/或第一磊晶層220以暴露出孔洞226B’或孔洞226C’。蝕刻製程可以是上述蝕刻製程之一或任何其他合適的蝕刻製程。蝕刻製程可以作為單個步驟進行,或者可以作為多個步驟進行以分別蝕刻層間介電層234、第二磊晶層230和第一磊晶層220。調整蝕刻製程以移除層間介電層234、第二磊晶層230和第一磊晶層220中的每一個,而最小程度地蝕刻(至不蝕刻)閘極間隔物214、閘極介電質236和閘極電極238。在一些實施例中,第一磊晶層層220對蝕刻劑具有第一蝕刻速率,並且第二磊晶層230對蝕刻劑具有第二蝕刻速率,其中第一蝕刻速率小於第二蝕刻速率。在其他實施例中,第一蝕刻速率等於或大於第二蝕刻速率。
轉向第2H圖,在源極/汲極接觸開口240中及磊晶源極/汲極部件232上方形成矽化物242。矽化物242填充孔洞226A’。矽化物242包含矽化物頂部242A和矽化物延伸部242B。矽化物頂部242A形成於最頂部的通道層208’之間。第一磊晶層220沿著矽化物頂部242A的上部設置,並且第二磊晶層230沿著矽化物頂部242A的下部設置。矽化物延伸部242B形成於矽化物頂部242A下方和內間隔物216之間。在描繪的實施例中,矽化物延伸部242B進一步在中間通道層208’之間延伸。在一些實施例中,矽化物242的頂表面低於閘極間隔物214的底表面和頂部通道層208’的頂表面。在一些實施例中,矽化物242的頂表面在頂部通道層208’的頂表面上方。參照矽化物242的擴大部分A,矽化物頂部242A具有寬度w1和高度h1,並且矽化物延伸部242B具有寬度w2和高度h2。在描繪的實施例中,寬度w1大於寬度w2。在一些實施例中,寬度w1為約1 nm至約20 nm,並且寬度w2為約1 nm至約10 nm。在一些實施例中,高度h1為約1 nm至約10 nm,並且高度h2為約1 nm至約20 nm。在一些實施例中,高度h1和高度h2之和至少大於通道層208’之一和內間隔物216之一的厚度之和。
矽化物242的形成可以藉由在第一磊晶層220和第二磊晶層230上方沉積金屬層並加熱多閘極裝置200(例如使多閘極裝置200經歷退火製程),以引起第一磊晶層220及/或第二磊晶層230的成分(例如矽及/或鍺)與金屬層的金屬成分反應。金屬層包含任何適合促進矽化物形成的金屬成分,例如鎳、鉑、鈀、釩、鈦、鈷、鉭、鐿、鋯、其他合適的金屬、或前述之組合。矽化物242因此包含金屬成分和第一磊晶層220及/或第二磊晶層230的成分,例如矽及/或鍺。在一些實施例中,矽化物242包含矽化鎳、矽化鈦、或矽化鈷。可以例如藉由蝕刻製程相對於矽化物242及/或介電材料選擇性地移除任何未反應的金屬,例如金屬層的剩餘部分。
繼續第2H圖,然後在矽化物242上形成源極/汲極接觸件244並填充源極/汲極接觸開口240的剩餘部分。源極/汲極接觸件244包含接觸隔離層246、接觸阻障層248和接觸塊體層250。在一些實施例中,接觸隔離層246形成於矽化物242上和閘極間隔物214的側壁上。在一些實施例中,在源極/汲極接觸開口240不暴露出閘極間隔物214的情況下,層間介電層234設置在源極/汲極接觸件244(在此,具體而言,接觸隔離層246)和閘極間隔層214之間。在描繪的實施例中,接觸隔離層246也設置在暴露出的第一磊晶層220上。接觸阻障層248形成於矽化物242上和接觸隔離層上246。接觸塊體層250形成於接觸阻障層248上。在描繪的實施例中,沿著接觸阻障層248的底部和接觸阻障層248的側壁設置矽化物242,使得矽化物242設置在磊晶源極/汲極部件232(具體而言,第一磊晶層220)和接觸阻障層282的側壁之間。在一些實施例中,矽化物頂部242A的寬度w1大於源極/汲極接觸件244的導電部分的寬度(具體而言,接觸塊體層250的寬度和接觸阻障層282的厚度之和)。在一些實施例中,源極/汲極接觸件244的形成藉由進行第一沉積製程以在第二磊晶層230上方和閘極間隔物214的側壁上形成接觸隔離材料,其中接觸隔離材料部分地填充源極/汲極接觸開口240;進行第二沉積製程以在接觸隔離材料上方形成接觸阻障材料,其中接觸阻障材料部分地填充源極/汲極接觸開口240;以及進行第三沉積製程以在接觸阻障材料上方形成接觸塊體材料,其中接觸塊體材料填充源極/汲極接觸開口240的剩餘部分。在這樣的實施例中,接觸阻障材料和接觸塊體材料設置在源極/汲極接觸開口240中及矽化物242的頂表面上方。第一沉積製程、第二沉積製程和第三沉積製程可以包含化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)、高密度電漿化學氣相沉積(HDPCVD)、金屬有機化學氣相沉積(MOCVD)、遠距電漿化學氣相沉積(RPCVD)、電漿輔助化學氣相沉積(PECVD)、低壓化學氣相沉積(LPCVD)、原子層化學氣相沉積(ALCVD)、常壓化學氣相沉積(APCVD)、電漿輔助原子層沉積(PEALD)、電鍍、化學鍍、其他合適的沉積方法、或前述之組合。在一些實施例中,接觸阻障層248沿著接觸隔離層246的側壁和沿著矽化物242的頂部具有大致均勻的厚度。因此,可以藉由順應性(conformal)沉積製程形成接觸阻障層248。進行化學機械研磨(CMP)製程及/或其他平坦化製程以從例如層間介電層234和閘極結構210A、210B的頂表面上方移除過量的接觸塊體材料、接觸阻障材料、及/或接觸隔離材料,產生源極/汲極接觸件244(換言之,填充源極/汲極接觸開口240的接觸隔離層246、接觸阻障層248和接觸塊體層250)。
接觸阻障層248包含促進周圍的介電材料(在此,接觸隔離層246)和接觸塊體層250之間的黏著的材料。接觸阻障層248的材料可以進一步防止金屬成分(例如金屬原子/離子)從源極/汲極接觸件244進入周圍的介電材料。在一些實施例中,接觸阻障層248包含鈦、鈦合金、鉭、鉭合金、鈷、鈷合金、釕、釕合金、鉬、鉬合金、鈀、鈀合金、被配置為促進及/或增強金屬材料和介電材料之間的黏著及/或防止金屬成分從金屬材料擴散到介電材料的其他合適的成分、或前述之組合。舉例來說,接觸阻障層248包含鉭、氮化鉭、氮化鉭鋁、氮化鉭矽、碳化鉭、鈦、氮化鈦、氮化矽鈦、氮化鋁鈦、碳化鈦、鎢、氮化鎢、碳化鎢、氮化鉬、鈷、氮化鈷、釕、鈀、或前述之組合。在一些實施例中,接觸阻障層248包含多層結構。舉例來說,接觸阻障層248可以包含含鈦的第一子層和含氮化鈦的第二子層。在另一範例中,接觸阻障層248可以包含含鉭的第一子層和含氮化鉭的第二子層。接觸塊體層250包含鎢、釕、鈷、銅、鋁、銥、鈀、鉑、鎳、低電阻率金屬成分、前述之合金、或前述之組合。在描繪的實施例中,接觸塊體層250包含鎢、釕、及/或鈷。在一些實施例中,源極/汲極接觸件244不包含接觸阻障層248(亦即,源極/汲極接觸件244是無阻障(barrier-free)接觸件),使得接觸塊體層250物理接觸矽化物242、接觸隔離層246、及/或磊晶源極/汲極部件232(具體而言,第二磊晶層230)。在一些實施例中,源極/汲極接觸件244是部分地無阻障的,其中接觸阻障層248設置在接觸隔離層246和接觸塊體層250的一部分之間。在一些實施例中,接觸塊體層250包含多層結構。
全繞式閘極(GAA)裝置正變得越來越流行。在全繞式閘極裝置中,通道層具有有限的電流傳導能力。這導致添加更多的水平堆疊通道層以提高導電性。在傳統的全繞式閘極裝置源極/汲極和接觸件形成技術中,矽化物通常僅設置在最頂部的通道層之間。因為全繞式閘極裝置包含更多通道層,所以較低的通道層(例如三通道全繞式閘極裝置中的中間通道層和底部通道層)由於導電路徑長而遭受較差的電流電壓電位降。此外,下部通道層(例如中間通道層和底部通道層)遭受較差的邊緣電容(fringing capacitances)。這些問題的傳統解決方案包含形成較厚的內間隔層以防止源極/汲極侵蝕。然而,更厚的內間隔層需要更強的蝕刻製程,隨著源極/汲極蝕刻得更深,這會導致擊穿(punch-through)漏電。相較之下,所提出之源極/汲極和接觸件形成技術不需要更強的蝕刻製程,因此避免了擊穿漏電的問題。此外,所提出之源極/汲極和接觸件形成技術允許形成較大的矽化物部件。較大的矽化物延伸超出頂部通道層,提供增加的接觸件著陸區。增加的接觸件著陸面積降低了接觸件和磊晶源極/汲極部件之間的寄生電阻。所提出之技術的一些實施例的另一優點是在磊晶源極/汲極部件中形成孔洞。磊晶源極/汲極部件中的至少一孔洞的存在降低了寄生電容。
第3A~3I圖是根據本發明實施例的各個面向之在各個製造階段(例如與第1圖的方法100相關的階段)的多閘極裝置300的剖面示意圖。多閘極裝置300可以被包含在微處理器、記憶體、及/或其他積體電路裝置中。在一些實施例中,多閘極裝置300是積體電路晶片的一部分、單晶片系統(SoC)、或前述之一部分,其包含各種被動和主動微電子裝置,例如電阻器、電容器、電感器、二極體、p型場效電晶體(PFETs)、n型場效電晶體(NFETs)、金屬氧化物半導體場效電晶體(MOSFETs)、互補式金屬氧化物半導體(CMOS)電晶體、雙極性接面電晶體(BJTs)、橫向擴散金屬氧化物半導體(LDMOS)電晶體、高壓電晶體、高頻電晶體、其他合適的組件、或前述之組合。在一些實施例中,多閘極裝置300被包含在非揮發性記憶體中,例如非揮發性隨機存取記憶體(NVRAM)、快閃記憶體、電子可抹除可程式化唯讀記憶體(EEPROM)、可抹除可程式化唯讀記憶體(EPROM)、其他合適的記憶體類型、或前述之組合。為了清楚起見,已經簡化第3A~3I圖以更容易理解本發明實施例的發明概念。可以在多閘極裝置300中添加額外部件,並且可以在多閘極裝置300的其他實施例中替換、修改或消除以下描述的一些部件。
多閘極裝置300及其製造在許多面向類似於多閘極裝置200及其製造。因此,為了清楚和簡單起見,第2A~2H圖中的多閘極裝置200與第3A~3I圖的多閘極裝置300之相似部件由相同的參考數字標示。轉到第3A圖,多閘極裝置300最初以與上文關於第2A圖所示之多閘極裝置200描述的類似方式形成。舉例來說,在第3A圖中,在源極/汲極凹槽製程和內間隔物製造製程之後,多閘極裝置300包含基底202、設置在相應基底延伸部204上方的半導體層堆疊205A、及設置在相應基底延伸部204上方的半導體層堆疊205B。半導體層堆疊205A、205B包含以交錯或交替配置從基底202的表面垂直(例如沿著z方向)堆疊的半導體層206和半導體層208。閘極結構210A、210B分別設置在半導體層堆疊205A、205B上方。閘極結構210A、210B中的每一個包含虛設閘極堆疊212和閘極間隔物214。多閘極裝置300更包含源極/汲極凹槽215和設置在源極/汲極凹槽215中的半導體層206上的內間隔物216。
與多閘極裝置200的製程一致,在對多閘極裝置300進行內間隔物蝕刻製程之後,半導體層堆疊205A的半導體層208具有刻面218A和刻面218B,並且半導體層堆疊205B的半導體層208具有刻面218C和218D。刻面218A~218D中的每一個都具有(111)結晶取向,這有助於以本文所述的方式磊晶源極/汲極部件的後續成長。角度α1位於刻面218A和刻面218B之間,並且角度α2位於刻面218C和刻面218D之間。在一些實施例中,角度α1和α2為約90°至約130°。
轉向第3B~3F圖,製程繼續在源極/汲極凹槽215中形成磊晶源極/汲極部件。如下所述,磊晶源極/汲極部件具有改善/增強接觸件形成和改善多閘極裝置300的形成的特性。舉例來說,參照第3B~3D圖,在源極/汲極凹槽215中形成第一磊晶層220。第一磊晶層220部分地填充源極/汲極凹槽215。舉例來說,從由源極/汲極凹槽215暴露之半導體層208和基底202的一部分磊晶成長半導體材料,在源極/汲極凹槽215中形成第一磊晶層220。磊晶製程以與上文關於第2B~2D圖所示之多閘極裝置200描述的類似方式進行。
轉向第3B圖,在源極/汲極凹槽215中的基底202上和半導體層208上(具體而言,在半導體層208的(111)刻面218A~218D上)形成第一磊晶層220。在一些實施例中,進行磊晶製程直到第一磊晶層220覆蓋源極/汲極凹槽215中的基底202和半導體層208的露出表面。在描繪的實施例中,第一磊晶層220包含彼此不連接(或合併)的分離部分。舉例來說,由於第一磊晶層220沒有形成在內間隔物216上,設置在相鄰半導體層208上的第一磊晶層220的部分不彼此連接,並且形成在基底202上的第一磊晶層220的部分不連接至形成在半導體層208上的第一磊晶層220的部分。在一些實施例中,第一磊晶層220在刻面218A~218D上具有厚度t4。在一些實施例中,厚度t4小於多閘極裝置200的第一磊晶層220的厚度t1。源極/汲極凹槽215現在由第一磊晶層220和內間隔物216界定。
轉向第3C圖,在源極/汲極凹槽215中繼續形成第一磊晶層220。在一些實施例中,第一磊晶層220形成為大於厚度t4的厚度t5。在一些實施例中,厚度t5小於多閘極裝置200的第一磊晶層220的厚度t2。在一些實施例中,作為用於將第一磊晶層220形成為厚度t4之相同製程的一部分將第一磊晶層220形成為厚度t5。在一些實施例中,在與用於將第一磊晶層220形成為厚度t4不同的製程中,將第一磊晶層220形成為厚度t5。在描繪的實施例中,進行磊晶製程直到第一磊晶層220覆蓋內間隔物216的一部分並且內間隔物216的另一部分仍然暴露在源極/汲極凹槽215中。在此實施例中,第一磊晶層220包含分離的部分,其彼此不連接(或合併)。源極/汲極凹槽215現在由第一磊晶層220和內間隔物216的暴露部分界定。
轉向第3D圖,在源極/汲極凹槽215中繼續形成第一磊晶層220。在一些實施例中,第一磊晶層220具有大於厚度t5的厚度t6。在一些實施例中,厚度t6小於多閘極裝置200的第一磊晶層220的厚度t3。在一些實施例中,厚度t6為約2 nm至約10 nm。在一些實施例中,可以在與用於將第一磊晶層220形成為厚度t5相同的製程中,將第一磊晶層形成為厚度t6。在一些實施例中,可以在與用於將第一磊晶層220形成為厚度t5不同的製程中,將第一磊晶層220形成為厚度t6。第一磊晶層220具有刻面222A、刻面222B、刻面222C、刻面222D、刻面224A、及刻面224B。在描繪的實施例中,第一磊晶層220的刻面222A~222D具有(111)結晶取向,並且第一磊晶層220的刻面224A、224B具有(100)結晶取向。刻面222A和刻面222D沿著第一方向延伸,並且刻面222B和刻面222C沿著不同於第一方向的第二方向延伸。在一些實施例中,刻面222A和刻面222D大致彼此平行,並且刻面222B和刻面222C大致彼此平行。刻面222A和刻面222C相隔距離D5,並且刻面222B和刻面222D相隔距離D6。距離D5沿著垂直於基底202的頂表面的方向(例如z方向)增加。距離D6沿著垂直於基底202的頂表面的方向降低。角度α5位於刻面222A和刻面222B之間,並且角度α6位於刻面222C和222D之間。在一些實施例中,角度α5和角度α6為約90°至約130°。在描繪的實施例中,第一磊晶層220的刻面222A~222D界定源極/汲極凹槽215的孔洞部分326A、孔洞部分326B和孔洞部分326C。舉例來說,孔洞部分326A~326C中的每一個由刻面222A中的相應一個、刻面222B中的相應一個、刻面222C中的相應一個、及刻面222D中的相應一個界定。在一些實施例中,孔洞部分326A~326C具有類鑽石形狀。
第一磊晶層220的刻面224A、224B設置在刻面222A~222D和孔洞部分226A~226C之間。舉例來說,刻面224A從刻面222B延伸至刻面222A,並且刻面224B從刻面222D延伸至刻面222C。刻面224A和刻面224B相隔距離D7,其小於距離D5和距離D6。在描繪的實施例中,第一磊晶層220的刻面222A~222D和刻面224A、224B界定源極/汲極凹槽215的三個孔洞部分326A~326C,但本發明實施例考慮到由第一磊晶層220界定之更多或更少孔洞部分的實施例。調整第一磊晶層220的磊晶製程以確保距離D7足夠小於距離D6和距離D5,以在填充孔洞部分326A~326C之前實現刻面224A、224B之間的隨後形成的磊晶層的合併。舉例來說,可以控制第一磊晶層220之第一磊晶材料的成長速率及/或成長時間,以實現期望的距離D7、距離D5、及/或距離D6。在一些實施例中,可以調整磊晶製程的各種參數,例如時間、溫度和壓力,以實現期望的距離D5、D6和D7。源極/汲極凹槽215現在由第一磊晶層220界定。
轉向第3E圖,在源極/汲極凹槽215中的第一磊晶層220上形成第二磊晶層330。在一些實施例中,第二磊晶層330包含彼此不連接(或合併)的分離部分。在描繪的實施例中,進行磊晶製程直到第二磊晶層330併入源極/汲極凹槽215中的第一磊晶層220上方的連續層。磊晶製程以與上文關於第2E圖所示之多閘極裝置200描述的類似方式進行。
設置在刻面222A和刻面222C上方的第二磊晶材料330相隔距離D8,其比距離D5小約厚度t7。距離D8沿著垂直於基底202的頂表面的方向增加。設置在刻面222B和刻面222D上方的第二磊晶材料330相隔距離D9,其比距離D6小約厚度t7。距離D9沿著垂直於基底202的頂表面的方向減少。第二磊晶層330具有刻面344A和刻面344B。在描繪的實施例中,第二磊晶層330的刻面344A、344B具有(110)結晶取向。刻面344A、344B設置在第一磊晶層220的刻面224A、224B上方以及孔洞部分326A~326C之間。刻面344A和刻面344B相隔距離D10,其小於距離D7。調整第二磊晶層330的磊晶製程以確保距離D10足夠小於距離D8和距離D9,以在填充孔洞部分326A~326C之前實現隨後形成的第二磊晶層330的合併。源極/汲極凹槽215現在由第二磊晶層330界定。
轉向第3F圖,在源極/汲極凹槽215中繼續形成第二磊晶層330,使得在填充孔洞部分326A~326C之前,在刻面344A和刻面344B之間合併第二磊晶層330。第二磊晶層330和第一磊晶層220共同形成多閘極裝置300的磊晶源極/汲極部件332。在描繪的實施例中,第二磊晶層330過填充源極/汲極凹槽215,使得第二磊晶層330的一部分設置在閘極結構210A、210B。在一些實施例中,作為單個製程的一部分,形成並合併第二磊晶層330,形成孔洞326A’~326C’。在一些實施例中,在單獨的製程中,形成並合併第二磊晶材料330,形成孔洞326A’~326C’。孔洞326A’~326C’(也稱為氣隙)是未被第二磊晶層330填充並且被第二磊晶層330的合併部分隔開之孔洞部分326A~326C的剩餘部分。在描繪的實施例中,在相應的一對內間隔物216和半導體層206之間界定孔洞326A’~326C’中每一個,並在相應的一對半導體層208之間界定第二磊晶層330的合併部分中的每一個。如下文進一步描述的,取決於所需的矽化物形成,孔洞326A’~326C’可以具有任何合適的形狀,並且孔洞326A’~326C’可以具有相同的形狀/輪廓或不同的形狀/輪廓。在描繪的實施例中,孔洞326A’~326C’都是橢圓形的。在一些實施例中,相鄰孔洞之間的垂直間距D11小於約5 nm。
如描繪的實施例所示,多閘極裝置300的孔洞326A’~326C’不同於多閘極裝置200的孔洞226A’~226C’。差異是由於在形成多閘極裝置200及/或多閘極裝置300期間可能進行的製程調整。舉例來說,多閘極裝置200的第一磊晶層220形成為厚度t3,其大於多閘極裝置300的第一磊晶層220的厚度t6。厚度t3和厚度t6的差異造成多閘極裝置200的距離D1、D2和D3小於多閘極裝置300的距離D5、D6和D7。這樣的尺寸差異允許多閘極裝置200的第二磊晶層230的不同形成製程,相較於多閘極裝置300的第二磊晶層330。可以使用不同的形成製程來調整多閘極裝置200的孔洞226A’~226C’和多閘極裝置300的孔洞326A’~326C’的尺寸和形狀。距離D1、D2和D3與距離D5、D6和D7之間的尺寸差異進一步造成垂直間距D4大於垂直間距D11。孔洞226A’~226C’、326A’~326C’之間的垂直間距影響暴露出孔洞226A’~226C’、326A’~326C’所需之源極/汲極部件232、332蝕刻製程的強度,以及在蝕刻製程期間暴露出孔洞226A’~226C’、326A’~326C’的那些,例如在形成源極/汲極接觸件時使用的蝕刻製程。
轉向第3G圖,以與上文關於第2F圖所示之多閘極裝置200描述的類似方式進一步形成多閘極裝置300。舉例來說,在磊晶源極/汲極部件332(具體而言,第二磊晶層330)、虛設閘極212和閘極間隔物214上方形成層間介電層234。移除虛設閘極堆疊212以在多閘極裝置300的通道區C中形成暴露出半導體層堆疊2015A、205B的閘極溝槽。然後,從多閘極裝置300的通道區C選擇性地移除被閘極溝槽暴露出的半導體層206,藉此留下懸浮的通道層208’。在描繪的實施例中,移除半導體層206提供三個通道層208’,在多閘極裝置300的操作期間,電流將經由這些通道層208’在相應的磊晶源極/汲極部件之間流動。在一些實施例中,此製程可以被稱為通道奈米線釋放製程,其中每個通道層208’具有奈米大小的尺寸並且可以被稱為奈米線,如前所述。在一些實施例中,在移除半導體層206之後,進行蝕刻製程以修改通道層208’的輪廓以獲得期望尺寸及/或期望形狀(例如圓柱形(例如奈米線)、矩形(例如奈米棒)、片狀(例如奈米片)等)。取決於多閘極裝置300的設計要求,本發明實施例進一步考慮到通道層208’(奈米線)具有次奈米尺寸的實施例。舉例來說,進行如前所述關於第2F圖的蝕刻製程。
然後,在閘極溝槽中形成金屬閘極堆疊,其包含閘極介電質236(例如閘極介電層)和閘極電極238(例如功函數層和塊體導電層)。在第3G圖中,金屬閘極堆疊包覆(圍繞)通道層208’,其中閘極介電質236設置在閘極電極238和通道層208’之間。金屬閘極堆疊可以包含如前所述之許多其他層。在描繪的實施例中,閘極介電質236包含如前所述之高介電常數介電層。在一些實施例中,閘極介電質236包含設置在高介電常數介電層和通道層208’之間的界面層。閘極電極238包含例如上述那些導電材料。
轉向第3H圖,形成暴露出磊晶源極/汲極部件332之一的源極/汲極接觸開口340。舉例來說,蝕刻製程移除層間介電層234的一部分以暴露出設置在閘極結構210A和閘極結構210B之間的磊晶源極/汲極部件332。蝕刻製程也部分地蝕刻磊晶源極/汲極部件332(例如第二磊晶層330和第一磊晶層220)直到到達孔洞326A’。在描繪的實施例中,調整蝕刻製程以蝕刻第二磊晶層330和第一磊晶層220以暴露出孔洞326A’~326C’,使得源極/汲極接觸開口340延伸至磊晶源極/汲極部件332中。舉例來說,源極/汲極接觸開口340延伸至最底部通道層208’的深度。在一些實施例中,調整蝕刻製程以蝕刻第二磊晶層330及/或第一磊晶層220以暴露出孔洞326A’、326B’而不暴露出孔洞326C’。蝕刻製程可以是上述蝕刻製程之一或任何其他合適的蝕刻製程。蝕刻製程可以作為單個步驟進行,或者可以作為多個步驟進行以分別蝕刻層間介電層234、第二磊晶層330和第一磊晶層220。調整蝕刻製程以移除層間介電層234、第二磊晶層330和第一磊晶層220中的每一個,而最小程度地蝕刻(至不蝕刻)閘極間隔物214、閘極介電質236和閘極電極238。在一些實施例中,第一磊晶層層220對蝕刻劑具有第一蝕刻速率,並且第二磊晶層330對蝕刻劑具有第二蝕刻速率,其中第一蝕刻速率小於第二蝕刻速率。在其他實施例中,第一蝕刻速率等於或大於第二蝕刻速率。
轉向第3I圖,在源極/汲極接觸開口340中及磊晶源極/汲極部件332上方形成矽化物342。矽化物342填充源極/汲極接觸開口340至磊晶源極/汲極部件332中的延伸部(在此,由孔洞326A’~326C’的組合形成)。矽化物342包含矽化物頂部342A和矽化物延伸部342B。矽化物頂部342A形成在最頂部的通道層208’之間。第一磊晶層220沿著矽化物頂部342A的上部設置,並且第二磊晶層330沿著矽化物頂部342A的下部設置。矽化物延伸部342B形成在矽化物頂部342A下方和內間隔物216之間。在描繪的實施例中,矽化物延伸部342B進一步在中間通道層208’之間延伸。在一些實施例中,矽化物342的頂表面低於閘極間隔物214的底表面和頂部通道層208’的頂表面。在一些實施例中,矽化物342的頂表面在頂部通道層208’的頂表面上方。參照矽化物342的擴大部分B,矽化物頂部342A具有寬度w3和高度h3,並且矽化物延伸部具有寬度w4和高度h4。在描繪的實施例中,寬度w3大於寬度w4。在一些實施例中,寬度w3為約1 nm至約20 nm,並且寬度w4為約1 nm至約20 nm。在一些實施例中,高度h3為約1 nm至約20 nm,並且高度h4為約1 nm至約70 nm。在一些實施例中,高度h3和高度h4之和至少大於兩個通道層208’和兩個內間隔物216的厚度之和。以與上文關於第2H圖所示之多閘極裝置200的類似方式形成矽化物342。
然後,在矽化物342上形成源極/汲極接觸件244並填充源極/汲極接觸開口340的剩餘部分。以與上文關於第2H圖所示之多閘極裝置200的類似方式形成源極/汲極接觸件244。舉例來說,源極/汲極接觸件244包含接觸隔離層246、接觸阻障層248和接觸塊體層250。在一些實施例中,接觸隔離層246形成在矽化物242上和閘極間隔物214的側壁上。在一些實施例中,在源極/汲極接觸開口340不暴露出閘極間隔物214的情況下,層間介電層234設置在源極/汲極接觸件244(在此,具體而言,接觸隔離層246)和閘極間隔物214之間。在描繪的實施例中,接觸隔離層246也設置在暴露出的第一磊晶層220上。接觸阻障層248形成於矽化物342上和接觸隔離層246上。接觸塊體層250形成於接觸阻障層248上。在描繪的實施例中,沿著接觸阻障層248的底部和接觸阻障層248的側壁設置矽化物342,使得矽化物342設置在磊晶源極/汲極部件332(具體而言,第一磊晶層220)和接觸阻障層282的側壁之間。在一些實施例中,矽化物頂部342A的寬度w3大於源極/汲極接觸件244的導電部分的寬度(具體而言,接觸塊體層250的寬度和接觸阻障層282的厚度之和)。在一些實施例中,源極/汲極接觸件244的形成藉由進行第一沉積製程以在第二磊晶層330上方和閘極間隔物214的側壁上形成接觸隔離材料,其中接觸隔離材料部分地填充源極/汲極接觸開口340;進行第二沉積製程以在接觸隔離材料上方形成接觸阻障材料,其中接觸阻障材料部分地填充源極/汲極接觸開口340;以及進行第三沉積製程以在接觸阻障材料上方形成接觸塊體材料,其中接觸塊體材料填充源極/汲極接觸開口340的剩餘部分。在這樣的實施例中,接觸阻障材料和接觸塊體材料設置在源極/汲極接觸開口340中及矽化物342的頂表面上方。第一沉積製程、第二沉積製程和第三沉積製程可以包含化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)、高密度電漿化學氣相沉積(HDPCVD)、金屬有機化學氣相沉積(MOCVD)、遠距電漿化學氣相沉積(RPCVD)、電漿輔助化學氣相沉積(PECVD)、低壓化學氣相沉積(LPCVD)、原子層化學氣相沉積(ALCVD)、常壓化學氣相沉積(APCVD)、電漿輔助原子層沉積(PEALD)、電鍍、化學鍍、其他合適的沉積方法、或前述之組合。在一些實施例中,接觸阻障層248沿著接觸隔離層246的側壁和沿著矽化物242的頂部具有大致均勻的厚度。因此,可以藉由順應性沉積製程形成接觸阻障層248。進行化學機械研磨(CMP)製程及/或其他平坦化製程以從例如層間介電層234和閘極結構210A、210B的頂表面上方移除過量的接觸塊體材料、接觸阻障材料、及/或接觸隔離材料,產生源極/汲極接觸件244(換言之,填充源極/汲極接觸開口340的接觸隔離層246、接觸阻障層248和接觸塊體層250)。
本發明實施例提供了許多不同的實施例。例示性裝置包含設置在基底上方的第一通道層和設置在第一通道層上方的第二通道層。閘極堆疊圍繞第一通道層和第二通道層。源極/汲極部件設置成鄰近第一通道層、第二通道層和閘極堆疊。源極/汲極部件設置在第一通道層的多個第一刻面和第二通道層的多個第二刻面上方。第一刻面和第二刻面具有(111)結晶取向。此裝置更包含內間隔物,其設置在閘極堆疊和源極/汲極部件之間並進一步設置在第一通道層和第二通道層之間。矽化物部件設置在源極/汲極部件上方。矽化物部件朝向基底延伸到源極/汲極部件中至第一通道層的深度。在一些實施例中,上述深度大於第一通道層的頂表面的深度。在一些實施例中,矽化物部件包含上部和下部,其中上部的寬度大於下部的寬度。在一些實施例中,矽化物部件的下部延伸至上述深度,其中上述深度大於第一通道層的底表面的深度。此裝置更包含設置在矽化物部件上方的源極/汲極接觸件。
在一些實施例中,源極/汲極部件包含第一半導體層和第二半導體層,其中第一半導體層設置在第一通道層的第一刻面、第二通道層的第二刻面、及內間隔物上方,並且其中第二半導體層設置在第一半導體層上方。在一些實施例中,第一半導體層具有多個第三刻面和多個第四刻面,其中第三刻面具有(111)結晶取向,並且第四刻面具有(110)結晶取向。在一些實施例中,孔洞設置在源極/汲極部件內,孔洞設置在第一通道層的一組(111)刻面和第二通道層的(111)刻面之間。
例示性方法形成源極/汲極凹槽,其鄰近設置在基底上方的半導體層堆疊。半導體層堆疊包含第一半導體層,其設置在第二半導體層和第三半導體層之間。此方法更包含進行沉積製程和蝕刻製程以形成鄰近第一半導體層的多個內間隔物。調整蝕刻製程以形成第二半導體層的多個第一刻面和第三半導體層的多個第二刻面,其中第一刻面和第二刻面具有(111)結晶取向。在一些實施例中,調整蝕刻製程以形成第二半導體的第一刻面和第三半導體層的第二刻面是濕式蝕刻。此方法更包含從第二半導體層的第一刻面和第三半導體層的第二刻面磊晶成長源極/汲極部件,其中源極/汲極部件填充源極/汲極凹槽並在源極/汲極部件中設置孔洞於第一半導體層的深度。在一些實施例中,磊晶成長源極/汲極部件包含在源極/汲極凹槽中磊晶成長第一磊晶層,以及在第一磊晶層上磊晶成長第二磊晶層。在一些實施例中,控制第一磊晶層的磊晶成長以界定第一磊晶層的多個第三刻面之間的源極/汲極凹槽的第一部分和第一磊晶層的多個第四刻面之間的源極/汲極凹槽的第二部分,其中第三刻面具有(111)結晶取向,並且第四刻面具有(110)結晶取向。在一些實施例中,控制第二磊晶層的磊晶成長以實現填充源極/汲極凹槽的第二部分而不填充源極/汲極凹槽的第一部分,藉此在源極/汲極部件中形成孔洞。此方法更包含在用閘極堆疊取代第一半導體層之後,形成暴露出源極/汲極部件中的孔洞之源極/汲極接觸開口。此方法更包含在源極/汲極接觸開口中形成源極/汲極接觸件,其中源極/汲極接觸件包含填充孔洞的矽化物部件。
在一些實施例中,此方法更包含進行第一蝕刻製程,調整第一蝕刻製程以形成第二半導體層的第一刻面和第三半導體層的第二刻面。在一些實施例中,此方法更包含在形成第一刻面和第二刻面之後,進行沉積製程和第二蝕刻製程以形成鄰近第一半導體層的多個內間隔物。
在一些實施例中,半導體層堆疊更包含第四半導體層,其設置在第三半導體層和第五半導體層之間。在一些實施例中,蝕刻製程進一步形成第五半導體層的多個第三刻面,其中第三刻面具有(111)結晶取向。在一些實施例中,孔洞是第一孔洞,源極/汲極部件更包含第二孔洞,並且用閘極堆疊取代第四半導體層。在一些實施例中,源極/汲極接觸開口的形成不暴露出第二孔洞。
在一些實施例中,半導體層堆疊更包含第四半導體層,其設置在第三半導體層和第五半導體層之間。在一些實施例中,蝕刻製程進一步形成第五半導體層的多個第三刻面,其中第三刻面具有(111)結晶取向。在一些實施例中,孔洞是第一孔洞,源極/汲極部件更包含第二孔洞,並用閘極堆疊取代第四半導體層。在一些實施例中,形成源極/汲極接觸開口暴露出第二孔洞,並且矽化物部件填充第二孔洞。
另一例示性裝置包含設置在基底上方的第一通道層、設置在第一通道層上方的第二通道層、以及設置在第二通道層上方的第三通道層。閘極堆疊圍繞第一通道層、第二通道層和第三通道層。此裝置更包含設置成鄰近第一通道層、第二通道層、第三通道層和閘極堆疊的源極/汲極部件。源極/汲極部件設置在第一通道層的多個第一刻面、第二通道層的多個第二刻面和第三通道層的多個第三刻面上方,其中第一刻面、第二刻面和第三刻面具有(111)結晶取向。此裝置更包含設置在閘極堆疊和源極/汲極部件之間的第一內間隔物和第二內間隔物,其中第一內間隔物更設置在第一通道層和第二通道層之間,並且第二內間隔物更設置在第二通道層與第三通道層之間。矽化物部件設置在源極/汲極部件上方,其中矽化物部件朝向基底延伸到源極/汲極部件中至第一通道層下方的深度。在一些實施例中,矽化物部件包含上部和下部,其中上部的寬度大於下部的寬度。在一些實施例中,矽化物部件的上部設置成鄰近第三通道層。在一些實施例中,矽化物部件的下部設置成鄰近第一通道層和第二通道層。此裝置更包含設置在矽化物部件上方的源極/汲極接觸件。
在一些實施例中,源極/汲極部件包含第一半導體層和第二半導體層,其中第一半導體層設置在第一通道層的第一刻面、第二通道層的第二刻面、第一內間隔物、及第二內間隔物上方,並且其中第二半導體層設置在第一半導體層上方。在一些實施例中,第一半導體層具有多個第三刻面和多個第四刻面,其中第三刻面具有(111)結晶取向,並且第四刻面具有(110)結晶取向。
以上概述數個實施例的部件,使得本技術領域中具有通常知識者可以更加理解本發明實施例的多個面向。本技術領域中具有通常知識者應該理解,他們能輕易地以本發明實施例為基礎,設計或修改其他製程和結構,以達到與本文介紹的實施例相同的目的及/或優點。本技術領域中具有通常知識者也應該理解,此類等效的結構並未悖離本發明實施例的精神與範圍,並且他們能在不違背本發明實施例的精神和範圍下,做各式各樣的改變、取代和調整。
100:方法
102,104,106,108,110,112,114,116:方框
200,300:多閘極裝置
202:基底
204:基底延伸部
205A,205B:半導體層堆疊
206,208:半導體層
208’:通道層
210:內間隔物
210A,210B:閘極結構
212:虛設閘極堆疊
214:閘極間隔物
215:源極/汲極凹槽
216:內間隔物
218A,218B,218C,218D,222A,222B,222C,222D,224A,224B,344A,344B:刻面
220:第一磊晶層
226A,226B,226C,326A,326B,326C:孔洞部分
226A’,226B’,226C’,326A’,326B’,326C’:孔洞
230,330:第二磊晶層
232,332:磊晶源極/汲極部件
234:層間介電層
236:閘極介電質
238:閘極電極
240,340:源極/汲極接觸開口
242,342:矽化物
242A,342A:矽化物頂部
242B,342B:矽化物延伸部
244:源極/汲極接觸件
246:接觸隔離層
248:接觸阻障層
250:接觸塊體層
A,B:擴大部分
C:通道區
D1,D2,D3,D5,D6,D7,D8,D9,D10:距離
D4,D11:垂直間距
h1,h2,h3,h4:高度
S/D:源極/汲極區
t1,t2,t3,t4,t5,t6,t7:厚度
w1,w2,w3,w4:寬度
α1,α2,α3,α4,α5,α6:角度
藉由以下的詳細描述配合所附圖式,可以更加理解本發明實施例的面向。需強調的是,根據產業上的標準慣例,許多部件並未按照比例繪製。事實上,為了能清楚地討論,各種部件的尺寸可能被任意地增加或減少。
第1圖是根據本發明實施例的各個面向之用於製造多閘極裝置的方法的流程圖。
第2A~2H圖是根據本發明實施例的各個面向之在各個製造階段(例如與第1圖中的方法相關的階段)的多閘極裝置的剖面示意圖。
第3A~3I圖是根據本發明實施例的另一實施例之在各個製造階段(例如與第1圖的方法相關的階段)的多閘極裝置的剖面示意圖。
200:多閘極裝置
202:基底
204:基底延伸部
208’:通道層
226B’,226C’:孔洞
234:層間介電層
236:閘極介電質
238:閘極電極
242:矽化物
242A:矽化物頂部
242B:矽化物延伸部
244:源極/汲極接觸件
246:接觸隔離層
248:接觸阻障層
250:接觸塊體層
A:擴大部分
h1,h2:高度
w1,w2:寬度
Claims (1)
- 一種積體電路裝置,包含: 一第一通道層,設置在一基底上方; 一第二通道層,設置在該第一通道層上方; 一閘極堆疊,圍繞該第一通道層和該第二通道層; 一源極/汲極部件,設置成鄰近該第一通道層、該第二通道層和該閘極堆疊,其中該源極/汲極部件設置在該第一通道層的複數個第一刻面和該第二通道層的複數個第二刻面上方,其中該些第一刻面和該些第二刻面具有(111)結晶取向; 一內間隔物,設置在該閘極堆疊和該源極/汲極部件之間,並且更設置在該第一通道層和該第二通道層之間; 一矽化物部件,設置在該源極/汲極部件上方,其中該矽化物部件朝向該基底延伸到該源極/汲極部件中至該第一通道層的深度;以及 一源極/汲極接觸件,設置在該矽化物部件上方。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US17/231,925 | 2021-04-15 | ||
US17/231,925 US20220336614A1 (en) | 2021-04-15 | 2021-04-15 | Source/Drain Silicide for Multigate Device Performance and Method of Fabricating Thereof |
Publications (1)
Publication Number | Publication Date |
---|---|
TW202243243A true TW202243243A (zh) | 2022-11-01 |
Family
ID=82975788
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW111108185A TW202243243A (zh) | 2021-04-15 | 2022-03-07 | 積體電路裝置 |
Country Status (3)
Country | Link |
---|---|
US (2) | US20220336614A1 (zh) |
CN (1) | CN114975259A (zh) |
TW (1) | TW202243243A (zh) |
Families Citing this family (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN113611736B (zh) * | 2020-05-29 | 2022-11-22 | 联芯集成电路制造(厦门)有限公司 | 半导体元件及其制作方法 |
KR20240059135A (ko) * | 2022-10-27 | 2024-05-07 | 삼성전자주식회사 | 반도체 장치 |
Family Cites Families (19)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2011009412A (ja) * | 2009-06-25 | 2011-01-13 | Toshiba Corp | 半導体装置およびその製造方法 |
US8841190B2 (en) * | 2012-03-30 | 2014-09-23 | The Institute of Microelectronics Chinese Academy of Science | MOS device for making the source/drain region closer to the channel region and method of manufacturing the same |
US9508601B2 (en) * | 2013-12-12 | 2016-11-29 | Texas Instruments Incorporated | Method to form silicide and contact at embedded epitaxial facet |
US9905641B2 (en) * | 2015-09-15 | 2018-02-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and manufacturing method thereof |
US9570556B1 (en) * | 2016-03-03 | 2017-02-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
CN106653589A (zh) * | 2016-12-16 | 2017-05-10 | 上海华力微电子有限公司 | 高压低热预算高k后退火工艺 |
US10546942B2 (en) * | 2017-07-25 | 2020-01-28 | International Business Machines Corporation | Nanosheet transistor with optimized junction and cladding defectivity control |
US10629679B2 (en) * | 2017-08-31 | 2020-04-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a semiconductor device and a semiconductor device |
KR102283024B1 (ko) * | 2017-09-01 | 2021-07-27 | 삼성전자주식회사 | 반도체 장치 및 이의 제조 방법 |
US20190081155A1 (en) * | 2017-09-13 | 2019-03-14 | Globalfoundries Inc. | Nanosheet transistor with improved inner spacer |
US10818777B2 (en) * | 2017-10-30 | 2020-10-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a semiconductor device and a semiconductor device |
US10355102B2 (en) * | 2017-11-15 | 2019-07-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of manufacturing the same |
US10553696B2 (en) * | 2017-11-21 | 2020-02-04 | International Business Machines Corporation | Full air-gap spacers for gate-all-around nanosheet field effect transistors |
US10522622B2 (en) * | 2018-05-14 | 2019-12-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multi-gate semiconductor device and method for forming the same |
US11296236B2 (en) * | 2018-07-30 | 2022-04-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US11411090B2 (en) * | 2018-09-27 | 2022-08-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact structures for gate-all-around devices and methods of forming the same |
US11315838B2 (en) * | 2018-09-28 | 2022-04-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET device and method of forming same |
US10847373B2 (en) * | 2018-10-23 | 2020-11-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of forming silicide contact in field-effect transistors |
US10903317B1 (en) * | 2019-08-07 | 2021-01-26 | Globalfoundries U.S. Inc. | Gate-all-around field effect transistors with robust inner spacers and methods |
-
2021
- 2021-04-15 US US17/231,925 patent/US20220336614A1/en active Pending
-
2022
- 2022-03-07 TW TW111108185A patent/TW202243243A/zh unknown
- 2022-03-22 CN CN202210285949.5A patent/CN114975259A/zh active Pending
-
2023
- 2023-08-09 US US18/447,183 patent/US20230387240A1/en active Pending
Also Published As
Publication number | Publication date |
---|---|
US20220336614A1 (en) | 2022-10-20 |
US20230387240A1 (en) | 2023-11-30 |
CN114975259A (zh) | 2022-08-30 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US10825915B2 (en) | Spacers for nanowire-based integrated circuit device and method of fabricating same | |
US10811509B2 (en) | Multi-gate device and method of fabrication thereof | |
US11309187B2 (en) | Methods of forming silicide contact in field-effect transistors | |
US11158727B2 (en) | Structure and method for gate-all-around device with extended channel | |
TWI773223B (zh) | 多閘極裝置及其形成方法 | |
US11488874B2 (en) | Semiconductor device with funnel shape spacer and methods of forming the same | |
US20220376072A1 (en) | Spacer structure for nano-sheet-based devices | |
US20230387240A1 (en) | Source/drain silicide for multigate device performance and method of fabricating thereof | |
US20240347627A1 (en) | Multi-gate device and related methods | |
US20220052206A1 (en) | Multigate Devices with Varying Channel Layers | |
US20230378304A1 (en) | Source and Drain Enginering Process for Multigate Devices | |
US20230387243A1 (en) | Spacer Structures for Nano-Sheet-Based Devices | |
US20220367683A1 (en) | Structure and Method for Multigate Devices with Suppressed Diffusion | |
US20210398975A1 (en) | Metal gate structure and methods thereof | |
US20220367620A1 (en) | Source/Drain Feature for Multigate Device Performance and Method of Fabricating Thereof | |
TWI848370B (zh) | 半導體結構及其製造方法 | |
CN220233201U (zh) | 半导体装置 | |
TWI850953B (zh) | 半導體裝置及其製造方法 | |
US20240120399A1 (en) | Isolation for long and short channel devices | |
CN219873539U (zh) | 半导体结构 | |
US20240113201A1 (en) | Multi-gate device inner spacer and methods thereof | |
US20230033790A1 (en) | Device Structure With Reduced Leakage Current | |
US20230068668A1 (en) | Multi-Channel Devices and Method with Anti-Punch Through Process | |
US20240055476A1 (en) | Isolation Structures in Semiconductor Devices | |
US20230395681A1 (en) | Multi-gate device and method of fabrication thereof |