TW202238918A - 具有埋入式電力軌上的閘極切口之積體電路結構 - Google Patents

具有埋入式電力軌上的閘極切口之積體電路結構 Download PDF

Info

Publication number
TW202238918A
TW202238918A TW111105561A TW111105561A TW202238918A TW 202238918 A TW202238918 A TW 202238918A TW 111105561 A TW111105561 A TW 111105561A TW 111105561 A TW111105561 A TW 111105561A TW 202238918 A TW202238918 A TW 202238918A
Authority
TW
Taiwan
Prior art keywords
opening
gate
bpr
channel
gate electrode
Prior art date
Application number
TW111105561A
Other languages
English (en)
Inventor
安迪 魏
Original Assignee
美商英特爾股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商英特爾股份有限公司 filed Critical 美商英特爾股份有限公司
Publication of TW202238918A publication Critical patent/TW202238918A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/74Making of localized buried regions, e.g. buried collector layers, internal connections substrate contacts
    • H01L21/743Making of internal connections, substrate contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Ceramic Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)

Abstract

範例IC結構包括複數個細長通道結構(例如,鰭或奈米帶)和一或多個跨越鰭/奈米帶的金屬閘極線。埋入式電力軌(BPR)在一對相鄰的鰭/奈米帶之間形成。一旦形成了BPR,就會在BPR上方形成開口。所述開口具有細長形狀,其沿BPR的長度水平延伸並從BPR的頂部垂直延伸到IC結構的頂部,切穿金屬閘極線。所述金屬閘極線的切口部分之間的開口部分可以填充有介電質材料,從而形成金屬閘極切口。不在金屬閘極線的切口部分之間的開口部分填充有導電材料並耦接到電晶體的源極/汲極接點,從而形成導電通路。

Description

具有埋入式電力軌上的閘極切口之積體電路結構
本揭露一般涉及半導體裝置領域,以及更具體地,涉及積體電路(IC)結構。
場效電晶體(FET),例如金屬氧化物半導體(MOS)FET(MOSFET),是一種三端子裝置,其包括源極、汲極和閘極端子,並使用電場來控制流過裝置的電流。FET通常包括半導體通道材料、設置在通道材料中的源極和汲極區域、以及閘極堆疊,所述閘極堆疊至少包括閘極電極材料並且還可以包括閘極介電質材料,所述閘極堆疊設置在源極區域和汲極區域之間的通道材料的部分之上。由於閘極電極材料通常包括金屬,因此電晶體的閘極通常被稱為「金屬閘極」。
最近,具有非平面架構的FET,如FinFET(有時也稱為「環繞式閘極電晶體」或「三閘極電晶體」)和奈米帶/奈米線電晶體(有時也稱為「全環繞閘極電晶體」),已被廣泛探索作為具有平面架構的電晶體的替代品。
概述
本揭露的系統、方法和裝置各自具有若干創新態樣,其中沒有一個單獨負責本文揭露的所有希望屬性。本說明書中描述的主題的一或多個實施方式的細節在下面的描述和圖式中闡述。
為了說明此處提出的具有BPR上方的閘極切口的IC結構,首先了解可能在此類結構中發揮作用的現象可能是有用的。以下基礎的資訊可以被視為可以正確解釋本揭露的基礎。提供此類資訊僅用於解釋目的,因此不應以任何方式解釋為限制本揭露及其潛在應用的廣泛範圍。儘管以下描述中的一些可以被提供用於實現為FinFET的電晶體的範例,但是本揭露的實施例同樣適用於採用其它架構的電晶體的IC結構,諸如奈米帶或奈米線電晶體,以及平面電晶體。
如上所述,最近,具有非平面架構的FET,諸如FinFET和奈米帶/奈米線電晶體,已被廣泛探索作為具有平面架構的電晶體的替代品。
在FinFET中,形狀為鰭的半導體結構從基底延伸(例如,從半導體基板),並且閘極堆疊可以環繞鰭的上部(也就是說,離基底最遠的部分),可能在鰭的3側形成閘極。閘極堆疊環繞的鰭部分被稱為FinFET的「通道」或「通道部分」。通道部分的半導體材料通常被稱為電晶體的「通道材料」。源極區域和汲極區域設置在閘極堆疊兩側的鰭中,分別形成FinFET的源極和汲極。
在奈米帶電晶體中,可以在稱為「奈米帶」的細長半導體結構的一部分周圍設置閘極堆疊,在奈米帶的所有側面上形成閘極。奈米帶電晶體的「通道」或「通道部分」是閘極堆疊環繞的奈米帶部分。在閘極堆疊每一側的奈米帶中設置源極區域和汲極區域,分別形成奈米帶電晶體的源極和汲極。在某些環境下,用語「奈米帶」已被用於描述細長的半導體結構,所述結構具有實質上為矩形的橫截面(也就是說,在垂直於結構縱軸的平面中的橫截面),而用語「奈米線」已用於描述類似的結構,但具有實質上圓形的橫截面。
以FinFET為例,通常,具有FinFET陣列的IC裝置的製造涉及首先提供複數個鰭(通常彼此平行),接著提供跨越多個鰭的金屬閘極線。通常,但不總是,金屬閘極線實質上垂直於鰭的長度或縱軸設置,金屬閘極線設置在實質上平行於形成有鰭的支撐結構的平面。跨越複數個鰭中的第一鰭的金屬閘極線可以形成第一鰭中的電晶體的閘極,而跨越相鄰的第二鰭的金屬閘極線可以形成第二鰭中的電晶體的閘極。由於金屬閘極線跨越第一和第二鰭,金屬閘極線在第一和第二鰭上是電連續的,從而在第一鰭中的電晶體的閘極和第二鰭中的電晶體的閘極之間提供電耦接。在製造程序的後期,可能需要破壞這種連續性,例如,如果設計要求第一鰭中的電晶體的閘極與第二鰭中的電晶體的閘極解耦。此外,在製造程序的後期,形成溝槽接點,這裡使用的用語「溝槽接點」指的是一種結構,所述結構應該對於電晶體的源極或汲極(S/D)接點提供電連接性(也就是說,是接點)。此外,形成閘極接點,其中用語「閘極接點」是指一種結構,所述結構應該對於電晶體的閘極(也就是說,閘極金屬線)提供電連接(也就是說,是接點)。
在過去的幾十年中,IC中特徵的擴展一直是半導體行業不斷發展的驅動力。縮小到越來越小的特徵可以在半導體晶片的有限空間上增加功能單元的密度。例如,縮小電晶體尺寸允許在晶片上整合更多數量的記憶體或邏輯裝置,從而有助於製造容量更大的產品。然而,不斷增加容量的驅動力並非沒有問題。最佳化每個裝置的性能的必要性變得越來越重要,而這種最佳化絕非微不足道。
隨著IC裝置的尺寸不斷減少,破壞金屬閘極線的電子連續性(通常稱為「金屬閘極切口」的程序)以足夠準確的方式解耦相鄰鰭上電晶體的閘極,具有成本效率,並且不會無意中損害IC裝置的性能,這絕非易事。一種傳統方法包括使用遮罩和具有足夠蝕刻選擇性的材料的組合,以選擇性地蝕刻金屬閘極線將被破壞的區域中的閘極電極材料。如果用於蝕刻一種材料的蝕刻劑實質上不蝕刻另一種材料,則可以將兩種材料描述為「充分蝕刻選擇性」,反之亦然。選擇性蝕刻通常是各向同性蝕刻,這意味著給定材料實質上在所有方向上都被蝕刻,並且可能致使實際閘極切口相對於所需形狀顯著膨脹。在極端情況下,此類閘極切口的橫向侵入可能會禁用一或多個鰭。
本文描述的是具有BPR上方的閘極切口的IC結構。範例IC結構包括一或多種半導體材料的複數個細長通道結構(例如,鰭或奈米帶),設置在彼此實質上平行的支撐結構(例如,基板、晶圓、晶粒或晶片)上。實質上彼此平行的一條或多條金屬閘極線可以跨越通道結構,使得金屬閘極線實質上垂直於通道結構。金屬閘極線是一或多種閘極電極材料的細長結構,其設置在通道結構的部分上(例如,環繞通道結構的至少一部分)以在結構中形成電晶體的閘極。可以在一對相鄰通道結構之間形成電力軌。所述電力軌被稱為「軌」,因為電力軌的一或多種導電材料成形為細長結構,例如,實質上平行於通道結構的細長結構。電力軌被稱為「電力」軌,因為它被配置成對於沿通道結構形成的電晶體的各個部分(例如,對於各種電晶體的源極區域或接點)提供電力。此外,由於電力軌的一或多種導電材料低於金屬閘極線的一或多種閘極電極材料(也就是說,電力軌的一或多種導電材料的頂部比金屬閘極線的一或多種閘極電極材料的底部更靠近支撐結構),電力軌可以被稱為「埋入式(buried)」電力軌。一旦形成了BPR,可以在BPR上方形成開口,所述開口具有細長形狀,所述細長形狀沿著電力軌的長度在水平方向上延伸並且在垂直方向上從BPR的頂部延伸到IC結構的頂部(例如,到金屬閘極線的頂部)。因為BPR以及開口實質上平行於細長通道結構,所以它們實質上垂直於金屬閘極線,這意味著開口將切穿金屬閘極線。金屬閘極線的切口部分之間的開口部分可以填充有一或多種介電質材料,從而形成金屬閘極切口,以下稱為「BPR閘極切口」或「BPR上方的閘極切口」。不在金屬閘極線的切口部分之間的開口部分,例如與形成在相鄰通道結構中的電晶體的源極接點相對的開口部分,可以填充有一或多種導電材料,其可以進一步耦接到電晶體的源極接點,從而形成將BPR電耦接到電晶體的源極接點的導電通孔,以下稱為「BPR通孔」。
如本文所述,具有BPR之上的閘極切口的IC結構可以提供若干優點。在BPR上方的同一開口中形成BPR金屬閘極切口和BPR通孔有利於減少形成閘極切口和BPR通孔所需的面積,以及用於形成金屬閘極切口和從BPR到電晶體部分的電連接的遮罩和程序步驟的數量,降低了製造程序的複雜度和成本。在通道結構之間的開口中提供電力軌的導電材料,與在通道結構上方提供相反,可以在降低金屬線電阻和降低電壓下降方面提供改進。確保電力的頂部低於金屬閘極線(也就是說,確保電力軌被埋入)可以在降低寄生電容方面提供進一步的改進。在一些實施例中,BPR可以一直延伸穿過支撐結構,從而可以有利地從支撐結構的背面提供到電力軌的電連接。此外,在一些實施例中,當沉積BPR通孔的一或多種導電材料時,用於將BPR電耦接到電晶體的源極區域的溝槽接點的遮罩可以同時用作遮罩。使用這種遮罩將致使在至少一個方向(例如,在平行於BPR的長度的方向)上形成與溝槽接點自對準的BPR通孔。如本文所述的具有到溝槽接點的自對準BPR通孔的BPR可用於解決傳統電晶體佈置的縮小挑戰並實現與先進的互補金屬氧化物半導體(CMOS)程序相容的高密度佈置。其它技術效果將從這裡描述的各種實施例中顯而易見。
在整個本說明書中提到了細長結構。如本文所用,如果結構的長度(單獨測量範例座標系的一個軸)大於結構的寬度(單獨測量範例座標系的另一軸)和結構的高度(單獨測量範例座標系的第三軸),則結構被稱為細長的。例如,如本文所述的細長通道結構可以是鰭或奈米帶,其具有沿本案圖式中所示座標系的x軸測量的長度、沿本案圖式中所示座標系的y軸測量的寬度,以及沿本案圖式中所示座標系的z軸測量的高度。因為本文描述的BPR以及它們上方的開口實質上平行於通道結構,所以它們的長度、寬度和高度也分別沿著本案圖式中所示x-y-z座標系的x軸、y軸和z軸測量。另一方面,當金屬閘極線實質上垂直於通道結構時,如本案圖式的實施例所示,其長度、寬度和高度分別沿所示的x-y-z座標系的y軸、x軸和z軸測量。
儘管本文提供的描述涉及FinFET,但這些描述同樣適用於除FinFET之外的任何其它非平面FET的實施例,例如奈米帶電晶體、奈米線電晶體或諸如奈米帶/奈米線電晶體但具有任何幾何形狀(例如,橢圓形或帶圓角的多邊形)的橫截面的電晶體。
如本文所述的IC結構,特別是如本文所述的具有BPR之上的閘極切口的IC結構,可用於提供與IC相關的一或多個元件或者/以及各種此類元件之間的電連接性。在各種實施例中,與IC相關的元件包括例如電晶體、二極體、電源、電阻器、電容器、電感器、感測器、收發器、接收器、天線等。與IC相關的元件可以包括安裝在IC上的元件或連接到IC的元件。IC可以是類比的或數位的,並且可以用於多種應用,如微處理器、光電裝置、邏輯方塊、音訊放大器等,這取決於與IC相關的元件。IC可以用作用於在電腦中執行一或多個相關功能的晶片組的一部分。
為了解釋的目的,闡述了具體的數字、材料和配置以便提供對說明性實現的透徹理解。然而,對於本領域技術人員顯而易見的是,可以在沒有具體細節的情況下實踐本揭露,或/和可以僅利用所描述的態樣中的一些態樣來實踐本揭露。在其它情況下,省略或簡化眾所周知的特徵以便不混淆說明性實現。
此外,參考了構成其一部分的圖式,其中透過說明的方式顯示了可以實施的實施例。應當理解,可以利用其它實施例,並且可以進行結構或邏輯改變而不脫離本揭露的範圍。因此,以下詳細描述不應被理解為限制性的。為方便起見,如果存在一組用不同字母表示的圖式,例如圖7A-7B,這種集合在本文中可以不帶字母地稱為,例如,「圖7」。
在圖式中,本文所述的各種裝置和組件的範例結構的一些示意圖可以用精確的直角和直線顯示,這只是為了便於說明,並且這些組件的實施例可以是彎曲的、圓形的或以其它方式不規則的形狀,其由用於製造半導體裝置組件的製造程序決定的,有時是不可避免的。因此,應當理解,這種示意圖不能反映真實的程序限制,當使用例如掃描電子顯微鏡(SEM)影像或透射電子顯微鏡(TEM)影像檢查本文所述的任何結構時,可能會致使特徵看上去不太「理想」。在這種真實結構的影像中,可能的加工缺陷也可能是可見的,例如,材料的不完全筆直邊緣、錐形通孔或其它開口、拐角的非故意圓角或不同材料層的厚度變化、偶然螺旋、邊緣或晶體區域內的複合錯位和/或單一原子或原子簇的偶然錯位缺陷。可能存在此處未列出但在裝置製造領域中很常見的其它缺陷。此外,雖然在一些圖式中可以顯示一定數量的給定元件(例如,一定數量的鰭、一定數量的金屬閘極線、一定數量的閘極切口、一定數量的堆疊溝槽接點等),但這是僅僅是為了便於說明,具有如本文所述的至少一個BPR之上的至少一個閘極切口的IC結構中可以包括多於或少於所述數量。此外,一些圖式中所示的各種視圖意於顯示其中各種元件的相對佈置。在其它實施例中,具有如本文所述的BPR之上的閘極切口的各種IC結構或其部分可以包括未顯示的其它元件或元件(例如,可能與任何金屬線等電子接觸的電晶體部分、各種元件)。檢查佈局和遮罩資料以及對裝置的部件進行逆向工程,以使用例如光學顯微鏡、TEM或SEM重建電路,和/或檢查裝置的橫截面以檢測本文描述的各種裝置元件的形狀和位置,其使用例如實體故障分析(PFA)將允許確定具有如本文描述的BPR之上的閘極切口的IC結構的存在。
可以用最有助於理解所要求保護的申請標的之方式將各種操作依次描述為多個離散動作或操作。然而,描述的順序不應被解釋為暗示這些操作必須與順序相關。這些操作可能無法按呈現順序執行。可以用與所描述的實施例不同的順序來執行所描述的操作。在額外的實施例中,可以執行各種額外的操作,和/或可以省略所描述的操作。
為了本發明的目的,短語「A和/或B」代表(A)、(B)或(A和B)。為了本發明的目的,短語「A、B及/或C」代表(A)、(B)、(C)、(A和B)、(A和C)、(B和C)或(A,B和C)。當參考測量範圍使用時,用語「在...之間」包括測量範圍的末端。
描述使用短語「在實施例中」或「在多個實施例中」,其可以各自是指相同或不同的實施例中的一或多個。如關於本發明的實施例使用的用語「包含」、「包括」、「具有」等等是同義的。本發明可以使用基於視角的描述,例如「在…之上」、「在…之下」、「頂部」、「底部」和「側面」來解釋圖式的各種特徵,這些用語只是為了便於討論並且不暗示期望或要求的方向。圖式不一定按比例繪製。除非另有說明,否則使用序數形容詞「第一」、「第二」和「第三」等來描述共同的物件,僅表示相似物件的不同實例,並且無意於暗示這樣描述的物件必須在時間上、空間上、排序上或任何其它方式依給定的順序。
在以下詳細描述中,可以使用本領域技術人員通常使用的用語來描述說明性實現的各種態樣,以將其運作的實質傳達給本領域其它技術人員。
例如,一些描述可以指特定的源極區域或汲極區域或接點是源極區域/接點或汲極區域/接點。然而,除非另有說明,電晶體的哪個區域/接點被認為是源極區域/接點以及哪個區域/接點被認為是汲極區域/接點並不重要,因為在某些操作條件下,源極和汲極的名稱經常可以互換。因此,本文提供的描述可以使用用語「S/D」區域/接點來指示所述區域/接點可以是源極區域/接點,或汲極區域/接點。
在另一個範例中,如果使用的話,用語「封裝」和「IC封裝」是同義詞,用語「晶粒」和「IC晶粒」也是同義詞,用語「絕緣」是指「電絕緣」,用語「導通」是指「導電」,除非另有說明。儘管某些元件在本文中可能以單數形式表示,但這些元件可以包括多個子元件。例如,「導電材料」可以包括一或多種導電材料。
在另一個範例中,如果使用的話,用語「氧化物」、「碳化物」、「氮化物」等分別是指包括氧、碳、氮等的化合物,用語「高k介電常數」是指具有比氧化矽高的介電常數(k)的材料,而用語「低k介電常數」是指具有比氧化矽低的介電常數的材料。
在又一範例中,用語「互連」可用於描述由導電材料形成的任何元件,用於向與IC相關的一或多個元件或/和各種此類元件之間提供電連接。通常,「互連」可以指導線/佈線(有時也稱為「線」或「金屬線」或「溝槽」)和導電通孔(有時也稱為「通孔」或「金屬通孔」)。通常,用語「導線」可用於描述由介電質材料隔離的導電元件,所述介電質材料通常包含設置在IC晶片平面內的層間低k介電質。這種導線通常佈置在金屬化堆疊的數階層或數層中。另一方面,用語「導電通孔」可用於描述互連金屬化堆疊的不同階層的兩條或多條導線的導電元件。為此,可以提供實質上垂直於IC晶片的平面或在其上提供IC結構的支撐結構的通孔並且可以互連相鄰階層中的兩條導線或不相鄰層中的兩條導線。用語「金屬化堆疊」可用於是指一或多個互連的堆疊,用於提供對於IC晶片的不同電路元件的連接性。
此外,用語「連接」是指所連接的事物之間的直接電或磁連接,而沒有任何中間裝置,而用語「耦接」是指所連接的事物之間的直接電或磁連接或透過一或多個被動或主動中間裝置的間接連接。用語「電路」是指一或多個被動和/或主動元件,其被佈置為彼此協作以提供所需的功能。
用語「實質上」、「接近」、「近似」、「附近」和「大約」通常是指在基於如本文所述的或如本領域已知的特定值的上下文在目標值的+/-20%之內。類似地,指示各種元件的取向的用語,例如,「共面」、「垂直」、「正交」、「平行」或元件之間的任何其它角度,通常是指在基於如本文所述的或如本領域已知的特定值的上下文在目標值的+/-5至20%之內。 範例 FinFET
圖1是根據本揭露的一些實施例的範例FinFET 100的透視圖。FinFET 100顯示了可以在本文描述的各種IC結構中實現的電晶體的一個範例,例如,在如圖3-5所示的BPR上方具有閘極切口的IC結構中。圖1中所示的FinFET 100意於顯示其中一些部件的相對佈置。在各種實施例中,FinFET 100或其部分可以包括未顯示的其它部件(例如,圍繞FinFET 100的閘極堆疊的任何額外的材料,如間隔件材料,與FinFET 100的S/D區域的電接觸等)。
如圖1所示,FinFET 100可以設置在支撐結構102之上,其中用語「支撐結構」(其也可以稱為「基極」)可以是指可以在其上構建電晶體的任何合適的支撐結構,例如,基板、晶粒、晶圓或晶片。也如圖1所示,FinFET 100可以包括從支撐結構102延伸的鰭104。鰭104中最靠近支撐結構102的部分可以被絕緣體材料106包圍,通常稱為「淺溝槽」隔離(STI)。由STI 106在其側面包圍的鰭104的部分通常稱為「子鰭部分」或簡稱為「子鰭」。如圖1進一步所示,可以在鰭104的剩餘上部的頂部和側面(例如,STI 106上方且未被STI 106包圍的部分)上設置包括至少一層閘極電極材料112和選擇性地包括一層閘極介電質110的閘極堆疊108,因此環繞鰭104的最上部分。閘極堆疊108環繞的鰭104部分可稱為鰭104的「通道部分」(或簡稱為「通道」),因為這是在FinFET 100的操作期間可以形成導電通道的地方。鰭104的通道部分是鰭104的活動區的一部分。第一S/D區域114-1和第二S/D區域114-2(也通常稱為「擴散區」)是設置在閘極堆疊108的相對側上,形成FinFET 100的源極和汲極端子。
通常,本揭露的實現可以在諸如由半導體材料系統(包括,例如,N型或P型材料系統)組成的半導體基板的支撐結構上形成或實行。在一種實現中,半導體基板可以是使用本體矽或絕緣體上矽子結構形成的結晶基板。在其它實現中,半導體基板可以使用可以或可以不與矽組合的替代材料來形成,其包括但不限於鍺、銻化銦、碲化鉛、砷化銦、磷化銦、砷化鎵、砷化銦鎵、銻化鎵或III-V族、II-VI族或IV族材料的其它組合。儘管這裡描述了可以形成基板的材料的幾個範例,但是任何可以用作如本文描述的具有BPR之上的閘極切口的IC結構的基礎的材料都落入本揭露的精神和範圍內。在各種實施例中,支撐結構102可以包括提供用於形成FinFET 100的合適表面的任何這種基板材料。
如圖1所示,鰭104可以遠離支撐結構102延伸並且可以實質上垂直於支撐結構102。鰭104可以包括一或多種半導體材料,例如半導體材料的堆疊,使得最上部的鰭(即鰭104中被閘極堆疊108包圍的部分)可以用作FinFET 100的通道區域。因此,如本文所使用的,用語電晶體的「通道材料」可以指鰭104的這種最上部,或更一般地,一或多種半導體材料的任何部分,其中在電晶體操作期間可以在源極和汲極區域之間形成導電通道。
如圖1所示,STI材料106可以包圍鰭104的側面。被STI 106包圍的鰭104的一部分形成子鰭。在各種實施例中,STI材料106可以是低k或高k介電質,包括但不限於諸如鉿、矽、氧、氮、鈦、鉭、鑭、鋁、鋯、鋇、鍶、釔、鉛、鈧、鈮和鋅等元素。可用於STI材料106的介電質材料的其它範例可包括但不限於氮化矽、氧化矽、二氧化矽、碳化矽、摻雜碳的氮化矽、氧氮化矽、氧化鉿、氧化鉿矽、氧化鑭、氧化鑭鋁、氧化鋯、氧化鋯矽、氧化鉭、氧化鈦、氧化鋇鍶鈦、氧化鈦鋇、氧化鍶鈦、氧化釔、氧化鋁、氧化鉭、氧化鉭矽、氧化鉛鈧鉭和鈮酸鉛鋅。
在鰭104的子鰭部分上方,閘極堆疊108可以環繞鰭104,如圖1所示。具體而言,閘極介電質110可以環繞鰭104的最上部,並且閘極電極112可以環繞閘極介電質110。鰭104的通道部分和鰭104的子鰭部分之間的介面位於靠近閘極電極112結束之處。
閘極電極112可以包括一或多種閘極電極材料,其中閘極電極材料的選擇可以取決於FinFET 100是P型金屬氧化物半導體(PMOS)電晶體還是N型金屬氧化物半導體(NMOS)電晶體。對於PMOS電晶體,可用於閘極電極112的不同部分的閘極電極材料可包括但不限於釕、鈀、鉑、鈷、鎳和導電金屬氧化物(例如,氧化釕)。對於NMOS電晶體,可用於閘極電極112的不同部分的閘極電極材料包括但不限於鉿、鋯、鈦、鉭、鋁、這些金屬的合金和這些金屬的碳化物(例如、碳化鉿、碳化鋯、碳化鈦、碳化鉭和碳化鋁)。在一些實施例中,閘極電極112可以包括複數個閘極電極材料的堆疊,其中堆疊的零個或多個材料為功函數材料,並且堆疊的至少一種材料為填充金屬層。其它材料/層可以包括在閘極電極112旁邊用於其它目的,如用作擴散阻擋層或/和黏附層。
如果使用,閘極介電質110可以包括一或多種閘極介電質材料的堆疊。在一些實施例中,閘極介電質110可以包括一或多種高k介電質材料。在各種實施例中,閘極介電質110的高k介電質材料可以包括諸如鉿、矽、氧、鈦、鉭、鑭、鋁、鋯、鋇、鍶、釔、鉛、鈧、鈮和鋅的元素。可用於閘極介電質110的高k材料的範例可包括但不限於氧化鉿、氧化鉿矽、氧化鑭、氧化鑭鋁、氧化鋯、氧化鋯矽、氧化鉭、氧化鈦、氧化鋇鍶鈦、氧化鋇鈦、氧化鍶鈦、氧化釔、氧化鋁、氧化鉭、氧化鉭矽、氧化鉛鈧鉭、鉛鋅鈮酸。在一些實施例中,可以在製造FinFET 100期間對閘極介電質110進行退火程序以提高閘極介電質110的品質。
在一些實施例中,閘極堆疊108可以被介電質間隔件包圍,圖1中未具體顯示。介電質間隔件可配置成提供可沿單一鰭提供的不同FinFET 100的閘極堆疊108之間的分隔(例如,沿鰭104提供的不同FinFET,儘管圖1僅顯示了此類FinFET之一)以及在閘極堆疊108和設置在閘極堆疊108的每一側上的源極/汲極接點之間的分隔。這種介電質間隔件可以包括一或多種低k介電質材料。可用作介電質間隔件的低k介電質材料的範例包括但不限於二氧化矽、碳摻雜氧化物、氮化矽、熔融石英玻璃(FSG)和有機矽酸鹽,如倍半矽氧烷、矽氧烷、和有機矽玻璃。可用作介電質間隔件的低k介電質材料的其它範例包括有機聚合物,如聚醯亞胺、聚降冰片烯、苯並環丁烯、全氟環丁烷或聚四氟乙烯(PTFE)。可用作介電質間隔件的低k介電質材料的其它範例包括矽基聚合物介電質,如氫倍半矽氧烷(HSQ)和甲基倍半矽氧烷(MSQ)。可用於介電質間隔件的低k材料的其它範例包括各種多孔介電質材料,如多孔二氧化矽或多孔碳摻雜二氧化矽,其中在介電質中產生大的空隙或孔以減少層的總介電常數,因為空隙可以具有接近1的介電常數。當使用這種介電質間隔件時,鰭104的下部,例如鰭104的子鰭部分,可以被STI材料106包圍,STI材料106可以例如包括本文所述的任何高k介電質材料。
在一些實施例中,鰭104可由半導體材料系統組成,包括例如N型或P型材料系統。在一些實施例中,鰭104可以包括高遷移率氧化物半導體材料,如氧化錫、氧化銻、氧化銦、氧化銦錫、氧化鈦、氧化鋅、氧化銦鋅、氧化鎵、氧氮化鈦、氧化釕、或氧化鎢。在一些實施例中,鰭104可以包括半導體材料的組合,其中一種半導體材料用於通道部分,而另一種材料,有時稱為「阻擋材料」,用於鰭104的子鰭部分的至少一部分。在一些實施例中,鰭104的子鰭和通道部分各自由單晶半導體形成,例如Si或Ge。在第一實施例中,鰭104的子鰭和通道部分各自由具有來自週期表的第III族的至少一種元素(例如,Al、Ga、In)的第一子晶格的化合物半導體形成,以及週期表的第V族的至少一種元素(例如,P、As、Sb)的第二子晶格。子鰭可以是二元、三元或四元III-V化合物半導體,其為週期表的第III和V族中的兩種、三種或甚至四種元素的合金,包括硼、鋁、銦、鎵、氮、砷、磷、銻和鉍。
對於一些範例性N型電晶體實施例(也就是說,對於FinFET 100是NMOS的實施例),鰭104的通道部分可以有利地包括具有高電子遷移率的III-V材料,諸如但不限於InGaAs、InP、InSb和InAs。對於一些這種實施例,鰭104的通道部分可以是三元III-V合金,諸如InGaAs、GaAsSb、InAsP或InPSb。對於一些In xGa 1-xAs鰭實施例,In含量(x)可以在0.6和0.9之間,並且可以有利地為至少0.7(例如,In 0.7Ga 0.3As)。在具有最高遷移率的一些實施例中,鰭104的通道部分可以是本徵III-V材料,即非有意摻雜任何電活性雜質的III-V半導體材料。在替代實施例中,標稱雜質摻雜物程度可存在於鰭104的通道部分內,例如以進一步微調臨界值電壓Vt,或提供HALO袋狀佈植等。然而,即使對於雜質摻雜實施例,鰭104的通道部分內的雜質摻雜物程度可以相對較低,例如低於10 15摻雜物原子每立方公分(cm -3),並且有利地低於10 13cm -3。鰭104的子鰭部分可以是III-V材料,其具有與通道部分的能帶偏移(例如,用於N型裝置的導帶偏移)。範例材料包括但不限於GaAs、GaSb、GaAsSb、GaP、InAlAs、GaAsSb、AlAs、AlP、AlSb和AlGaAs。在鰭104的通道部分是InGaAs的FinFET 100的一些N型電晶體實施例中,子鰭可以是GaAs,並且子鰭的至少一部分還可以摻雜有雜質(例如,P型)以比通道部分更高的雜質程度。在替代的異質接面實施例中,鰭104的子鰭和通道部分各自包括IV族半導體(例如,Si、Ge、SiGe)。鰭104的子鰭可以是第一元素半導體(例如,Si或Ge)或第一SiGe合金(例如,具有寬帶隙)。
對於一些範例性P型電晶體實施例(也就是說,對於FinFET 100是PMOS的實施例),鰭104的通道部分可以有利地是具有高電洞遷移率的IV族材料,諸如但不限於Ge或富含Ge的SiGe合金。對於一些範例實施例,鰭104的通道部分可以具有介於0.6和0.9之間的Ge含量,並且有利地可以是至少0.7。在具有最高遷移率的一些實施例中,通道部分可以是本徵III-V(或用於P型裝置的IV)材料並且不是有意摻雜有任何電活性雜質。在替代實施例中,一或多個標稱雜質摻雜物程度可以存在於鰭104的通道部分內,例如以進一步設置臨界值電壓Vt,或提供HALO袋佈植等。然而,即使對於雜質摻雜實施例,通道部分內的雜質摻雜物程度相對較低,例如低於10 15cm -3,並且有利地低於10 13cm -3。鰭104的子鰭可以是具有與通道部分的能帶偏移(例如,P型裝置的價帶偏移)的IV族材料。範例材料包括但不限於Si或富含Si的SiGe。在一些P型電晶體實施例中,鰭104的子鰭是Si,並且子鰭的至少一部分也可以摻雜有雜質(例如,N型)至比通道部分更高的雜質程度。
轉到在閘極堆疊108的各個不同側上的第一S/D區域114-1和第二S/D區域114-2,在一些實施例中,第一S/D區域114-1可以是源極區域並且第二S/D區域114-2可以是汲極區域。在其它實施例中,所述源極和汲極的指定可以互換,即第一S/D區域114-1可以是汲極區域並且第二S/D區域114-2可以是源極區域。雖然沒有具體顯示在圖1中,FinFET 100還可以包括由一或多種導電材料形成的S/D電極(通常也稱為「S/D接點」),用於分別提供到S/D區域114的電連接性。這種S/D接點在圖4B和5B中被顯示為S/D接點408。在一些實施例中,FinFET 100的S/D區域114可以是摻雜半導體區,例如鰭104的摻雜通道材料區,以便為電晶體通道提供電荷載子。在一些實施例中,S/D區域114可以是高度摻雜的,例如,摻雜濃度約為1∙10 21cm -3,為了有利地與對應的S/D電極形成歐姆接點,儘管這些區域也可以具有較低的摻雜濃度並且在一些實現中可以形成肖特基接點。不考慮確切的摻雜程度,FinFET 100的S/D區域114是具有比其它區域更高的摻雜濃度的區域,例如,高於第一S/D區域114-1和第二S/D區域114-2之間的半導體通道材料區域中的摻雜濃度,因此,可以被稱為「高摻雜」(HD)區域。
在一些實施例中,S/D區域114通常可以使用佈植/擴散程序或蝕刻/沉積程序來形成。在前者的程序中,可以將諸如硼、鋁、銻、磷或砷的摻雜物離子佈植到鰭104上部的一或多種半導體材料中以形成S/D區域114。啟用摻雜物並使它們進一步擴散到鰭104中的退火程序可以在離子佈植程序之後進行。在後者的程序中,鰭104的一或多種半導體材料可以首先被蝕刻以在用於未來源極和汲極區域的位置處形成凹槽。接著可以執行磊晶沉積程序以利用用於製造S/D區域114的材料(其可以包括不同材料的組合)來填充凹槽。在一些實現中,可以使用如矽鍺或碳化矽的矽合金來製造S/D區域114。在一些實現中,磊晶沉積的矽合金可以用諸如硼、砷或磷的摻雜物原位摻雜。在進一步的實施例中,S/D區域114可以使用一或多種替代半導體材料形成,如鍺或III-V族材料或合金。儘管在圖1的透視圖中沒有具體顯示,在進一步的實施例中,可以使用一層或多層金屬和/或金屬合金來形成源極和汲極接點(也就是說,對於每個S/D區域114的電性接點)。這種S/D接點例如在本案圖式的圖4B和5B中顯示。
FinFET 100可以具有閘極長度GL(例如,第一S/D區域114-1和第二S/D區域114-2之間的距離),沿鰭104在圖1中所示的範例參考座標系x-y-z的x軸的方向上測量的尺寸,在一些實施例中,其可以在約5和40奈米之間,包括其中的所有值和範圍(例如,在約10和35奈米之間,或在約15和25奈米之間)。鰭104可以具有厚度,即在圖1所示的參考座標系x-y-z的y軸方向上測量的尺寸,在一些實施例中,其可以在大約4和15奈米之間,包括其中的所有值和範圍(例如,在大約5和10奈米之間,或在大約7和12奈米之間)。鰭104可以具有高度,在圖1所示的參考座標系x-y-z的z軸方向上測量的尺寸,在一些實施例中,其可以在約30和350奈米之間,包括其中的所有值和範圍(例如,在約30和200奈米之間、在約75和250奈米之間、或在約150和300奈米之間)。
儘管圖1中所示的鰭104被顯示為在圖1所示的參考座標系的z-y平面中具有矩形橫截面,鰭104可以替代地在鰭104的「頂部」具有圓形或傾斜的橫截面,並且閘極堆疊108(包括閘極介電質110的不同部分)可以符合所述圓形或傾斜的鰭104。在使用中,FinFET 100可以在鰭104的通道部分的多達三個「側」上形成導電通道,從而潛在地提高相對於單閘電晶體(其可以在通道材料或基板的一「側」上形成導電通道)和雙閘電晶體(其可以在通道材料或基板的兩「側」上形成導電通道)的性能。
儘管圖1顯示了單一FinFET 100,但在一些實施例中,複數個FinFET可以沿著鰭104彼此相鄰地佈置(在它們之間具有一些間隔)。 具有 BPR 上方的閘極切口的範例 IC 結構
根據本揭露的各種實施例,圖2和3提供範例IC結構的俯視圖(也就是說,圖1中所示的範例參考座標系的x-y平面的視圖),其中可以實現在至少一個BPR上方的至少一個閘極切口。特別是,根據本揭露的一些實施例,圖2顯示了在BPR之上沒有任何閘極切口的IC結構200,而圖3顯示了具有BPR之上的閘極切口的IC結構300。
在圖2和圖3底部的虛線框中提供的圖例顯示了用於指示圖2和3中所示的一些元件的一些部分或材料的顏色/圖案,以便這些圖式不會被太多的參考符號弄亂(同樣適用於本揭露的後續圖式,其包括圖式底部的圖例)。例如,圖2和3使用不同的顏色/圖案來識別通道材料204(例如,鰭104的通道材料)、介電質材料206和金屬閘極線212。此外,圖3進一步使用不同顏色/圖案來識別BPR閘極切口的介電質材料306、第一溝槽接點(TCN1)材料312、第二溝槽接點(TCN2)材料314和閘極切口的介電質材料316,其不是BPR閘極切口(也就是說,不高於BPR的閘極切口的)。由於在介電質材料306和206下方看不到它,因此BPR未在圖3中顯示。
圖2和3以及在一些隨後的圖式中所示的IC結構是複數個FinFET 100可以如何佈置在IC裝置中的範例。因此,圖2-3和一些隨後的圖式中所示的IC結構顯示了用與圖1中使用的相同參考符號標記的一些元件來在這些圖中表示相似或類似的元件,為了簡潔起見,參考一個圖示提供的給定元件的描述不必針對其它圖式再次重複。例如,圖2和3顯示了鰭104(特別是,複數個這種鰭),以及用於圖2和3的IC結構的一個範例FinFET的範例S/D區域114。本揭露的後續圖式同樣適用:在一個圖式中使用並在另一圖式中再次顯示的具有參考符號的元件是指相似或類似的元件,因此不必針對每幅圖式重複它們的描述。
圖2和3所示的IC結構意於顯示其中一些部件的相對佈置,以便說明在BPR之上實現閘極切口的一些細節。圖2和3所示的IC結構不是真正的俯視圖,如果IC結構要沿x-y平面切割,則它們不會顯示一些材料,以免模糊BPR上方的閘極切口細節的圖示。例如,圖2和3顯示了鰭104的通道材料204,儘管在沿著金屬閘極線212上方(例如,頂部)的x-y平面的實際橫截面中,鰭104將不可見,因為它們會被介電質材料覆蓋,例如,被介電質材料206和/或介電質材料306覆蓋。在另一個例子中,圖3顯示了TCN2材料314,儘管如可以在例如圖4B中看到的那樣,在俯視圖中看到了TCN2材料314,IC結構的其它部分可以被介電質材料306覆蓋(也就是說,介電質材料306將僅延伸超出BPR閘極切口的填充物,但是圖3沒有顯示這一點)。因此,在各種實施例中,圖2和3中所示的IC結構(或其部分)可以包括未顯示的其它部件(例如,任何其它材料,如間隔件材料、STI、S/D區域或其電接點等)。這同樣適用於本揭露的後續圖式。
如圖2所示,IC結構200可以包括成形為複數個細長通道結構的通道材料204,如鰭104,在一些實施例中,鰭104可以實質上彼此平行地延伸,其長度沿x軸測量。圖2中顯示了鰭104的不同範例,其中在鰭104的參考符號之後帶有破折號和不同的參考符號(相同的符號用於其它圖式中的其它元件)。IC結構200顯示了4個鰭104的範例,標記為鰭104-1至104-4,但是在其它實施例中,可以在IC結構200中實現任何其它數量的兩個或更多個鰭104。此外,在其它實施例中,通道材料204可以成形為除鰭之外的細長通道結構,例如奈米帶或奈米線,但是這種其它細長通道結構也可以由通道材料的俯視圖表示,如圖2和3所示。
一旦製造了鰭104,就可以穿越多個鰭104在鰭104上方提供金屬閘極線212。在一些實施例中,金屬閘極線212可以實質上垂直於鰭104延伸。例如,如果鰭104在本圖中使用的範例座標系的x軸方向上延伸,如圖2所示(也就是說,如果每個鰭104可以具有實質上平行於其上提供它們的支撐結構(例如,支撐結構102)的長軸並且不同的鰭104可以實質上彼此平行地延伸),則金屬閘極線212可以在y軸的方向上延伸,如圖2所示。在一些實施例中,金屬閘極線212可以成形為脊形,實質上垂直於鰭104的長度並包圍鰭104的不同部分。至少部分的金屬閘極線212設置在鰭104上方,也就是說,在可以形成FinFET的閘極的地方,如參考圖1所述的,可以包括一或多種閘極電極材料112,從而形成如上所述的閘極堆疊108。在一些實施例中,所有金屬閘極線212(即也在鰭104之間)由一或多種閘極電極材料112形成。在一些實施例中,用在給定金屬閘極線212的一部分中的閘極電極材料112可以具有與用於所述特定金屬閘極線212的另一部分的閘極電極材料112的材料成分不同的材料成分。例如,穿越將在其中形成NMOS電晶體的鰭104的給定金屬閘極線212的一部分的材料成分可以不同於穿越將在其中形成PMOS電晶體的鰭104的金屬閘極線212的一部分的材料成分。例如,鰭104-1和104-4可以是其中可以形成NMOS電晶體的鰭,而鰭104-2和104-3可以是其中可以形成PMOS電晶體的鰭。
圖2中顯示的虛線輪廓顯示了在鰭104之一中/沿著鰭104之一(因此,電晶體202是FinFET),即在鰭104-3中形成的電晶體202的範例。FinFET 202可以是如上所述的FinFET 100的範例。圖2顯示了FinFET 202的S/D區域114-1和114-2,而穿越鰭104-3的金屬閘極線212的部分形成了FinFET 202的閘極堆疊108。複數個其它這種FinFET也顯示在圖2中,雖然為了不使圖式混亂,它們沒有用參考符號特別標記。
在一些實施例中,可以佈置複數個FinFET 202以形成具有特定邏輯功能/功能性的胞元單元(或簡稱為「胞元」)210(圖2中所示的胞元單元210的近似輪廓具有虛線輪廓),接著可以在IC結構200中多次提供這種胞元,例如以陣列形式。當然,在IC結構200的其它實施例中,FinFET 202可以用不包括重複胞元單元的方式佈置和/或胞元單元210可以包括IC結構200的除了圖2中所示的部分之外的部分。
圖2進一步說明IC結構200的圍繞鰭104的上部的部分可以被介電質材料206包圍,介電質材料206可以包括本文所述的介電質間隔件材料或層間介電質(ILD)材料中的一或多種。雖然圖2的俯視圖顯示了金屬閘極線212不穿越鰭的部分中的鰭104的頂部,但在一些實施例中,介電質材料206可以覆蓋那些部分中的鰭104的頂部(在這種情況下,鰭104將在IC結構200的俯視圖中看不到)。
如上所述,給定設計可能需要切割一些金屬閘極線212以適應BPR。在一些進一步的實施例中,給定的設計可能需要切割一些金屬閘極線212以破壞不同部分的IC結構200之間的電連續性並且將不同FinFET 202的閘極解耦。圖3是類似於圖2的IC結構200的IC結構300的俯視圖,進一步顯示了根據本揭露的一些實施例的在BPR上方的範例閘極切口。特別是,圖3顯示了BPR上方的開口310和BPR閘極切口的介電質材料306以及TCN1材料312和TCN2材料314的輪廓。開口310的輪廓如圖3所示為圍繞所有介電質材料306和一部分TCN2材料314的厚黑色矩形輪廓。用介電質材料306填充的開口310的部分提供BPR閘極切口,因為這些部分切割了一或多條金屬閘極線212並且在BPR上方提供(BPR本身未在圖3中顯示,因為它位於開口310下方,但可以在圖4A-4C的截面圖中看到)。然而,如圖4A-4C中所示的開口310的輪廓不一定是材料分界。例如,在圖4A中,介電質材料306可以在開口310的輪廓內部和外部連續地為單一材料。在另一個範例中,在圖4B和4C中,導電材料314可以在開口310的輪廓內部和外部連續地為單一材料。圖3進一步顯示了填充有介電質材料316的開口308。開口308還提供閘極切口,因為它切割金屬閘極線212之一,但它不是BPR閘極切口,因為它沒有提供在BPR上方(從圖4A-4C的橫截面圖中可以更好地看到)。根據本揭露的一些實施例,圖4A-4C提供圖3的IC結構300的橫截面側視圖,其具有沿各種平面截取的橫截面。特別是,圖4A顯示了沿圖3所示的平面AA截取的IC結構300的橫截面(也就是說,沿金屬閘極線212之一的y-z平面的橫截面),圖4B顯示了沿圖3所示的平面BB截取的IC結構300的橫截面(也就是說,在相鄰金屬閘極線212之間的y-z平面沿BPR通孔的橫截面),以及圖4C顯示了沿圖3所示的平面CC截取的IC結構300的橫截面(也就是說,沿BPR和沿BPR上方開口的x-z平面的橫截面,其中形成開口BPR閘極切口和BPR通孔)。一起地,圖3和圖4A-4C的各種視圖提供IC結構300的綜合說明。因此,現在將參考可以在圖3和4的視圖之一中看到但其它圖中沒有的元件來描述IC結構300的細節。
圖4A和4B顯示了BPR 320,其設置在兩個相鄰鰭之間,例如,鰭104-2和鰭104-3之間。BPR 320可以包括一或多種導電材料。在一些實施例中,本文所述的各種導電材料,例如BPR 320的一或多種導電材料,可包括一或多種金屬或具有金屬諸如銅、釕、鈀、鉑、鈷、鎳、鉿、鋯、鈦、鉭、鉬、鎢和鋁的金屬合金。在一些實施例中,本文所述的導電材料可以包括一或多種導電合金、氧化物(例如,導電金屬氧化物)、碳化物(例如,碳化鉿、碳化鋯、碳化鈦、碳化鉭和碳化鋁),或一或多種金屬的氮化物(例如,氮化鉿、氮化鋯、氮化鈦、氮化鉭和氮化鋁)。
BPR 320可以具有沿x軸測量的長度並且實質上平行於鰭104。因此,在水平方向上,BPR 320實質上平行於兩個相鄰的鰭104-2和104-3並且在兩個相鄰的鰭104-2和104-3之間延伸。BPR 320被「埋入」,因為BPR 320的頂部(也就是說,BPR 320的最遠離支撐結構102的邊界)位於金屬閘極線212下方,如圖4A的視圖中所見。例如,在一些實施例中,BPR 320的頂部可以在金屬閘極線212的底部下方一距離402,如圖4A所示,其中距離402可以是至少5奈米,包括所有值和範圍,例如至少7奈米或至少10奈米。因此,在垂直方向上,BPR 320可以從金屬閘極線212下方的某個平面(例如,距金屬閘極線212距離402處的板)延伸,並向下朝向支撐結構102延伸,可能一直延伸穿過支撐結構。這在例如圖4A中顯示,其中BPR 320從支撐結構102的第一面404-1延伸到支撐結構102的第二面404-2。如此,可以在支撐結構102的背面(也就是說,在第一面404-1)將BPR 320進行電連接。接著在支撐結構102的第二面404-2上提供鰭104。
從圖3和圖4A-4C提供的各種視圖的開口310的分析顯示開口310在水平方向上延伸以平行於BPR 320並在其上方(也就是說,開口310的底部與BPR 320的頂部完全重疊),而在垂直方向上,開口310從BPR 320的頂部(也就是說,開口310的底部距金屬閘極線212的底部一距離402並且與BPR 320的頂部共面)延伸到金屬閘極線212的閘極電極材料的頂部。由於開口310從介電質材料306的頂部一直延伸到BPR 320,開口310切穿金屬閘極線212,有效地將每條金屬閘極線212的閘極電極材料分離成兩部分。這可以在圖4A的視圖中看出,其中開口310將金屬閘極線212的閘極電極材料分隔成第一部分412-1和第二部分412-2。在切口閘極金屬線212的第一和第二部分412-1和412-2之間的開口310的一部分,在圖4A中被標記為開口310的一部分410-1,可以填充有一或多種介電質材料,例如介電質材料306。雖然圖4A的橫截面顯示了將被介電質材料306完全填充的開口310的部分410-1,在其它實施例中,部分410-1的填充可以是部分的(例如,僅在開口310的側壁和/或底部處)。介電質材料306可包括任何上述介電質材料,例如任何ILD材料或間隔件介電質材料。如此,在閘極金屬線212的閘極電極材料的第一和第二部分412-1和412-2之間的開口310的部分410-1形成閘極金屬線212的閘極切口(也就是說,它將第一和第二部分412-1和412-2彼此電隔離)並且是所謂的BPR閘極切口。因此,在下文中,部分410-1被稱為「BPR閘極切口410-1」。圖3的俯視圖標出了BPR閘極切口410-1的幾個範例(也就是說,由開口310的部分410-1提供的金屬閘極切口切穿並且將單閘極金屬線212的部分彼此電隔離)。然而,通常,只要開口310的一部分將閘極金屬線212的給定閘極電極材料的第一和第二部分412-1和412-2電隔離,就可以形成BPR閘極切口。接著,所述閘極金屬線212的閘極電極材料的第一部分412-1可以至少部分地環繞一個通道結構的一部分(例如,IC結構器300的範例中的鰭104-2),而所述閘極金屬線212的閘極電極材料的第二部分412-2可以至少部分地環繞另一通道結構的一部分(例如,IC結構器300的範例中的鰭104-3)。
圖3的俯視圖顯示了開口310沿x軸延伸,使得開口310除了每次開口310切穿另一條金屬閘極線212時具有BPR閘極切口410-1外,在相鄰閘極金屬線212之間也存在開口310的部分,即沿x軸方向。這些部分中的一些可以至少部分地填充有介電質材料306,如圖3中可見,其中開口310的部分被標記為部分410-2。然而,在一對相鄰閘極金屬線212之間的開口310的至少一部分可以填充有一或多種導電材料,開口310所述部分在圖3中標記為部分410-3並且可以在圖4B的截面圖中看到。部分410-3形成BPR通孔,其可以被配置成將BPR 320電耦接到電晶體202的S/D接點。因此,在下文中,部分410-3被稱為「BPR通孔410 -3」。
與BPR閘極切口410-1相比,BPR通孔410-3不在任何金屬線212的閘極電極材料的第一和第二部分412-1、412-2之間。在一些實施例中,BPR通孔410-3可以與形成在相鄰通道結構中的電晶體的S/D接點相對,例如,與第一S/D區域114-1相對的S/D接點。這在圖4B的視圖中顯示,BPR通孔410-3可以與S/D接點408相對,S/D接點408耦接到鰭104之一的S/D區域114(也就是說,S/D接點408耦接到圖4B和圖3所示的鰭104-3的S/D區域114)。如圖4B所示,鰭104-3的S/D接點408可以耦接到TCN1 312(例如,與其導電接觸),並且TCN1 312可以耦接到TCN2 314(例如,與其導電接觸),接著其耦接到BPR通孔410-3(例如,與其導電接觸)。接著,BPR通孔410-3又與BPR 320耦接(例如,與其導電接觸)。然而,在其它實施例中,BPR 320和BPR 320可以在其中的IC結構的各種部件之間的電連接可以透過許多其它方式來實現,所有這些方式都在本揭露的範圍內。例如,即使圖3說明BPR 320耦接到沿鰭104-3提供的電晶體202的源極區域114,在其它實施例中,BPR 320可以耦接到沿圖3的鰭104-2提供的電晶體的源極區域114。
BPR通孔410-3、S/D接點408、TCN1 312和TCN2 314中之各者可以由一或多種導電材料組成,如上述那些(例如,參考BPR 320),並且在各種實施例中,它們各自的材料成分可以相同或不同。在一些實施例中,BPR通孔410-3和TCN2 314的材料成分可以實質上相同(如圖4B所示,具有用於顯示這些元件的相同圖案),例如,如果BPR通孔410-3和TCN2 314的製造方法是首先為BPR通孔410-3和TCN2 314形成開口,接著在單一金屬化程序中用導電材料填充開口。
圖4C提供IC結構300的又一說明性橫截面,其以虛線輪廓描繪了圖4C的平面背面的不同金屬閘極線212。不同的金屬閘極線212在圖4C中標出作為金屬閘極線212-1至212-6,對應於圖3的圖示,雖然圖3僅顯示金屬閘極線212-1和212-2的標籤,而沒有顯示其餘的線,以免使圖變得混亂。在一些實施例中,BPR通孔410-3可以在所示範例座標系的x軸方向上自對準到它所耦接到的TCN2 314,這可以從IC結構300的頂視圖推斷(即圖3),並且可以在圖4C的截面圖中看到。換言之,BPR通孔410-3的一或多個導電材料可以在與BPR 320的長度平行的方向(也就是說,在所示範例座標系的x軸方向上),自對準到TCN2 314的一或多個導電材料。在此上下文中,自對準可能意味著BPR通孔410-3的一個側壁(例如,圖4C中所示的側壁422-1)可以在單一平面中,其中TCN2 314的一個側壁被耦接到所述平面(例如,側壁426-1,如圖4C所示),並且BPR通孔410-3的另一個側壁(例如,側壁422-2,如圖4C所示)可以在單一平面中,其中TCN2 314的另一個側壁耦接到所述平面(例如,側壁426-2,如圖4C所示)。這可以從圖3中推斷,其中沒有看到BPR通孔410-3,因為它在它所耦接的TCN2 314下方。對於BPR通孔410-3和TCN2 314的側壁垂直於支撐結構102的理想情況,第一對自對準(也就是說,單一平面)側壁可以是沿第一y-z平面的側壁,而第二對自對準(也就是說,單一平面)側壁可以是沿著第二y-z平面的側壁,其距離等於BPR通孔410-3在x軸方向上的尺寸。然而,類似的自對準考慮也適用於BPR通孔410-3和TCN2 314的側壁不是100%垂直於支撐結構102的非理想情況。BPR通孔410-3的自對準,在所示範例座標系的x軸方向上,它耦接到的TCN2 314可能是在介電質材料306內使用TCN2 314的遮罩填充開口310形成用於BPR通孔410-3的開口的結果。
本案圖式說明TCN2 314可以在y軸方向上與BPR通孔410-3完全重疊。然而,在其它實施例中(在本案圖式中未具體顯示),TCN2 314可以僅部分地與BPR通孔410-3重疊。換言之,在一些實施例中,TCN2 314的一或多種導電材料在與BPR 320的長度垂直且平行於支撐結構102的方向上(也就是說,在所示範例座標系的y軸方向上)不完全重疊BPR通孔410-3的一或多種導電材料。這可能是由於在形成BPR通孔410-3之後TCN2 314的切口。
因為BPR閘極切口410-1和BPR通孔410-3都形成在開口310內,所以它們的寬度(也就是說,沿所示範例座標系的x軸測量的尺寸)可以實質上相同。在一些實施例中,它們的寬度(以及因此開口310的寬度)可以小於BPR 320的寬度,如圖4A和4B的實施例所示。然而,在其它實施例中,開口310的寬度可以與BPR 320的寬度實質上相同,例如,如圖5A和5B所示並在下面描述。
圖5A和5B顯示了與IC結構300相似的IC結構500,除了在IC結構500中,開口310的寬度可以與BPR 320的寬度實質上相同。除了這個不同,其它IC結構300的描述適用於IC結構500,因此,為簡潔起見,不再重複。圖5A和5B中所示的實施例可能是有利的,因為可以首先形成類似於開口310的開口,一直向下延伸到支撐結構102中,可能延伸到支撐結構102的第一面404-1。這種開口可以接著用一或多種導電材料填充以形成如本文所述的BPR 320,接著用一或多種介電質材料填充以形成BPR閘極切口410-1,及接著可以用一或多種導電材料填充開口的一部分以形成BPR通孔410-3,如本文所述。以此方式,BPR閘極切口410-1和BPR通孔410-3中之各者可以在垂直於BPR 320的長度並且平行於支撐結構102的方向上(也就是說,在所示範例座標系的y軸方向上)自對準到BPR 320。在此上下文中,自對準可能意味著BPR 320的第一側壁502-1可以與BPR閘極切口410-1的第一側壁504-1在單一平面中(如圖5A所示)並且與BPR通孔410-3的第一側壁506-1在單一平面中(如圖5B所示),而BPR 320的第二側壁502-2可以與BPR閘極切口410-1的第二側壁504-2在單一平面中(如圖5A所示)並與BPR通孔410-3的第二側壁506-2在單一平面中(如圖5B所示)。因為,如上所述,BPR閘極切口410-1和BPR通孔410-3形成在共同開口310內,針對IC結構500和IC結構300兩者,這意味著對於給定的BPR閘極切口410-1,BPR閘極切口410-1的第一側壁504-1與BPR通孔410-3的第一側壁506-1在單一平面中,並且BPR閘極切口410-1的第二側壁504-2與BPR通孔410-3的第二側壁506-2在單一平面中。因此,針對IC結構500和IC結構300兩者,BPR閘極切口410-1的側壁可以與BPR通孔410-3的側壁自對準。
回到IC結構300,但也適用於IC結構500,如圖3、4A和5A所示,在一些實施例中,IC結構300還可以包括另一個金屬閘極切口,設置在開口308中。因為開口308不在BPR 320(或任何BPR)上方,所以這個金屬閘極切口可以被稱為非BPR閘極切口308。儘管圖3中顯示了非BPR閘極切口308設置在鰭104-1和104-2之間並沿著某一金屬閘極線212,在其它實施例中,非BPR閘極切口308的位置可以不同。
與BPR閘極切口410-1類似,非BPR閘極切口308可以切穿給定閘極金屬線212的閘極電極材料,從而將閘極電極材料分成兩個不同的部分(例如,部分412-1和412-3,如圖4A和5A所示),它們彼此電絕緣。為此,類似於BPR閘極切口410-1,非BPR閘極切口308可以從其打算切割的閘極電極材料的頂部延伸到所述閘極電極材料的底部下方。在一些實施例中,非BPR閘極切口308的寬度可以小於BPR閘極切口410-1的寬度(例如,沿所示範例座標系的y軸測量的尺寸),如圖3、4A和5A所示。然而,在其它實施例中,非BPR閘極切口308的寬度可以等於或大於BPR閘極切口410-1的寬度。
此外,在一些實施例中,BPR閘極切口410-1的深度可以小於非BPR閘極切口308的深度(例如,沿所示範例座標系的z軸測量的尺寸),如圖3、4A和5A所示。這是因為BPR閘極切口410-1的深度由位於金屬閘極線212下方的BPR 320的凹槽深度定義(也就是說,BPR閘極切口410-1形成在開口310內和並且開口310可以透過相對於BPR 320的導電材料具有蝕刻選擇性的蝕刻程序來形成),而非BPR閘極切口308的深度可以由用於形成非BPR閘極切口308的開口的蝕刻程序來限定,因此通常不會與BPR閘極切口410-1的深度相同。儘管在本案圖式中未具體顯示,但在一些實施例中,非BPR閘極切口308可以具有實質上位於非BPR閘極切口308的y軸中心並且沿著ab x-z平面在垂直方向上延伸的接縫。如果非BPR閘極切口308是高縱橫比開口,由於將一或多種介電質材料沉積到用於非BPR閘極切口308的開口中,這種接縫可能會發生。例如,這種接縫其特徵可能在於使用原子層沉積(ALD)沉積來用金屬閘極切口介電質材料填充非BPR閘極切口308的開口。
類似於BPR閘極切口410-1,非BPR閘極切口308可以包括一或多種介電質材料,例如,上述的任何介電質材料。在一些實施例中,BPR閘極切口410-1和非BPR閘極切口308可以具有實質上相同的材料成分。然而,在其它實施例中,非BPR閘極切口308的材料成分可能與BPR閘極切口410-1的材料成分不同,例如,當這些閘極切口在IC結構300或500的製造期間在不同程序中形成和填充時如圖3、4A和5A所示,其中不同的圖案用於顯示BPR閘極切口410-1和非BPR閘極切口308。特別是,在一些實施例中,例如,當非BPR閘極切口308在BPR閘極切口410-1之前形成並且(至少部分地)填充有在圖案化期間用作蝕刻停止的介電質材料(例如氮化矽),而BPR閘極切口410-1(至少部分地)填充有諸如氧化矽的材料時,非BPR閘極切口308的介電質材料的介電常數可以高於BPR閘極切口410-1的介電質材料的介電常數。 範例製造方法
圖6提供根據本揭露的一個實施例的製造在BPR上方具有一或多個閘極切口的IC結構的範例方法600的流程圖。例如,方法600可用於製造IC結構,如本文所述的IC結構300。
儘管方法600的操作被分別以特定順序顯示一次,但是這些操作可以用任何合適的順序執行並且根據需要重複。例如,可以並行執行一或多個操作以實質上同時製造如本文所述具有在BPR之上的閘極切口的多個IC結構。在另一範例中,可以用不同的順序執行操作以反映特定裝置組件的結構,其中將包括如本文所述具有在BPR之上的閘極切口的一或多個IC結構。
此外,範例製造方法600可以包括圖6中未具體顯示的其它操作,如本領域已知的各種清潔或平坦化操作。例如,在一些實施例中,支撐結構及隨後沉積在其上的各種其它材料的層可以在本文所述的方法600的任何程序之前、之後或期間進行清潔,例如,以移除氧化物,表面結合的有機和金屬污染物,以及地下污染物。在一些實施例中,可以使用例如化學溶液(諸如過氧化物)和/或使用與臭氧結合的紫外線(UV)輻射來進行清潔,和/或氧化表面(例如使用熱氧化)接著移除氧化物(例如,使用氫氟酸(HF))。在另一範例中,本文描述的佈置/裝置可以在本文描述的方法600的任何程序之前、之後或期間被平坦化,例如,以移除覆蓋層或多餘材料。在一些實施例中,可以使用濕式或乾式平坦化程序來進行平坦化,例如,平坦化是化學機械平坦化(CMP),其可以理解為利用研磨表面、磨料和漿料來移除覆蓋層和平坦化表面的程序。
如圖6所示,方法600可以從程序602開始,其包括提供第一通道結構和第二通道結構(例如,第一和第二鰭或奈米帶),第一通道結構和第二通道結構包括一或多種半導體材料。方法600還可以包括程序604,其包括提供閘極電極材料,所述閘極電極材料具有至少部分地環繞第一通道結構的一部分的第一部分和至少部分地環繞第二通道結構的一部分的第二部分。方法600還可以包括程序606,其包括提供電力軌,在水平方向上延伸以平行於第一通道結構和第二通道結構並在第一通道結構和第二通道結構之間,以及透過在閘極電極材料的第一和第二部分的底部下方具有電力軌的頂部而在垂直方向上延伸。方法600還可以包括程序608,其包括提供開口,在水平方向上延伸以平行於電力軌並在其上方,以及在垂直方向上從電力軌的頂部延伸到閘極電極材料的第一和第二部分的頂部,所述開口具有作為在閘極電極材料的第一和第二部分之間的開口的部分的第一部分,並且還具有作為開口的不在閘極電極材料的第一和第二部分之間的開口的部分的第二部分。方法600還可包括程序610和程序612,其分別包括用一或多種介電質材料至少部分地填充開口的第一部分,以及用一或多種導電材料至少部分地填充開口的第二部分,其中一或多種導電材料耦接到電力軌。 變體和實現
參考圖1至6所示和描述的IC結構並不表示其中可以整合如本文所述的具有在BPR上方的閘極切口的一或多個IC結構的詳盡的組件集,而僅提供這種佈置的範例。例如,雖然本文提供的描述和圖式是指FinFET,但這些描述和圖式同樣適用於實施例,除了基於合適通道材料的細長通道結構形成的FinFET之外的任何其它非平面FET,例如,奈米帶電晶體、奈米線電晶體或諸如奈米帶/奈米線電晶體但具有任何幾何形狀(例如,橢圓形或具有圓角的多邊形)的橫截面的電晶體。在另一個範例中,儘管參考圖1至6討論了材料的特定佈置,中間材料可以包括在這些圖式的各個部分中。此外,雖然圖1至6可以說明各種元件,例如圖5中所示的各種開口,金屬閘極線212等的閘極電極材料具有完全筆直的側壁輪廓,即側壁垂直於支撐結構102延伸的輪廓,這些理想的輪廓在實際製造程序中可能並不總是可實現的。也就是說,雖然設計為具有筆直的側壁輪廓,但現實世界的開口可以作為製造圖1至6所示的IC結構的各種元件的一部分而形成,圖1至6可能最終具有所謂的「非凹入」輪廓,其中開口頂部的寬度大於開口底部的寬度,或「凹入」輪廓,其中開口頂部的寬度小於開口底部的寬度。通常,由於現實世界的開口沒有完全筆直的側壁,填充開口的材料內可能會形成缺陷。例如,通常對於凹入輪廓而言,空隙可以形成在開口的中心,其中填充開口的給定材料的生長在開口的頂部夾斷。因此,如本文所提供的具有BPR之上的閘極切口的IC結構的各種實施例的描述同樣適用於IC結構的各種元件由於用於形成它們的製造程序而看起來與圖式中所示的那些不同的實施例。 範例電子裝置
本文所揭露的具有BPR之上的閘極切口的IC結構可以包括在任何合適的電子裝置中。例如,根據本文描述的任何實施例的IC結構300可以是記憶體裝置、計算裝置、可穿戴裝置、手持電子裝置和無線通訊裝置中的至少一個的一部分。圖7至10顯示了組件和裝置的各種範例,其可以包括如本文所揭露的具有BPR之上的閘極切口的一或多個IC結構。
圖7A-7B是晶圓2000和晶粒2002的俯視圖,其可包括根據本文所揭露的任何實施例的具有BPR之上的閘極切口的一或多個IC結構。在一些實施例中,根據本文揭露的任何實施例,晶粒2002可以被包括在IC封裝中。例如,任何晶粒2002可以用作圖8所示的IC封裝2200中的任何晶粒2256。晶圓2000可以由半導體材料構成,並且可以包括具有形成在晶圓2000的表面上的IC結構的一或多個晶粒2002。晶粒2002中之各者可以是包括任何合適IC(例如,包括如本文所描述的包括在BPR之上的一或多個閘極切口的IC)的半導體產品的重複單元。在完成半導體產品的製造之後(例如,在製造如本文所描述的具有在至少一個BPR之上的至少一個閘極切口的一或多層IC結構之後),晶圓2000可以經歷分割程序,其中晶粒2002中之各者彼此分離以提供半導體產品的離散「晶片」。特別是,包括如本文所揭露的具有在BPR之上的閘極切口的一或多個IC結構的裝置可以採取晶圓2000的形式(例如,不分割)或晶粒2002的形式(例如,分割)。晶粒2002可以包括支援電路,以將電訊號路由到各種記憶體單元、電晶體、電容器,以及任何其它IC元件。在一些實施例中,晶圓2000或晶粒2002可以實現或包括記憶體裝置(例如,靜態RAM(SRAM)裝置)、邏輯裝置(例如,AND、OR、NAND或NOR閘)或任何其它合適的電路元件。這些裝置中的多個裝置可以在單一晶粒2002上組合。例如,由多個記憶體裝置形成的記憶體陣列可以與處理裝置(例如,圖10的處理裝置2402)或者被配置成將資訊儲存在記憶體裝置中或執行儲存在記憶體陣列中的指令之其它邏輯形成在相同的晶粒2002上。
圖8是範例IC封裝2200的側面橫截面圖,所述IC封裝2200可包括根據本文揭露的任何實施例的具有在BPR之上的閘極切口的一或多個IC結構。在一些實施例中,IC封裝2200可以是系統級封裝(SiP)。
封裝基板2252可以由介電質材料(例如,陶瓷、堆積膜,其中具有填料顆粒的環氧膜等)形成,並且可以具有延伸穿過面2272和面2274之間的介電質材料,或者在面2272上的不同位置之間,和/或在面2274上的不同位置之間的導電路徑。
封裝基板2252可以包括導電接點2263,其透過封裝基板2252耦接到導電路徑2262,從而允許晶粒2256和/或中介層2257內的電路電耦接到各種導電接點2264(或封裝基板2252中包括的其它裝置(未顯示))。
IC封裝2200可以包括經由中介層2257的導電接點2261、第一級互連2265和封裝基板2252的導電接點2263耦接到封裝基板2252的中介層2257。如圖8所示的第一級互連2265是焊料凸塊,但是可以使用任何合適的第一級互連2265。在一些實施例中,在IC封裝2200中可以不包括中介層2257;取而代之,晶粒2256可以透過第一級互連2265在面2272處直接耦接到導電接點2263。
IC封裝2200可以包括經由晶粒2256的導電接點2254、第一級互連2258和中介層2257的導電接點2260耦接到中介層2257的一或多個晶粒2256。導電接點2260可以穿過中介層2257耦接到導電通路(未顯示),以允許晶粒2256內的電路電耦接到導電接點2261中之各者(或者耦接到包括在中介層2257中的其它裝置,未顯示)。圖8中所示的第一級互連2258是焊料凸塊,但是可以使用任何合適的第一級互連2258。如本文所用,「導電接點」可以指用作不同元件之間的介面的導電材料(例如,金屬)的一部分;導電接點可以凹入元件表面、與元件表面齊平或遠離元件表面延伸,並且可以採用任何合適的形式(例如,導電墊或插座)。
在一些實施例中,底部填充材料2266可被設置在封裝基板2252和在第一級互連2265周圍的中介層2257之間,而模製化合物2268可被設置在晶粒2256和中介層2257周圍並且與封裝基板2252接觸。在一些實施例中,底部填充材料2266可以與模製化合物2268相同。可以用於底部填充材料2266和模製化合物2268的範例材料為環氧樹脂模製材料,如果合適的話。第二級互連2270可以耦接到導電接點2264。圖8中顯示的第二級互連2270是焊球(例如,用於球閘格陣列佈置),但是可以使用任何合適的第二級互連2270(例如,引腳閘格陣列佈置中的引腳或平面閘格陣列佈置中的平面)。第二級互連2270可用於將IC封裝2200耦接到另一元件,諸如電路板(例如,主機板)、中介層或另一IC封裝,如本領域中已知的並且如下面參考圖9討論的。
晶粒2256可以採取本文所討論的晶粒2002的任何實施例的形式(例如,可以包括如本文所述的具有在BPR之上的閘極切口的IC結構的任何實施例)。在IC封裝2200包括多個晶粒2256的實施例中,IC封裝2200可以被稱為多晶片封裝(MCP)。晶粒2256可以包括執行任何所需功能的電路。例如,晶粒2256中的一或多個可以是邏輯晶粒(例如,基於矽的晶粒),並且晶粒2256中的一或多個可以是記憶體晶粒(例如,高頻寬記憶體)。在一些實施例中,晶粒2256中的任一個可以包括如上討論的具有在BPR之上的閘極切口的一或多個IC結構;在一些實施例中,晶粒2256中的至少一些可以不包括具有在BPR之上的閘極切口的任何IC結構。
儘管圖8中所示的IC封裝2200是倒裝晶片封裝,可以使用其它封裝架構。例如,IC封裝2200可以是球閘格陣列(BGA)封裝,如嵌入式晶圓級球閘格陣列(eWLB)封裝。在另一範例中,IC封裝2200可以是晶圓級晶片規模封裝(WLCSP)或面板扇出(FO)封裝。儘管在圖8的IC封裝2200中顯示兩個晶粒2256,IC封裝2200可以包括任何所需數目的晶粒2256。IC封裝2200可以包括額外的被動元件,如設置在封裝基板2252的第一面2272或第二面2274上的表面安裝電阻器、電容器和電感器。更一般地,IC封裝2200可以包括本領域中已知的任何其它主動或被動元件。
圖9是可包括根據本文所揭露的任何實施例的具有在BPR之上的閘極切口的一或多個IC結構的元件的IC裝置組件2300的橫截面側視圖。IC裝置組件2300包括設置在電路板2302(其可以例如是主機板)上的多個元件。IC裝置組件2300包括設置在電路板2302的第一面2340和電路板2302的相對第二面2342上的元件;通常,元件可以設置在一個或兩個面2340和2342上。特別是,IC裝置組件2300的元件的任何合適的那些可包括根據本文所揭露的任何實施例的具有在BPR之上的閘極切口的任何一或多個IC結構;例如,下面參考IC裝置組件2300討論的任何IC封裝可以採用上面參考圖8討論的IC封裝2200的任何實施例的形式(例如,可以包括設置在晶粒2256上的具有在BPR之上的閘極切口的一或多個IC結構)。
在一些實施例中,電路板2302可以是包括藉由多層介電質材料彼此分離並且藉由導電通孔相互連接的多個金屬層的印刷電路板(PCB)。可以用所需的電路圖案形成任何一或多個金屬層,以在耦接到電路板2302的元件之間路由電訊號(選擇性地與其它金屬層結合)。在其它實施例中,電路板2302可以是非PCB基板。
圖9中所示的IC裝置組件2300包括藉由耦接元件2316耦接到電路板2302的第一面2340的中介層上封裝結構2336。耦接元件2316可以將中介層上封裝結構2336電耦接和機械耦接到電路板2302,並且可包括焊球(如圖9所示)、插座的凸形和凹形部分、黏合劑、底部填充材料和/或任何其它合適的電子​​和/或機械耦接結構。
中介層上封裝結構2336可以包括藉由耦接元件2318耦接到中介層2304的IC封裝2320。可以針對應用採取任何合適形式的耦接元件2318,諸如上面參考耦接元件2316討論的形式。IC封裝2320可以例如是或包括晶粒(圖7B的晶粒2002)、IC裝置,或任何其它合適的元件。特別是,IC封裝2320可以包括如本文所述的具有在BPR之上的閘極切口的一或多個IC結構。儘管在圖9中顯示單一IC封裝2320,然而,可以將多個IC封裝耦接到中介層2304;並且實際上,額外的中介層可以耦接到中介層2304。中介層2304可以提供用於橋接電路板2302和IC封裝2320的中介基板。通常,中介層2304可以將連接擴展到更寬的間距或者將連接重新路由到不同的連接。例如,中介層2304可以將IC封裝2320(例如,晶粒)耦接到耦接元件2316的BGA,以耦接到電路板2302。在圖9所示的實施例中,IC封裝2320和電路板2302附接到中介層2304的相對側;在其它實施例中,IC封裝2320和電路板2302可以附接到中介層2304的同一側。在一些實施例中,三個或更多個元件可以藉由中介層2304的方式互連。
中介層2304可以由環氧樹脂、玻璃纖維增強環氧樹脂、陶瓷材料或諸如聚醯亞胺的聚合物材料形成。在一些實現中,中介層2304可以由交替的剛性或柔性材料形成,其可以包括上述用於半導體基板的相同材料,諸如矽、鍺和其它III-V族和IV族材料。中介層2304可以包括任何數目的金屬線2310、通孔2308和穿矽通孔(TSV)2306。中介層2304還可以包括含有被動與主動裝置兩者的嵌入式裝置2314。這些裝置可以包括(但不限於)電容器、解耦電容器、電阻器、電感器、熔絲、二極體、變壓器、感測器、靜電放電(ESD)保護裝置和記憶體裝置。更複雜的裝置,如射頻(RF)裝置、功率放大器、電力管理裝置、天線、陣列、感測器、及微機電系統(MEMS)裝置也可以形成在中介層2304上。中介層上封裝結構2336可以採用本領域中已知的任何中介層上封裝結構的形式。
IC裝置組件2300可以包括藉由耦接元件2322耦接到電路板2302的第一面2340的IC封裝2324。耦接元件2322可以採取任何上面參考耦接元件2316討論的任何實施例的形式,而IC封裝2324可以採用上面參考IC封裝2320討論的任何實施例的形式。
圖9中所示的IC裝置組件2300包括藉由耦接元件2328耦接到電路板2302的第二面2342的堆疊式封裝結構2334。堆疊式封裝結構2334可以包括藉由耦接元件2330耦接在一起的IC封裝2326和IC封裝2332,使得IC封裝2326設置在電路板2302和IC封裝2332之間。耦接元件2328和2330可以採用上面討論的耦接元件2316的任何實施例的形式,而IC封裝2326和2332可以採用上面討論的IC封裝2320的任何實施例的形式。可以根據本領域中已知的任何堆疊式封裝結構來配置堆疊式封裝結構2334。
圖10是根據本文揭露的任何實施例的範例計算裝置2400的方塊圖,其可包括具有在BPR之上的閘極切口的一或多個IC結構的一或多個元件。例如,計算裝置2400的任何合適的元件可包括根據本文揭露的任何實施例的實現具有在BPR之上的閘極切口的一或多個IC結構的晶粒(例如,晶粒2002,如圖7B所示)。計算裝置2400的任何元件可以包括IC封裝2200(例如,如圖8所示)。計算裝置2400的任何元件可以包括IC裝置組件2300(例如,如圖9所示)。
圖10中顯示如包括在計算裝置2400中的許多元件,但是可以省略或複製這些元件中的任何一或多個,以適合於該應用。在一些實施例中,計算裝置2400中包括的一些或所有元件可以附接到一或多個主機板。在一些實施例中,這些元件中的一些或全部被製造到單一系統單晶片(SoC)晶粒上。
此外,在各種實施例中,計算裝置2400可以不包括圖10中所示的元件中的一或多個,但計算裝置2400可以包括用於耦接到一或多個元件的介面電路。例如,計算裝置2400可以不包括顯示裝置2406,但是可以包括顯示裝置2406可以耦接到的顯示裝置介面電路(例如,連接器和驅動器電路)。在另一組範例中,計算裝置2400可以不包括音訊輸入裝置2418或音訊輸出裝置2408,但是可以包括音訊輸入裝置2418或音訊輸出裝置2408可以耦接到的音訊輸入或輸出裝置介面電路(例如,連接器和支援電路)。
計算裝置2400可以包括處理裝置2402(例如,一或多個處理裝置)。如本文所使用的,用語「處理裝置」或「處理器」可以指處理來自暫存器和/或記憶體的電子資料以將所述電子資料轉換成可以儲存在暫存器和/或記憶體中的其它電子資料的任何裝置或裝置的一部分。處理裝置2402可以包括一或多個數位訊號處理器(DSP)、特殊應用積體電路(ASIC)、中央處理單元(CPU)、圖形處理單元(GPU)、加密處理器(在硬體內執行加密演算法的專用處理器)、伺服器處理器或任何其它合適的處理裝置。計算裝置2400可以包括記憶體2404,其本身可以包括一或多個記憶體裝置,諸如揮發性記憶體(例如,DRAM)、非揮發性記憶體(例如,唯讀記憶體(ROM))、快閃記憶體、固態記憶體和/或硬碟。在一些實施例中,記憶體2404可以包括與處理裝置2402共用晶粒的記憶體。
在一些實施例中,計算裝置2400可以包括通訊晶片2412(例如,一或多個通訊晶片)。例如,通訊晶片2412可以被配置用於管理用於向計算裝置2400傳送資料和從計算裝置2400傳送資料的無線通訊。用語「無線」及其衍生詞可以用於描述可以透過非固定媒體使用調變電磁輻射來傳送資料的電路、裝置、系統、方法、技術、通訊通道等。該用語並不暗示相關裝置不包括任何佈線,儘管在一些實施例中它們可能不包括任何佈線。
通訊晶片2412可以實現多種無線標準或協定中的任何一種,其包括但不限於電子和電機工程師協會(IEEE)標準,其包括Wi-Fi(IEEE 802.11系列)、IEEE 802.16標準(例如,IEEE 802.16-2005修訂版)、長期演進(LTE)計畫以及任何修訂版、更新版和/或再版(例如,高階LTE計畫、超行動寬頻(UMB)計畫(也稱為「3GPP2」)等)。IEEE 802.16相容寬頻無線存取(BWA)網路通常被稱為WiMAX網路,其代表全球微波連接互通,其是透過IEEE 802.16標準的一致性和互操作性測試的產品的認證符號。通訊晶片2412可以根據全球行動通訊系統(GSM)、通用封包無線電服務(GPRS)、通用行動電信系統(UMTS)、高速封包存取(HSPA)、演進的HSPA(E-HSPA)或LTE網路來操作。通訊晶片2412可以根據用於GSM演進的增強資料(EDGE)、GSM EDGE無線電存取網路(GERAN)、通用地面無線電存取網路(UTRAN)或演進UTRAN(E-UTRAN)來操作。通訊晶片2412可以根據分碼多重存取(CDMA)、分時多重存取(TDMA)、數位增強無線電信(DECT)、演進資料最佳化(EV-DO)及其衍生物,以及被指定為3G、4G、5G等的任何其它無線協定來操作。在其它實施例中,通訊晶片2412可以根據其它無線協定來操作。計算裝置2400可以包括天線2422以促進無線通訊和/或接收其它無線通訊(諸如AM或FM無線電傳輸)。
在一些實施例中,通訊晶片2412可以管理有線通訊,諸如電、光或任何其它合適的通訊協定(例如,乙太網路)。如上所述,通訊晶片2412可以包括多個通訊晶片。例如,第一通訊晶片2412可以專用於諸如Wi-Fi或藍芽的短程無線通訊,而第二通訊晶片2412可以專用於諸如全球定位系統(GPS)、EDGE、GPRS、CDMA、WiMAX、LTE、EV-DO或其它的遠程無線通訊。在一些實施例中,第一通訊晶片2412可以專用於無線通訊,而第二通訊晶片2412可以專用於有線通訊。
計算裝置2400可包括電池/電源電路2414。電池/電源電路2414可包括一或多個能量儲存裝置(例如,電池或電容器)和/或用於將計算裝置2400的元件耦接到與計算裝置2400分開的能量源(例如,AC線路電力)的電路。
計算裝置2400可包括顯示裝置2406(或對應的介面電路,如上文所討論的)。顯示裝置2406可以包括任何視覺指示器,例如諸如抬頭顯示器、電腦監視器、投影機、觸控螢幕顯示器、液晶顯示器(LCD)、發光二極體顯示器或平板顯示器。
計算裝置2400可包括音訊輸出裝置2408(或對應的介面電路,如上文所討論的)。音訊輸出裝置2408可以包括產生可聽指示器的任何裝置,例如諸如揚聲器、頭戴式耳機或耳塞式耳機。
計算裝置2400可包括音訊輸入裝置2418(或對應的介面電路,如上文所討論的)。音訊輸入裝置2418可以包括產生表示聲音的訊號的任何裝置,諸如麥克風、麥克風陣列或數位儀器(例如,具有樂器數位介面(MIDI)輸出的儀器)。
計算裝置2400可以包括GPS裝置2416(或對應的介面電路,如上文所討論的)。GPS裝置2416可以與基於衛星的系統進行通訊,並且可以接收計算裝置2400的位置,如本領域中已知的。
計算裝置2400可包括其它輸出裝置2410(或對應的介面電路,如上文所討論的)。其它輸出裝置2410的範例可以包括音訊編解碼器、視訊編解碼器、印表機、用於向其它裝置提供資訊的有線或無線發送器,或額外的儲存裝置。
計算裝置2400可包括其它輸入裝置2420(或對應的介面電路,如上文所討論的)。其它輸入裝置2420的範例可以包括加速度計、陀螺儀、羅盤、影像擷取裝置、鍵盤,諸如滑鼠的游標控制裝置、觸控筆、觸摸板、條碼讀取器、快速響應(QR)代碼閱讀器、任何感測器或射頻識別(RFID)閱讀器。
計算裝置2400可具有任何所需的形狀因子,諸如手持式或行動計算裝置(例如,蜂巢式電話、智慧型電話、行動網際網路裝置、音樂播放器、平板電腦、膝上型電腦、小筆電、超輕薄筆電、個人數位助理(PDA)、超行動個人電腦等)、桌上型計算裝置、伺服器或其它聯網計算元件、印表機、掃描器、監視器、機上盒、娛樂控制單元、車輛控制單元、數位相機、數位視訊記錄器或可穿戴計算裝置。在一些實施例中,計算裝置2400可以是處理資料的任何其它電子裝置。 選擇範例
以下段落提供本文揭露的實施例的各種範例。
範例1提供包括第一通道結構和第二通道結構(例如,第一和第二鰭或奈米帶)的IC結構,包括一或多種半導體材料;閘極電極材料,其具有至少部分環繞所述第一通道結構的一部分的第一部分且具有至少部分環繞所述第二通道結構的一部分的第二部分;電力軌,其在平行於所述第一通道結構和所述第二通道結構並且在所述第一通道結構和所述第二通道結構之間的水平方向上延伸,並且在具有在所述閘極電極材料的所述第一部分和所述第二部分的底部下方的所述電力軌的頂部的垂直方向上延伸;以及開口,其在平行於所述電力軌並在所述電力軌上方的水平方向上延伸,並在垂直方向上從所述電力軌的所述頂部延伸到所述閘極電極材料的所述第一部分和所述第二部分的頂部,所述開口具有作為所述開口位於所述閘極電極材料的所述第一部分和所述第二部分之間的部分的第一部分,並且還具有作為所述開口不位於所述閘極電極材料的所述第一部分和所述第二部分之間的部分的第二部分。在這種IC結構中,所述開口的所述第一部分至少部分地填充有一或多種介電質材料(也就是說,所述開口的所述第一部分是BPR閘極切口,在本文中也稱為「BPR上方的閘極切口」),並且所述開口的所述第二部分至少部分地填充有一或多種導電材料(也就是說,所述開口的所述第二部分是BPR通孔)。
範例2提供根據範例1的IC結構,其中所述開口的所述第一部分的寬度實質上等於所述開口的所述第二部分的寬度,其中在本範例中,寬度是沿平行於所述第一通道結構和所述第二通道結構設置在其上的所述支撐結構並且垂直於所述第一通道結構和所述第二通道結構的長度的方向測量的尺寸。
範例3提供根據範例1或2的IC結構,其中所述開口的所述第一部分和所述第二部分的寬度小於所述電力軌的寬度。
範例4提供根據範例1或2的IC結構,其中所述開口的所述第一部分和所述第二部分的寬度實質上等於所述電力軌的寬度。
範例5提供根據前述範例中任一者的IC結構,其中所述電力軌的所述頂部低於所述閘極電極材料的所述第一部分和所述第二部分的所述底部至少5奈米,例如至少7奈米或至少10奈米。
範例6提供根據前述範例中任一者的IC結構,其中所述開口的所述第二部分的所述一或多種導電材料與所述電力軌的一或多種導電材料接觸。
範例7提供根據前述範例中任一者的IC結構,其中所述開口的所述第二部分的所述一或多種導電材料電耦接到所述電力軌並且電耦接到電晶體的S/D接點,以及其中所述電晶體具有包括所述第一通道結構的一部分的通道和包括所述閘極電極材料的所述第一部分的閘極,或者所述電晶體具有包括所述第二通道結構的一部分的所述通道和包括所述閘極電極材料的所述第二部分的所述閘極。換句話說,即使圖3說明BPR 320耦接到沿鰭104-3提供的電晶體202的S/D區域114,在其它實施例中,BPR 320可以耦接到沿圖3的鰭104-2提供的電晶體的S/D區域114。
範例8提供根據範例7的IC結構,還包括第一溝槽接點(TCN1),其設置在所述電晶體的所述S/D接點材料上方並電耦接到(例如,導電接觸)所述S/D接點材料;及第二溝槽接點(TCN2),其設置在所述第一溝槽接點上方並電耦接到(例如,導電接觸)所述第一溝槽接點,其中所述開口的所述第二部分的所述一或多種導電材料電耦接到(例如,導電接觸)所述第二溝槽接點並且在平行於所述電力軌的長度的方向上自對準到所述第二溝槽接點。
第一通道結構和第二通道結構及電力軌中之各者可以是細長結構,其具有在座標系的第一軸(例如,本案圖式中所示的範例座標系的x軸)的方向上測量的長度、在座標系的第二軸的方向(例如,本案圖式中所示的範例座標系的y軸)測量的寬度,以及在座標系的第三軸的方向(例如,本案圖式中所示範例座標系的z軸)上測量的高度,其中長度大於寬度和高度。開口的第二部分在平行於電力軌長度的方向上自對準到第二溝槽接點接著意味著開口的第二部分在x軸的方向上自對準到第二溝槽接點。
範例9提供根據範例7或8的IC結構,還包括第一溝槽接點(TCN1),其設置在所述電晶體的所述S/D接點材料上方並電耦接(例如,導電接觸)到所述S/D接點材料;及第二溝槽接點(TCN2),其設置在所述第一溝槽接點上方並電耦接到(例如,導電接觸)所述第一溝槽接點,其中所述開口的所述第二部分的所述一或多種導電材料電耦接到(例如,導電接觸)所述第二溝槽接點,並且所述第二溝槽接點在垂直於所述電力軌的長度且平行於設置所述IC結構於其上的支撐結構的方向上不與所述開口的所述第二部分完全重疊。所述第二溝槽接點在垂直於所述電力軌的長度並且平行於設置所述IC結構於其上的支撐結構的方向上不與所述開口的所述第二部分完全重疊可能意味著所述第二溝槽接點在y軸的方向上不與所述開口的所述第二部分完全重疊。
範例10提供根據前述範例中任一者的IC結構,其中所述開口的所述第一部分將所述閘極電極材料的所述第一部分與所述閘極電極材料的所述第二部分電隔離。
範例11提供根據前述範例中任一者的IC結構,其中所述閘極電極材料為第一閘極電極材料,所述開口為第一開口,所述一或多種導電材料為一或多種第一導電材料,以及所述IC結構還包括第三通道結構(例如,第三鰭或奈米帶),其包括所述一或多種半導體材料、第二閘極電極材料,其具有至少部分環繞所述第三通道結構的一部分的第一部分且具有至少部分環繞所述第一通道結構的一部分的第二部分,以及第二開口,其位於所述第二閘極電極材料的所述第一部分和所述第二部分之間並且從所述第二閘極電極材料的所述第一部分和所述第二部分的頂部延伸到所述第二閘極電極材料的所述第一部分和所述第二部分的底部下方,其中所述第二開口至少部分地填充有一或多種第二介電質材料。
範例12提供根據範例11的IC結構,其中所述第二開口的寬度小於所述第一開口的寬度。
範例13提供根據範例11的IC結構,其中所述第二開口的寬度等於或大於所述第一開口的寬度。
範例14提供根據範例11至13中任一者的IC結構,還包括在所述一或多種第二介電質材料中的接縫。
範例15提供根據範例11至14中任一者的IC結構,其中所述IC結構還包括支撐結構(例如,基板、晶圓、晶片或晶粒),其具有第一面和相對的第二面,其中所述第一通道結構、所述第二通道結構和所述第三通道結構設置在所述支撐結構的所述第二面的不同部分之上,以及其中所述支撐結構的所述第一面與所述第二開口的底部之間的距離不同於所述支撐結構的所述第一面與所述第一開口的底部之間的距離。
範例16提供根據範例11至15中任一項的IC結構,其中所述一或多種第二介電質材料的材料成分與所述一或多種第一介電質材料的材料成分不同。
範例17提供根據範例11至16中任一者的IC結構,其中所述一或多種第一介電質材料的介電常數小於所述一或多種第二介電質材料的介電常數。
範例18提供根據範例11至17中任一者的IC結構,其中所述第二開口將所述第二閘極電極材料的所述第一部分與所述第二閘極電極材料的所述第二部分電隔離。
範例19提供一種電子裝置,其包括IC晶粒和耦接到所述IC晶粒的其它IC部件,其中所述IC晶粒包括一或多種半導體材料的複數個通道結構,其實質上彼此平行;一或多種閘極電極材料的複數條線,其實質上彼此平行,實質上垂直於所述複數個通道結構,並且至少部分地環繞所述複數個通道結構的部分;電力軌,其實質上平行於所述複數個通道結構中的第一通道結構和第二通道結構並在所述第一通道結構和所述第二通道結構之間,其中所述電力軌的頂部在所述複數條線下方;第一閘極切口,其包括一或多個第一介電質材料,並且設置在所述電力軌的一部分上方,使得至少部分地環繞所述第一通道結構的一部分的所述複數條線中的第一線的一部分與至少部分地環繞所述第二通道結構的一部分的所述第一線的一部分電隔離,以及第二閘極切口,其包括一或多種第二介電質材料,並且設置在所述複數個通道結構的所述第一通道結構和第三通道結構之間,使得至少部分地環繞所述第一通道結構的一部分的所述複數條線中的第二線的一部分與至少部分地環繞所述第三通道結構的一部分的所述第二線的一部分電隔離。
範例20提供根據範例19的電子裝置,其中所述一或多種第一介電質材料的介電常數小於所述一或多種第二介電質材料的介電常數。
範例21提供根據範例19或20的電子裝置,其中所述電子裝置為計算裝置或記憶體裝置。
範例22提供根據範例19或20的電子裝置,其中所述電子裝置為IC封裝。
範例23提供根據範例19至22中任一者的電子裝置,其中所述其它IC部件包括封裝基板、中介層或其它IC晶粒中之一者。
範例24提供根據範例19至23中任一者的電子裝置,其中所述IC晶粒包括記憶體裝置和計算裝置中之至少一者或者是其一部分。
範例25提供根據範例19至24中任一者的電子裝置,其中所述電子裝置為可穿戴電子裝置(例如,智慧手錶)或手持電子裝置(例如,行動電話)。
範例26提供如範例19至25中任一者的電子裝置,其中所述電子裝置還包括一或多個通訊晶片和天線。
範例27提供根據範例19至26中任一者的電子裝置,其中所述電子裝置為RF收發器。
範例28提供根據範例19至27中任一者的電子裝置,其中所述其它IC部件為主機板。
範例29提供根據範例19至28中任一者的電子裝置,其中所述IC晶粒包括根據範例1至18中任一項的IC結構。
範例30提供一種製造IC結構的方法,所述方法包括提供第一通道結構和第二通道結構(例如,第一和第二鰭或奈米帶),所述第一通道結構和所述第二通道結構包括一或多種半導體材料;提供閘極電極材料,其具有至少部分環繞所述第一通道結構的一部分的第一部分且具有至少部分環繞所述第二通道結構的一部分的第二部分;提供電力軌,其平行於所述第一通道結構和所述第二通道結構並且在所述第一通道結構和所述第二通道結構之間,並且具有在所述閘極電極材料的所述第一部分和所述第二部分的底部下方的所述電力軌的頂部;提供開口,其平行於所述電力軌並在所述電力軌上方,並從所述電力軌的所述頂部延伸到所述閘極電極材料的所述第一部分和所述第二部分的頂部,所述開口具有作為所述開口位於所述閘極電極材料的所述第一部分和所述第二部分之間的部分的第一部分,並且還具有作為所述開口不位於所述閘極電極材料的所述第一部分和所述第二部分之間的部分的第二部分;以一或多種介電質材料至少部分地填充所述開口的所述第一部分;以及以一或多種導電材料至少部分地填充所述開口的所述第二部分,其中所述一或多種導電材料耦接到所述電力軌。
範例31提供根據範例30的方法,還包括提供具有包括所述第二通道結構的一部分的通道和包括所述閘極電極材料的所述第二部分的閘極的電晶體,以及將所述開口的所述第二部分的所述一或多種導電材料電耦接到所述電晶體的源極或汲極(S/D)區域。
範例32提供根據範例30或31的方法,還包括用於形成根據前述範例中的任一個範例(例如,根據範例1至18中的任一個範例)的IC結構或根據前述範例中的任一個範例(例如,根據範例19至29中的任一個範例)的電子裝置的程序。
以上對本揭露的圖解說明實現的描述,包括在摘要中描述的內容,並非意於窮舉或將本揭露限制為所揭露的精確形式。儘管本文出於說明性目的描述了本揭露的具體實現和範例,但是如相關領域的技術人員將理解的,在本揭露的範圍內可以進行各種等效修改。可以根據以上詳細描述對本揭露進行這些修改。
100:FinFET 102:支撐結構 104:鰭 104-1:鰭 104-2:鰭 104-3:鰭 104-4:鰭 106:絕緣體材料;淺溝槽隔離 108:閘極堆疊 110:閘極介電質 112:閘極電極 114-1:第一S/D區域 114-2:第二S/D區域 200:IC結構 202:FinFET 204:通道材料 206:介電質材料 210:胞元單元 212:金屬閘極線 212-1:金屬閘極線 212-2:金屬閘極線 300:IC結構 306:介電質材料 308:開口 310:開口 312:第一溝槽接點(TCN1)材料 314:第二溝槽接點(TCN2)材料 316:介電質材料 320:BPR 402:距離 404-1:第一面 404-2:第二面 408:S/D接點 410-1:BPR閘極切口 410-2:第二部分 410-3:BPR通孔 412-1:第一部分 412-2:第二部分 422-1:側壁 422-2:側壁 426-1:側壁 426-2:側壁 500:IC結構 502-1:第一側壁 502-2:第二側壁 504-1:第一側壁 504-2:第二側壁 506-1:第一側壁 506-2:第二側壁 600:方法 602:程序 604:程序 606:程序 608:程序 610:程序 612:程序 2000:晶圓 2002:晶粒 2200:IC封裝 2252:封裝基板 2254:導電接點 2256:晶粒 2257:中介層 2258:第一級互連 2260:導電接點 2262:導電路徑 2263:導電接點 2266:底部填充材料 2268:模製化合物 2270:第二級互連 2272:面 2274:面 2300:IC裝置組件 2302:電路板 2304:中介層 2306:穿矽通孔(TSV) 2308:金屬互連 2310:通孔 2314:嵌入式裝置 2316:耦接元件 2318:耦接元件 2320:IC封裝 2322:耦接元件 2324:IC封裝 2326:IC封裝 2328:耦接元件 2330:耦接元件 2332:IC封裝 2334:堆疊式封裝結構 2336:中介層上封裝結構 2340:第一面 2342:第二面 2400:計算裝置 2402:處理裝置 2404:記憶體 2406:顯示裝置 2408:音訊輸出裝置 2410:其它輸出裝置 2412:通訊晶片 2414:電池/電源電路 2416:GPS裝置 2418:音訊輸入裝置 2420:其它輸入裝置 2422:天線
透過以下結合圖式的詳細描述,將容易理解實施例。為了便於描述,類似的參考符號表示類似的結構元件。在圖式的圖中,實施例透過範例而非限制的方式來說明。
[圖1]是根據本揭露的一些實施例的範例FinFET的透視圖。
[圖2]是根據本揭露的一些實施例的範例IC結構由上而下的視圖,其中可以實現在埋入式電力軌(BPR)上方的至少一個閘極切口。
[圖3]是根據本揭露的一些實施例的圖2的IC結構由上而下的視圖,其具有BPR上方的閘極切口。
[圖4A-4C]根據本揭露的一些實施例提供圖3的IC結構的橫截面側視圖,其具有沿各種平面截取的橫截面。
[圖5A-5B]根據本揭露的其它實施例提供圖3的IC結構的橫截面側視圖,其具有沿各種平面截取的橫截面。
[圖6]提供根據本揭露的一個實施例的製造具有BPR並且具有BPR上方的閘極切口的IC結構的範例方法的流程圖。
[圖7A和7B]分別是根據本文揭露的任何實施例的晶圓和晶粒的俯視圖,所述晶圓和晶粒可以包括具有BPR之上的閘極切口的一或多個IC結構。
[圖8]是根據本文揭露的任何實施例的IC封裝的橫截面側視圖,所述IC封裝可以包括具有BPR之上的閘極切口的一或多個IC結構。
[圖9]是根據本文揭露的任何實施例的IC裝置組件的橫截面側視圖,所述IC裝置組件可以包括具有BPR之上的閘極切口的一或多個IC結構。
[圖10]是根據本文揭露的實施例中的任何一個實施例的範例計算裝置的方塊圖,所述範例計算裝置可以包括具有BPR之上的閘極切口的一或多個IC結構。
100:FinFET
102:支撐結構
104:鰭
106:絕緣體材料;淺溝槽隔離
108:閘極堆疊
110:閘極介電質
112:閘極電極
114-1:第一S/D區域
114-2:第二S/D區域

Claims (20)

  1. 一種積體電路(IC)結構,包含: 第一通道結構和第二通道結構; 閘極電極材料,其具有至少部分環繞所述第一通道結構的一部分的第一部分且具有至少部分環繞所述第二通道結構的一部分的第二部分; 電力軌,其平行於所述第一通道結構和所述第二通道結構並且在所述第一通道結構和所述第二通道結構之間,並且具有在所述閘極電極材料的底部下方的所述電力軌的頂部;以及 開口,其平行於所述電力軌並在所述電力軌上方,並從所述電力軌的所述頂部延伸到所述閘極電極材料的所述第一部分和所述第二部分的頂部,所述開口具有作為所述開口位於所述閘極電極材料的所述第一部分和所述第二部分之間的部分的第一部分,並且還具有作為所述開口不位於所述閘極電極材料的所述第一部分和所述第二部分之間的部分的第二部分, 其中所述開口的所述第一部分至少部分地填充有一或多種介電質材料,並且所述開口的所述第二部分至少部分地填充有一或多種導電材料。
  2. 如請求項1的IC結構,其中所述開口的所述第一部分的寬度實質上等於所述開口的所述第二部分的寬度。
  3. 如請求項1的IC結構,其中所述開口的所述第一部分和所述第二部分的寬度小於所述電力軌的寬度。
  4. 如請求項1的IC結構,其中所述開口的所述第一部分和所述第二部分的寬度實質上等於所述電力軌的寬度。
  5. 如請求項1至4中任一項的IC結構,其中所述電力軌的所述頂部低於所述閘極電極材料的所述底部至少5奈米。
  6. 如請求項1至4中任一項的IC結構,其中所述開口的所述第二部分的所述一或多種導電材料與所述電力軌的一或多種導電材料接觸。
  7. 如請求項1至4中任一項的IC結構,其中所述開口的所述第二部分的所述一或多種導電材料電耦接到所述電力軌並且電耦接到電晶體的源極或汲極(S/D)接點,以及其中: 所述電晶體具有包括所述第一通道結構的一部分的通道和包括所述閘極電極材料的所述第一部分的閘極, 或者所述電晶體具有包括所述第二通道結構的一部分的所述通道和包括所述閘極電極材料的所述第二部分的所述閘極。
  8. 如請求項7的IC結構,還包含: 第一溝槽接點,其在所述電晶體的所述S/D接點材料上方並耦接到所述S/D接點材料;以及 第二溝槽接點,其在所述第一溝槽接點上方並耦接到所述第一溝槽接點, 其中所述開口的所述第二部分的所述一或多種導電材料耦接到所述第二溝槽接點並且在平行於所述電力軌的長度的方向上自對準到所述第二溝槽接點。
  9. 如請求項7的IC結構,還包含: 第一溝槽接點,其在所述電晶體的所述S/D接點材料上方並耦接到所述S/D接點材料;以及 第二溝槽接點,其在所述第一溝槽接點上方並耦接到所述第一溝槽接點, 其中所述開口的所述第二部分的所述一或多種導電材料耦接到所述第二溝槽接點,並且所述第二溝槽接點在垂直於所述電力軌的長度且平行於設置所述IC結構於其上的支撐結構的方向上不與所述開口的所述第二部分完全重疊。
  10. 如請求項1至4中任一項的IC結構,其中: 所述閘極電極材料為第一閘極電極材料, 所述開口為第一開口, 所述一或多種導電材料為一或多種第一導電材料,以及 所述IC結構還包括: 第三通道結構,其包括所述一或多種半導體材料, 第二閘極電極材料,其具有至少部分環繞所述第三通道結構的一部分的第一部分且具有至少部分環繞所述第一通道結構的一部分的第二部分,以及 第二開口,其位於所述第二閘極電極材料的所述第一部分和所述第二部分之間並且從所述第二閘極電極材料的所述第一部分和所述第二部分的頂部延伸到所述第二閘極電極材料的所述第一部分和所述第二部分的底部下方, 其中所述第二開口至少部分地填充有一或多種第二介電質材料。
  11. 如請求項10的IC結構,還包含在所述一或多種第二介電質材料中的接縫。
  12. 如請求項10的IC結構,其中: 所述IC結構還包括支撐結構,其具有第一面和相對的第二面, 所述第一通道結構、所述第二通道結構和所述第三通道結構在所述支撐結構的所述第二面的不同部分之上,以及 所述支撐結構的所述第一面與所述第二開口的底部之間的距離不同於所述支撐結構的所述第一面與所述第一開口的底部之間的距離。
  13. 如請求項10的IC結構,其中所述一或多種第二介電質材料的材料成分與所述一或多種第一介電質材料的材料成分不同。
  14. 如請求項10的IC結構,其中所述一或多種第一介電質材料的介電常數小於所述一或多種第二介電質材料的介電常數。
  15. 如請求項10的IC結構,其中所述第二開口將所述第二閘極電極材料的所述第一部分與所述第二閘極電極材料的所述第二部分電隔離。
  16. 一種電子裝置,包含: 積體電路(IC)晶粒;以及 其它IC部件,其耦接到所述IC晶粒, 其中所述IC晶粒包括: 一或多種半導體材料的複數個通道結構,其實質上彼此平行, 一或多種閘極電極材料的複數條線,其實質上彼此平行,實質上垂直於所述複數個通道結構,並且至少部分地環繞所述複數個通道結構的部分, 電力軌,其實質上平行於所述複數個通道結構中的第一通道結構和第二通道結構並在所述第一通道結構和所述第二通道結構之間,其中所述電力軌的頂部在所述複數條線下方, 第一閘極切口,其包括一或多個第一介電質材料,所述第一閘極切口在所述電力軌的一部分上方,使得至少部分地環繞所述第一通道結構的一部分的所述複數條線中的第一線的一部分與至少部分地環繞所述第二通道結構的一部分的所述第一線的一部分電隔離,以及 第二閘極切口,其包括一或多種第二介電質材料,所述第二閘極切口在所述複數個通道結構的所述第一通道結構和第三通道結構之間,使得至少部分地環繞所述第一通道結構的一部分的所述複數條線中的第二線的一部分與至少部分地環繞所述第三通道結構的一部分的所述第二線的一部分電隔離。
  17. 如請求項16的電子裝置,其中所述一或多種第一介電質材料的介電常數小於所述一或多種第二介電質材料的介電常數。
  18. 如請求項16或17的電子裝置,其中所述電子裝置為計算裝置或記憶體裝置。
  19. 一種製造積體電路(IC)結構的方法,所述方法包含: 提供第一通道結構和第二通道結構,所述第一通道結構和所述第二通道結構包括一或多種半導體材料; 提供閘極電極材料,其具有至少部分環繞所述第一通道結構的一部分的第一部分且具有至少部分環繞所述第二通道結構的一部分的第二部分; 提供電力軌,其平行於所述第一通道結構和所述第二通道結構並且在所述第一通道結構和所述第二通道結構之間,並且具有在所述閘極電極材料的所述第一部分和所述第二部分的底部下方的所述電力軌的頂部;以及 提供開口,其平行於所述電力軌並在所述電力軌上方,並從所述電力軌的所述頂部延伸到所述閘極電極材料的所述第一部分和所述第二部分的頂部,所述開口具有作為所述開口位於所述閘極電極材料的所述第一部分和所述第二部分之間的部分的第一部分,並且還具有作為所述開口不位於所述閘極電極材料的所述第一部分和所述第二部分之間的部分的第二部分; 以一或多種介電質材料至少部分地填充所述開口的所述第一部分;以及 以一或多種導電材料至少部分地填充所述開口的所述第二部分,其中所述一或多種導電材料耦接到所述電力軌。
  20. 如請求項19的方法,還包含: 提供具有包括所述第二通道結構的一部分的通道和包括所述閘極電極材料的所述第二部分的閘極的電晶體,以及 將所述開口的所述第二部分的所述一或多種導電材料電耦接到所述電晶體的源極或汲極(S/D)區域。
TW111105561A 2021-03-23 2022-02-16 具有埋入式電力軌上的閘極切口之積體電路結構 TW202238918A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/209,304 2021-03-23
US17/209,304 US20220310514A1 (en) 2021-03-23 2021-03-23 Integrated circuit structures with gate cuts above buried power rails

Publications (1)

Publication Number Publication Date
TW202238918A true TW202238918A (zh) 2022-10-01

Family

ID=80682392

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111105561A TW202238918A (zh) 2021-03-23 2022-02-16 具有埋入式電力軌上的閘極切口之積體電路結構

Country Status (4)

Country Link
US (1) US20220310514A1 (zh)
EP (1) EP4064333A1 (zh)
CN (1) CN115117018A (zh)
TW (1) TW202238918A (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115411005A (zh) * 2021-05-26 2022-11-29 长鑫存储技术有限公司 半导体结构和半导体结构的制备方法
US20240113105A1 (en) * 2022-09-30 2024-04-04 Intel Corporation Forming metal gate cuts using multiple passes for depth control

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11094594B2 (en) * 2017-09-12 2021-08-17 Mediatek Inc. Semiconductor structure with buried power rail, integrated circuit and method for manufacturing the semiconductor structure
KR102576212B1 (ko) * 2018-09-21 2023-09-07 삼성전자주식회사 반도체 장치
US20220157722A1 (en) * 2020-11-17 2022-05-19 Intel Corporation Buried power rails with self-aligned vias to trench contacts

Also Published As

Publication number Publication date
CN115117018A (zh) 2022-09-27
EP4064333A1 (en) 2022-09-28
US20220310514A1 (en) 2022-09-29

Similar Documents

Publication Publication Date Title
US20220139911A1 (en) Use of a placeholder for backside contact formation for transistor arrangements
US11056492B1 (en) Dense memory arrays utilizing access transistors with back-side contacts
EP4002452A1 (en) Buried power rails with self-aligned vias to trench contacts
US11984487B2 (en) Non-planar transistor arrangements with asymmetric gate enclosures
EP3882977A1 (en) Fabrication of non-planar silicon germanium transistors using silicon replacement
US11508847B2 (en) Transistor arrangements with metal gate cuts and recessed power rails
EP4064333A1 (en) Integrated circuit structures with gate cuts above buried power rails
KR20220040985A (ko) 게이트 올 어라운드를 구현하기 위한 나노콤 트랜지스터 배열체들의 확장
EP4105981A1 (en) Layer transfer on non-semiconductor support structures
US20230187300A1 (en) Backside heat dissipation using buried heat rails
US20220190129A1 (en) Transistor arrangements with stacked trench contacts and gate straps
US20230187353A1 (en) Signal routing using structures based on buried power rails
US20230163170A1 (en) Threshold voltage tuning for nanoribbon-based transistors
EP4053890A1 (en) Transistor arrangements with stacked trench contacts and gate contacts without gate caps
US20230187313A1 (en) Tunable transmission lines using buried power rail technology
US20240203868A1 (en) Serial directed self-assembly (dsa) processes for forming metal layer with cut
EP4195272A1 (en) Nanoribbon-based capacitors
US20240202415A1 (en) High Density Transistor and Routing Track Architecture
TW202310324A (zh) 具有在前端處之玻璃支撐的背側電力輸送
WO2019005001A1 (en) DETERMINING THE TRENCH ISOLATION PROFILE FOR III-N DEVICE COMPONENTS