TW202236388A - 使用電漿調諧金屬氮化物膜性質的方法 - Google Patents

使用電漿調諧金屬氮化物膜性質的方法 Download PDF

Info

Publication number
TW202236388A
TW202236388A TW110115495A TW110115495A TW202236388A TW 202236388 A TW202236388 A TW 202236388A TW 110115495 A TW110115495 A TW 110115495A TW 110115495 A TW110115495 A TW 110115495A TW 202236388 A TW202236388 A TW 202236388A
Authority
TW
Taiwan
Prior art keywords
substrate
deposition
gas mixture
processing chamber
plasma
Prior art date
Application number
TW110115495A
Other languages
English (en)
Inventor
劉雯伊
薇 唐
史林尼維斯 干德可塔
楊逸雄
巫勇
郭澗秋
阿爾卡普拉瓦 丹
曼德亞 史利倫
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202236388A publication Critical patent/TW202236388A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

一種在基板上形成金屬氮化物層的方法包括:在處理腔室內將具有在其中形成之特徵的基板暴露於包括金屬源材料的第一沉積氣體混合物,以將金屬源材料沉積在此等特徵中;將第一淨化氣體混合物供應至此處理腔室中,以自此處理腔室移除過量金屬源材料及反應副產物,在此處理腔室中將此基板暴露於包括氮化物源化合物的第二沉積氣體混合物,以形成不超過一個金屬氮化物單層,將第二淨化氣體混合物供應至此處理腔室中,以自此處理腔室移除過量氮化物源化合物及反應副產物,且使用微波電漿源將此基板暴露於電漿。

Description

使用電漿調諧金屬氮化物膜性質的方法
本揭示案的實施例大體上係關於半導體製造製程領域,更特定言之,係關於將金屬氮化物層沉積在半導體元件之特徵中的方法。
積體電路可包括形成於基板(例如,半導體晶圓)上且協作進行電路內各種功能的超過一百萬個微電子場效電晶體(例如,互補式金氧半導體(complementary metal-oxide-semiconductor, CMOS)場效電晶體)。可靠地產生亞半微米及更小特徵為用於下一代超大型積體電路(very large scale integration, VLSI)、半導體元件的超大型積體電路(ultra large-scale integration, ULSI)及動態隨機存取記憶體(dynamic random-access memory, DRAM)元件的關鍵技術之一。然而,隨著積體電路技術極限得以突破,此類元件中互連尺寸的縮小對處理能力提出了額外的要求。
隨著特徵尺寸變小,對更高深寬比(定義為特徵的深度與特徵的寬度之間的比率)的需求穩步增加至20:1,甚至更高。當將材料層沉積在具有小幾何形狀(諸如具有約20:1或更高之深寬比的幾何形狀)的特徵中時,可能會出現各種問題。例如,使用習知物理氣相沉積(physical vapor deposition, PVD)製程或原子層沉積(atomic layer deposition, ALD)製程沉積的材料層經常遭受高應力、階梯覆蓋不良、懸垂及在通孔或溝槽內形成的空隙。
因此,需要一種以受控方式形成材料層的改進方法,使得可取決於材料層在半導體元件中的用途來調諧膜性質。
本揭示案的實施方式大體上係關於半導體製造製程領域,更特定言之,係關於將薄膜層沉積在半導體元件之結構中的方法。在一個實施方式中,提供一種在基板上形成金屬氮化物層的方法。此方法包括在處理腔室中將基板暴露於包括金屬源材料的第一沉積氣體混合物,以將金屬源材料沉積在基板的暴露表面上,將第一淨化氣體混合物供應至處理腔室中,以自處理腔室移除過量金屬源材料及反應副產物,在處理腔室中將基板暴露於包括氮化物源化合物的第二沉積氣體混合物,以形成不超過一個金屬氮化物單層,將第二淨化氣體混合物供應至處理腔室中,以自處理腔室移除過量氮化物源化合物及反應副產物,且使用微波電漿源將基板暴露於電漿。
在另一個實施方式中,提供一種在基板上形成金屬氮化物層的方法。此方法包括在處理腔室中將基板暴露於包括金屬源材料的第一沉積氣體混合物,以將金屬源材料沉積在基板的暴露表面上,將第一淨化氣體混合物供應至該處理腔室中,以自處理腔室移除過量金屬源材料及反應副產物,在處理腔室中將基板暴露於包括氮自由基的電漿反應物,以形成不超過一個金屬氮化物單層,且將第二淨化氣體混合物供應至處理腔室中,以自處理腔室移除過量氮化物源化合物及反應副產物。
在又一實施方式中,提供一種在基板上形成元件結構的方法。此方法包括在基板上形成薄膜層,此薄膜層包括金屬氮化物;以及將金屬層沉積在基板上的薄膜層上。形成薄膜層包括經由電漿處理製程來調諧薄膜層的膜性質。
在以下描述的實施例中,提供形成金屬氮化物膜的方法。在一個實施方式中,此方法包括由非電漿原子層沉積(atomic layer deposition, ALD)製程沉積金屬氮化物膜,以及使用微波電漿源對沉積的氮化物膜進行後處理。在另一實施方式中,此方法包括由使用遠端電漿源的電漿增強原子層沉積(plasma enhanced atomic layer deposition, PE-ALD)製程沉積金屬氮化物膜。在兩個實施方式中,與由非電漿ALD製程沉積的類似金屬氮化物膜相比,可藉由使用電漿來改變諸如膜組成、晶體結構、膜密度及電阻率的膜性質。此外,可藉由在電漿處理期間改變處理腔室內的溫度及壓力以及電漿中之氮、氨及氬的含量比來調諧膜性質。
如本文中所使用,術語「基板」係指用作後續處理操作之基礎的材料層,且包括將經佈置用於在其上形成金屬層的表面。基板可為諸如晶態矽(例如,Si <100>或Si <111>)、氧化矽、應變矽、矽鍺、摻雜或無摻雜多晶矽、摻雜或無摻雜矽晶圓、圖案化或非圖案化晶圓絕緣體上矽(silicon on insulator, SOI)、碳摻雜氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃或藍寶石的材料。基板可包括諸如二氧化矽(SiO 2)的介電材料,或具有大於4.0之介電常數的高介電常數介電材料,諸如SiON、SiN、氧化鉿(HfO 2)、矽酸鉿(HfSiO 2)、氧氮化矽鉿(HfSiON)、氧化鋯(ZrO 2)、矽酸鋯(ZrSiO 2)、鈦酸鋇鍶(BaSrTiO 3或BST)、鋯鈦酸鉛((Pb(ZrTi)O 3或PZT)、氧化鋁(Al 2O 3)及其類似者。基板亦可包括一或多種非導電材料,諸如矽、氧化矽、摻雜矽、鍺、砷化鎵、玻璃及藍寶石。基板亦可包括介電材料,諸如二氧化矽、有機矽酸鹽及碳摻雜氧化矽。此外,取決於應用,基板可包括任何其他材料,諸如金屬氮化物及金屬合金。
此外,基板不限於任何特定尺寸或形狀。基板可為具有200 mm直徑、300 mm直徑或其他直徑(諸如,450 mm等)的圓形晶圓。基板亦可為任何多邊形、正方形、矩形、曲狀或其他非圓形工件,諸如用於製造平板顯示器的多邊形玻璃基板。
第1圖描繪可用於本文描述之氣相沉積製程的處理腔室100。處理腔室100可用於進行CVD、電漿增強CVD (PE-CVD)、脈衝CVD、ALD、PE-ALD、其衍生物或其組合。處理腔室100包括腔室主體102及蓋組件104。
在一個實施方式中,遠端電漿源108可經由氣體端口110耦合至處理腔室100,以將反應性電漿自遠端電漿源108供應至佈置在處理腔室100內的基板112。注意,遠端電漿源108可在任何適合位置耦合至處理腔室100,以根據需要將反應性遠端電漿源供應至基板112表面。可供應至遠端電漿源108以經解離且進一步遞送至基板112表面的適合氣體包括氫氣、氬氣、氦氣、氮氣、氨氣、其組合及其類似者。
在第1圖中,控制單元114可耦合至處理腔室100以控制處理條件。控制單元114包含中央處理單元(central processing unit, CPU) 116、支援電路118及含有相關控制軟體122的記憶體120。控制單元114可為可在工業環境中用於控制各種腔室及子處理器之通用電腦處理器的任何形式之一。CPU 116可使用任何適合記憶體120,諸如隨機存取記憶體、唯讀記憶體、軟碟驅動機、光碟驅動機、硬碟或任何其他形式的本端或遠端數位儲存器。各種支援電路可耦合至CPU 116,以支援處理腔室100。控制單元114可耦合至位於個別腔室部件附近的另一控制器。控制單元114與處理腔室100的各種其他部件之間的雙向通信經由統稱為信號匯流排的許多信號電纜來處理,其中一些信號電纜在第1圖中示出。
第2圖為具有第一組一或多個處理腔室201、206、208、210之多腔室處理系統200的示意性俯視圖。處理腔室201、206、208、210中的任何一者皆可經配置為上文參考第1圖所描述的處理腔室100,以進行本文揭示的操作。系統200可包括一或多個用於將基板112傳送進及傳送出系統200的裝載閘腔室202及204。大體上,將系統200維持在真空下,且裝載閘腔室202及204可經「抽空降壓」以將基板112引入至系統200中。第一機器人212可在裝載閘腔室202及204與第一組一或多個處理腔室201、206、208及210之間傳送基板112。各處理腔室206、208、210及100經配置為進行至少一种基板沉積製程,諸如循環層沉積(cyclical layer deposition, CLD)、原子層沉積(atomic layer deposition, ALD)、化學氣相沉積(chemical vapor deposition, CVD)、物理氣相沉積(physical vapor deposition, PVD)、蝕刻、除氣、預清洗定向、退火及其他基板製程。
第一機器人212亦可將基板112傳送至一或多個傳送腔室214及216/自一或多個傳送腔室214及216傳送基板112。傳送腔室214及216可用於在系統200內傳送基板112時維持超高真空條件。第二機器人218可在傳送腔室214及216與第二組一或多個處理腔室220、222、224及226之間傳送基板112。類似於處理腔室206、208、210及100,處理腔室220、222、224及226可經裝備來進行各種基板處理操作,包括例如循環層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、預清洗、除氣及定向。若由系統200進行特定製程不為必要的,則可自系統200移除處理腔室206、208、210、220、222、224及226中的任何一者。
第3圖描繪用於在基板上製造元件結構400之處理順序300的流程圖。第4A-4C圖為在處理順序300的不同階段期間在基板402上形成之元件結構400的示意性橫截面圖。
在處理順序300的方塊310中,將具有在其上形成之特徵404a、404b的基板402提供至處理腔室(諸如第1圖所描繪的處理腔室100)或其他適合處理腔室中。如第4A圖所描繪,特徵404a、404b(在下文中統稱為404)在基板402上的層406內形成。注意,此特定元件結構400可用於三維(3-D)快閃記憶體應用、DRAM應用或具有高深寬比或其他奇怪幾何形狀的其他適合應用中。深寬比可大於10:1,例如大於約20:1。
各特徵404a、404b皆具有側壁408及底表面410。側壁408及底表面410形成開放通道以暴露下層406。層406可為單個含矽層、高介電常數介電層、低介電常數介電層或多層膜堆疊。單個含矽層可為氧化矽層、氮化矽層、氧氮化矽層、多晶矽層、微晶矽層或單晶矽層。介電層可為氧化矽層、氮化矽層或其組合。多層膜堆疊可包括交替的含矽氧化物層及含矽氮化物層。多層膜堆疊可包括交替的含矽層及介電層。層406可摻雜有諸如硼(B)的p型摻雜物,或諸如磷(P)的n型摻雜物。
在處理順序300的方塊320中,薄膜層414在特征404的暴露表面(亦即,層406的頂表面412,特征404a、404b的側壁408及底表面410)上形成,如第4B圖所描繪。薄膜層414係使用第5圖所描述之非電漿原子層沉積(ALD)及電漿後處理的處理順序500或第6圖所描述之電漿增強ALD (PE-ALD)的處理順序600形成。薄膜層414大體防止相鄰導電層擴散至基板402,此基板可為矽或矽鍺化合物。薄膜層414可包括金屬或金屬氮化物材料,諸如鈦(Ti)、氮化鈦(TiN)、鉭(Ta)、氮化鉭(TaN)或其組合。薄膜層414可具有約5 Å與約100 Å之間的厚度。
在處理順序300的方塊330中,將金屬層416沉積在薄膜層414上,如第4C圖所描繪。將金屬層416沉積在諸如電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition, PE-CVD)處理腔室或電漿增強原子層沉積(PE-ALD)處理腔室的處理腔室內。金屬層416可由鎢(W)、鈷(Co)、鈦(Ti)、鎳(Ni)、其合金或其任何組合形成。
第5圖描繪用於將薄膜層414沉積在任何適合基板之暴露表面(諸如根據一個實施例,處理順序300的方塊320中描述之特徵404的暴露表面)上之處理順序500的流程圖。薄膜層414可為氮化鈦(TiN)層或氮化鉭(TaN)層。處理順序500包括用於將薄膜層414沉積在基板之暴露表面上的非電漿ALD製程(方塊510-550)及用於對沉積的薄膜層414進行後處理的電漿處理製程(方塊560)。
在處理順序500的方塊510中,進行第一沉積製程。第一沉積製程包括將第一沉積氣體混合物脈衝送入ALD腔室中,此第一沉積氣體混合物包括含鈦前驅物,諸如四氯化鈦(TiCl 4),或含鉭前驅物,諸如五(二甲胺)鉭(V) (C 10H 30N 5Ta)、五氯化鉭(TaCl 5)及五氟化鉭(TaF 5)。ALD腔室可為經配置為第1圖中描繪之處理腔室100之系統200的處理腔室206、208、210、220、222、224、226中之一者。金屬源材料(亦即,鈦(Ti)或鉭(Ta))吸收在基板之暴露表面上的反應性部位上,從而在基板的暴露表面上形成不超過約一個金屬源材料單層。一旦金屬源材料吸收在所有此等反應性部位上,金屬源材料與基板之暴露表面的反應則停止。
在一些實施例中,將第一沉積氣體混合物與諸如氫氣(H 2)的還原氣體混合物(試劑)同時、依序或替代地不與此還原氣體混合物(試劑)一起供應至ALD腔室中。在一個實施方式中,可將第一沉積氣體混合物的脈衝與還原氣體混合物的脈衝依序且重複供應至ALD腔室中。在另一實施方式中,還原氣體混合物連續流動,同時將第一沉積氣體混合物的脈衝與還原氣體混合物的脈衝重複供應至ALD沉積處理腔室中。第一沉積氣體混合物亦可包括同時供應至ALD腔室中的淨化氣體混合物。
如本文所使用,術語「脈衝」係指注入至處理腔室中之沉積氣體混合物的劑量。沉積氣體混合物的脈衝持續預定時間間隔。沉積氣體混合物的脈衝的時間間隔可依許多因素而變化,諸如期望膜厚度、處理腔室的體積、生產量需求、氣體流動速率及其類似者。
在第一沉積氣體混合物的脈衝期間,亦調節若干製程參數。在一個實施方式中,將製程壓力控制在約0.1托與約50托之間。處理溫度處於約攝氏200度與約攝氏600度之間,例如,約攝氏450度。
在處理順序500的方塊520中,在第一沉積製程之後,進行第一淨化製程。第一淨化製程包括將淨化氣體混合物供應至ALD腔室中,以自ALD腔室移除任何過量金屬源材料及反應副產物。在一個實施方式中,淨化氣體混合物至少包括含氫氣體及惰性氣體。含氫氣體的適合實例可包括H 2、H 2O、H 2O 2或其類似者。惰性氣體的適合實例包括Ar、He或Kr。
在淨化氣體混合物的脈動期間,製程壓力可在相對短的時間間隔(諸如約1秒與約5秒之間)下抽空降壓至一定的低水平,諸如低於2托,例如低於0.5托,以幫助自ALD腔室快速抽出過量金屬源材料及反應副產物。在一個實施方式中,將製程壓力控制在約0.1托與約50托之間。處理溫度處於約攝氏200度與約攝氏600度之間,例如,約攝氏450度。可在約200 sccm與約5000 sccm之間供應氬氣。
在處理順序500的方塊530中,進行第二沉積製程。第二沉積製程包括將包括氮源化合物(諸如氨(NH 3)及氮(N 2))的第二沉積氣體混合物脈衝送入ALD腔室。在方塊510中,氮源化合物與由金屬源材料形成的單層反應。一旦氮源化合物吸收在單層的所有反應性部位上,氮源化合物與單層的反應則停止且形成不超過約一個薄膜層414的單層。
類似於在方塊510中供應第一沉積氣體混合物的製程步驟,將第二沉積氣體混合物與諸如氫氣(H 2)的還原氣體混合物(試劑)同時、依序或替代地不與還原氣體混合物(試劑)一起供應至ALD腔室中。在一個實施方式中,可將第一沉積氣體混合物的脈衝與還原氣體混合物的脈衝依序且重複供應至ALD腔室中。在另一實施方式中,還原氣體混合物連續流動,同時將第一沉積氣體混合物的脈衝與還原氣體混合物的脈衝重複供應至ALD沉積處理腔室中。第一沉積氣體混合物亦可包括同時供應至ALD腔室中的淨化氣體混合物。
在第二沉積氣體混合物的脈衝期間,亦調節若干製程參數。在一個實施方式中,將製程壓力控制在約0.1托與約50托之間。處理溫度處於約攝氏200度與約攝氏600度之間,例如,約攝氏450度。
在處理順序500的方塊540中,在第二沉積製程之後,進行第二淨化製程。第二淨化製程包括將淨化氣體混合物供應至ALD腔室中,以自ALD腔室移除任何過量氮源化合物及反應副產物。方塊540中的第二淨化製程基本上類似於方塊520中的第一淨化製程,且省去對方塊540中之第二淨化製程的詳細描述。
在處理順序500的方塊550中,若形成薄膜層414之單層的製程步驟510-540已重複預定次數,則處理順序500進行至方塊560中的後處理步驟。若形成薄膜層414之單層的製程步驟510-540未重複預定次數,則處理順序500返回至方塊510以重複製程步驟510-540。在一些實施例中,將製程步驟510-540重複約2次與大約2,000次之間的次數,例如,30次。重複次數由沉積速率、後處理穿透深度及應用的整合需求確定。
在處理順序500的方塊560中,進行電漿處理製程。電漿處理製程包括藉由使用微波電漿源將薄膜層414暴露於電漿。在一些實施例中,電漿處理製程持續約5秒與約10分鐘之間的時間,例如,約30秒。
用於方塊560的電漿處理製程的例示性電漿形成氣體包括氮氣(N 2)、氨氣(NH 3)、氫氣(H 2)及其組合。在電漿處理製程期間,亦調節若干製程參數。在一個實施方式中,將製程壓力控制在約7托與約30托之間。處理溫度處於約攝氏200度與約攝氏600度之間,例如,約攝氏450度。可將RF功率控制在約100瓦與約5,000瓦之間,例如,約400瓦。可在約50 sccm與約5000 sccm之間供應電漿形成氣體,諸如氮氣(N 2)、氨氣(NH 3)。
在處理順序500的方塊570中,若尚未達成電漿處理的薄膜層414的期望厚度,則處理順序500返回至沉積及電漿處理薄膜層414之單層的處理步驟510-560。在一些實施例中,薄膜層414的期望厚度處於約10 Ǻ與約100 Ǻ之間,例如,約70 Ǻ,且製程步驟510-560重複2次與20次之間的次數,例如6次。
本揭示案的發明者已表明,沉積步驟之後的電漿處理減小了沉積的層的應力。表1總結了獲自藉由重複180次非電漿ALD製程(方塊510-540)且(a)未進行電漿處理(方塊560),(b)用氨氣(NH 3)及氬氣(Ar)進行電漿處理(方塊560),及(c)用氮氣(N 2)及氬氣(Ar)進行電漿處理(方塊560)而沉積的氮化鈦(TiN)膜的資料。可看出,與未進行電漿處理的TiN膜相比,藉由電漿處理,TiN膜的平均厚度及電阻率增加,且TiN膜中的應力減小。亦藉由電漿處理改變了TiN膜中之鈦(Ti)與氮(N)的含量比及晶體結構,以含有更多氮且含有更多具有(111)晶體定向的晶粒。此外,與用(b) NH 3/Ar處理相比,當用(c) N 2/Ar處理TiN膜時,電阻率的增加及應力的減小、鈦(Ti)的含量比、TiN膜中具有(111)晶體定向之晶粒與具有(200)晶體定向之晶粒的比率皆較大。
表1
  (a)未進行電漿處理 (b)用NH 3/Ar進行電漿處理 (c)用N 2/Ar進行電漿處理
平均厚度(Ǻ) 67.13 66.95 68.49
  (a)未進行電漿處理 (b)用NH 3/Ar進行電漿處理 (c)用N 2/Ar進行電漿處理
電阻率(μOhm - cm) 333.47 356.24 412.49
應力(Mpa) 300 300 100
Ti/N比率 0.2 0.14 0.07
因此,可由電漿後處理來改變且藉由使用不同電漿形成氣體(例如,氮氣(N 2)與氨氣(NH 3))或不同電漿形成氣體的比例來進一步調諧沉積的膜的膜性質,諸如電阻率、應力、膜組成(亦即,金屬源材料的含量比)及晶體結構。
第6圖描繪用於將薄膜層414沉積在任何適合基板之暴露表面(諸如根據一個實施例,處理順序300的方塊320中描述之特徵404的暴露表面)上之處理順序600的流程圖。薄膜層414可為氮化鈦(TiN)層或氮化鉭(TaN)。處理順序600包括將薄膜層414沉積在基板之暴露表面上的電漿增強ALD (PE-ALD)製程(方塊610-650)。
在處理順序600的方塊610中,進行第一沉積製程。第一沉積製程包括將第一沉積氣體混合物脈衝送入ALD腔室中,此第一沉積氣體混合物包括含鈦前驅物,諸如四氯化鈦(TiCl 4),或含鉭前驅物,諸如五(二甲胺)鉭(V) (C 10H 30N 5Ta)、五氯化鉭(TaCl 5)及五氟化鉭(TaF 5)。ALD腔室可為經配置為第1圖中描繪之處理腔室100之系統200的處理腔室206、208、210、220、222、224、226中之一者。金屬源材料(亦即,鈦(Ti)或鉭(Ta))吸收在基板之暴露表面上的反應性部位上,從而在基板的暴露表面上形成不超過約一個金屬源材料單層。一旦金屬源材料吸收在所有此等反應性部位上,金屬源材料與基板之暴露表面之暴露表面的反應則停止。
在一些實施例中,將第一沉積氣體混合物與諸如氫氣(H 2)的還原氣體混合物(試劑)同時、依序或替代地不與此還原氣體混合物(試劑)一起供應至ALD腔室中。在一個實施方式中,可將第一沉積氣體混合物的脈衝與還原氣體混合物的脈衝依序且重複供應至ALD腔室中。在另一實施方式中,還原氣體混合物連續流動,同時將第一沉積氣體混合物的脈衝與還原氣體混合物的脈衝重複供應至ALD沉積處理腔室中。第一沉積氣體混合物亦可包括同時供應至ALD腔室中的淨化氣體混合物。
在第一沉積氣體混合物的脈衝期間,亦調節若干製程參數。在一個實施方式中,將製程壓力控制在約0.1托與約50托之間。處理溫度處於約攝氏200度與約攝氏600度之間,例如,約攝氏450度。
在處理順序600的方塊620中,在第一沉積製程之後,進行第一淨化製程。第一淨化製程包括將淨化氣體混合物供應至ALD腔室中,以自ALD腔室移除任何過量金屬源材料及反應副產物(若存在)。在一個實施方式中,淨化氣體混合物至少包括含氫氣體及惰性氣體。含氫氣體的適合實例可包括H 2、H 2O、H 2O 2或其類似者。惰性氣體的適合實例包括Ar、He或Kr。
在淨化氣體混合物的脈動期間,製程壓力可在相對短的時間間隔(諸如約1秒與約6秒之間)下抽空降壓至一定的低水平,諸如低於2托,例如低於0.5托,以幫助自ALD腔室快速抽出過量金屬源材料及反應副產物。在一個實施方式中,將製程壓力控制在約0.1托與約2托之間,諸如0.1托與約1托,例如約0.1托與約0.6托之間。處理溫度處於約攝氏200度與約攝氏600度之間,例如,約攝氏450度。可在約200 sccm與約5000 sccm之間供應Ar氣。
在處理順序600的方塊630中,進行第二沉積製程。第二沉積製程包括將包括氮自由基(N*)的電漿反應物自遠端電漿源(諸如第1圖中描繪之處理腔室100的遠端電漿源108)供應至ALD腔室中。在一些實施例中,電漿反應物為氮(例如,N*)或氫(例如,H*)或氫-氮電漿(例如,NH*、NH 2*、NH 3)的電漿激發物種。電漿激發物種可來自氫(H 2)、氮(N 2)、氨(NH 3)及其組合。在方塊610中,氮自由基與由金屬源材料形成的單層反應,且形成不超過約一個薄膜層414的單層。
在方塊630中之電漿反應物的供應期間,可調節若干製程參數以控制預處理製程。在一個例示性實施方式中,處理腔室中的製程壓力經調節在約50毫托與約5000毫托之間,諸如在約500毫托與約1000毫托之間,例如,處於約700毫托。例如,可施加約1000瓦至約6000瓦的功率以維持處理腔室內的電漿。將處理腔室中的溫度維持在室溫與約攝氏600度之間,例如,室溫或約攝氏310度。在一個實施方式中,取決於氣體的操作溫度、壓力及流動速率,供應電漿反應物持續約10秒與約300秒之間的時間。例如,可將基板402暴露約30秒至約60秒。
在處理順序600的方塊640中,在供應電漿反應物之後,可將淨化氣體混合物供應至ALD腔室,以自ALD腔室移除任何過量氮源及反應副產物。在方塊640中對ALD腔室的淨化基本上類似於方塊620中的淨化,且省略對方塊640中之淨化的詳細描述。
在處理順序600的方塊670中,若尚未達成薄膜層414的期望厚度,則處理順序600返回至形成薄膜層414之單層的製程步驟610-640。在一些實施例中,薄膜層414的期望厚度處於約10 Ǻ與約100 Ǻ之間,例如,約25 Ǻ,且製程步驟610-640重複10次與500次之間的次數。
本揭示案的發明者已表明,相較於由非電漿ALD製程沉積的具有(200)晶體定向之晶粒與具有(111)晶體定向之晶粒的比率為2.8的類似氮化物膜,由使用來自遠端電漿源之電漿反應物N*的電漿增強ALD (PE-ALD)製程沉積的氮化鈦(TiN)的此比率為1.34。因此,在PE-ALD製程中使用遠端電漿源可改變金屬氮化物膜內的晶體結構。此外,表2總結了自由非電漿ALD製程及電漿增強ALD製程形成的TaN膜中獲得的資料,此等資料具有各種暴露溫度下的各種電漿反應物及各種電漿暴露時間。可看出,TaN膜中鉭(Ta)與氮(N)的含量比隨著暴露時間及暴露溫度的增加而增加。
表2
電漿反應物   暴露溫度(℃) 暴露時間(秒) Ta/N比率
無電漿反應物   N/A N/A 1.05
N*+H*   310 10 1.15
N*   310 10 1.13
Ar*   310 10 1.19
Ar*   室溫 60 1.20
N*   室溫 60 1.34
N*   室溫 180 1.39
電漿反應物   暴露溫度(℃) 暴露時間(秒) Ta/N比率
N*   室溫 300 1.56
N*   室溫 600 1.81
N*   310 60 1.20
N*   310 180 1.50
N*   310 300 1.91
N*   310 600 2.84
因此,可藉由使用來自遠端電漿源的電漿反應物來改變諸如晶體結構及膜組成的膜性質。諸如氮化鈦(TiN)的金屬氮化物膜在不同晶體定向的表面上具有不同的功函數。由於藉由電漿處理之金屬氮化物膜之晶體結構的此差異及可調諧性,根據本文描述的實施方式形成的金屬氮化物層可用作功函數材料。
在上文描述的實施例中,提供形成金屬氮化物膜的方法。在一個實施方式中,此方法包括由非電漿ALD製程來沉積金屬氮化物膜,及使用微波電漿源對沉積的氮化物膜進行後處理。在另一實施方式中,此方法包括由使用遠端電漿源的電漿增強ALD製程來沉積金屬氮化物膜。在兩個實施方式中,與由非電漿ALD製程沉積的類似金屬氮化物膜相比,可藉由使用電漿來改變諸如膜組成、晶體結構、膜密度、電阻率的膜性質。此外,可藉由在電漿處理期間改變處理腔室的溫度及壓力以及電漿中氮、氨及氬的含量比來調諧膜性質。
儘管前述內容係關於本揭示案的實施方式,但可在不脫離本揭示案基本範疇的情況下擬出本揭示案之其他及進一步的實施方式,且本揭示案的範疇由所附發明申請專利範圍確定。
100:處理腔室 102:腔室主體 104:蓋組件 108:遠端電漿源 110:氣體端口 112:基板 114:控制單元 116:中央處理單元 118:支援電路 120:記憶體 122:控制軟體 200:多腔室處理系統 201:處理腔室 202:裝載閘腔室 204:裝載閘腔室 206:處理腔室 208:處理腔室 210:處理腔室 212:第一機器人 214:傳送腔室 216:傳送腔室 218:第二機器人 220:處理腔室 222:處理腔室 224:處理腔室 226:處理腔室 300:處理順序 310:方塊 320:方塊 330:方塊 400:元件結構 402:基板 404:特徵 404a:特徵 404b:特徵 406:層 408:側壁 410:底表面 412:頂表面 414:薄膜層 416:金屬層 500:處理順序 510:方塊 520:方塊 530:方塊 540:方塊 550:方塊 560:方塊 570:方塊 600:處理順序 610:方塊 620:方塊 630:方塊 640:方塊 670:方塊
為了可詳細地理解本揭示案的上述特徵,可藉由參考實施方式來對上文簡明概述的實施方式進行更具體的描述,其中一些實施方式在隨附圖式中示出。然而,應注意,隨附圖式僅示出本揭示案的典型實施方式,且因此不應被認為係對其範疇的限制,此係因為本揭示案可容許其他等效的實施方式。
第1圖描繪根據一個實施例之可用於氣相沉積製程之處理腔室的示意圖。
第2圖為根據一個實施例之多腔室處理系統的示意性俯視圖。
第3圖描繪根據一個實施例之用於在基板上製造元件結構之處理順序的流程圖。
第4A、4B及4C圖為在第3圖中描繪的處理順序之不同階段之元件結構的示意性橫截面圖。
第5圖描繪根據一個實施例之用於在基板的暴露表面上沉積薄膜層之處理順序的流程圖。
第6圖描繪根據一個實施例之用於在基板的暴露表面上沉積薄膜層之處理順序的流程圖。
為了便於理解,在可能的情況下使用相同元件符號來指定諸圖中共有的相同元件。可預期一個實施方式的元件及特徵可有益地併入其他實施方式中,而無需進一步敘述。然而,應注意,隨附圖式僅示出本揭示案的例示性實施方式,且因此不應被認為係對其範疇的限制,此係因為本揭示案可容許其他等效的實施方式。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
400:元件結構
402:基板
406:層
410:底表面
414:薄膜層
416:金屬層

Claims (20)

  1. 一種在一基板上形成一金屬氮化物層的方法,其包含以下步驟: 進行一第一沉積製程,該第一沉積製程包含在一處理腔室中將一基板暴露於包括金屬源材料的一第一沉積氣體混合物,以將該金屬源材料沉積在該基板的一暴露表面上; 進行一第一淨化製程,該第一淨化製程包含將一第一淨化氣體混合物供應至該處理腔室中,以自該處理腔室移除過量金屬源材料及反應副產物; 進行一第二沉積製程,該第二沉積製程包含在該處理腔室中將該基板暴露於包括一氮化物源化合物的一第二沉積氣體混合物,以形成不超過一個金屬氮化物單層; 進行一第二淨化製程,該第二淨化製程包含將一第二淨化氣體混合物供應至該處理腔室中,以自該處理腔室移除過量氮化物源化合物及反應副產物;以及 進行一電漿處理製程,該電漿處理製程包含使用一微波電漿源將該基板暴露於電漿。
  2. 如請求項1所述之方法,其中在該電漿處理製程之前,將包含該第一沉積製程、該第一淨化製程、該第二沉積製程及該第二淨化製程的一沉積製程重複一預定次數。
  3. 如請求項2所述之方法,其中重複該沉積製程及該電漿處理製程,直至達成一金屬氮化物層的一期望厚度為止。
  4. 如請求項1所述之方法,其中該第一沉積氣體混合物包含四氯化鈦(TiCl 4),且該金屬源材料包含鈦(Ti)。
  5. 如請求項1所述之方法,其中該第一沉積氣體混合物包含選自由五(二甲胺)鉭(V) (C 10H 30N 5Ta)、五氯化鉭(TaCl 5)及五氟化鉭(TaF 5)組成之組的一含鉭前驅物,且該金屬源材料包含鉭(Ta)。
  6. 如請求項1所述之方法,其中該第二沉積氣體混合物包含氨氣(NH 3)。
  7. 如請求項1所述之方法,其中該第二沉積氣體混合物包含氮氣(N 2)。
  8. 如請求項1所述之方法,其中該等第一及第二淨化氣體混合物包含含氫氣體及惰性氣體。
  9. 如請求項1所述的方法,其中該等第一及第二沉積製程、該等第一及第二淨化製程以及該電漿處理製程在約攝氏200度與約攝氏600度之間的一溫度下進行。
  10. 一種在一基板上形成一金屬氮化物層的方法,其包含以下步驟: 進行一第一沉積製程,該第一沉積製程包含在一處理腔室中將一基板暴露於包括金屬源材料的一第一沉積氣體混合物,以將該金屬源材料沉積在該基板的一暴露表面上; 進行一第一淨化製程,該第一淨化製程包含將一第一淨化氣體混合物供應至該處理腔室中,以自該處理腔室移除過量金屬源材料及反應副產物; 進行一第二沉積製程,該第二沉積製程包含在該處理腔室中將該基板暴露於包括氮自由基的一電漿反應物,以形成不超過一個金屬氮化物單層;以及 進行一第二淨化製程,該第二淨化製程包含將一第二淨化氣體混合物供應至該處理腔室中,以自該處理腔室移除過量氮化物源化合物及反應副產物。
  11. 如請求項10所述之方法,其中重複該第一沉積製程、該第一淨化製程、該第二沉積製程及該第二淨化製程,直至達成一金屬氮化物層的一期望厚度為止。
  12. 如請求項10所述之方法,其中該第一沉積氣體混合物包含四氯化鈦(TiCl 4),且該金屬源材料包含鈦(Ti)。
  13. 如請求項10所述之方法,其中該第一沉積氣體混合物包含選自由五(二甲胺)鉭(V) (C 10H 30N 5Ta)、五氯化鉭(TaCl 5)及五氟化鉭(TaF 5)組成之組的一含鉭前驅物,且該金屬源材料包含鉭(Ta)。
  14. 如請求項10所述之方法,其中該等第一及第二淨化氣體混合物包含含氫氣體及惰性氣體。
  15. 如請求項10所述之方法,其中該第一沉積製程、該等第一及第二淨化製程在約攝氏200度與約攝氏600度之間的一溫度下進行。
  16. 如請求項10所述之方法,其中該第二沉積製程在約攝氏200度與約攝氏600度之間的一溫度下進行。
  17. 如請求項10所述之方法,其中該第二沉積製程進行5秒至10分鐘。
  18. 一種在一基板上形成一元件結構的方法,其包含以下步驟: 在一基板上形成一薄膜層,該薄膜層包含金屬氮化物,其中形成該薄膜層之步驟包含經由一電漿處理製程調諧該薄膜層的膜性質;以及 將一金屬層沉積在該基板上的該薄膜層上。
  19. 如請求項18所述之方法,其中該電漿處理製程包含以下步驟:在由一非電漿原子層沉積製程將至少一部分該薄膜層沉積在該基板上之後,使用一微波電漿源將該基板暴露於電漿。
  20. 如請求項18所述之方法,其中該電漿處理製程包含以下步驟:在由一電漿增強原子層沉積製程將該薄膜層沉積在該基板上期間,使用一遠端電漿源將該基板暴露於包括氮自由基的一電漿反應物。
TW110115495A 2020-05-11 2021-04-29 使用電漿調諧金屬氮化物膜性質的方法 TW202236388A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/871,400 US11646226B2 (en) 2020-05-11 2020-05-11 Method of tuning film properties of metal nitride using plasma
US16/871,400 2020-05-11

Publications (1)

Publication Number Publication Date
TW202236388A true TW202236388A (zh) 2022-09-16

Family

ID=78411698

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110115495A TW202236388A (zh) 2020-05-11 2021-04-29 使用電漿調諧金屬氮化物膜性質的方法

Country Status (4)

Country Link
US (2) US11646226B2 (zh)
KR (1) KR20230004821A (zh)
TW (1) TW202236388A (zh)
WO (1) WO2021231046A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11955379B2 (en) * 2020-09-15 2024-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Metal adhesion layer to promote metal plug adhesion
WO2022160139A1 (en) * 2021-01-27 2022-08-04 Yangtze Memory Technologies Co., Ltd. Method for forming barrier layer in semiconductor structure

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
JP4938962B2 (ja) 2001-09-14 2012-05-23 エーエスエム インターナショナル エヌ.ヴェー. ゲッタリング反応物を用いるaldによる金属窒化物堆積
US20040013803A1 (en) 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US20180308687A1 (en) * 2017-04-24 2018-10-25 Lam Research Corporation Euv photopatterning and selective deposition for negative pattern mask

Also Published As

Publication number Publication date
US20230245925A1 (en) 2023-08-03
WO2021231046A1 (en) 2021-11-18
US20210351071A1 (en) 2021-11-11
US11646226B2 (en) 2023-05-09
KR20230004821A (ko) 2023-01-06

Similar Documents

Publication Publication Date Title
JP6727359B2 (ja) シームレスのコバルト間隙充填を可能にする方法
TWI757322B (zh) 用於鈍化半導體表面之方法及相關系統
JP6813983B2 (ja) アルミニウム及び窒素を含む材料の選択的堆積
US11139383B2 (en) Titanium aluminum and tantalum aluminum thin films
US20060153995A1 (en) Method for fabricating a dielectric stack
CN107533962B (zh) 经由原子层沉积(ald)循环选择性沉积金属硅化物的方法
US20230245925A1 (en) Method of tuning film properties of metal nitride using plasma
US9981286B2 (en) Selective formation of metal silicides
US8828866B1 (en) Methods for depositing a tantalum silicon nitride film
US20160372351A1 (en) Method and apparatus for microwave assisted chalcogen radicals generation for 2-d materials
TW202247469A (zh) 以偶極膜工程化的mosfet閘極
KR20180054476A (ko) TiN계막 및 그 형성 방법
US20140246758A1 (en) Nitrogen-containing oxide film and method of forming the same
US10366879B2 (en) Dry and wet etch resistance for atomic layer deposited TiO2 for SIT spacer application
JP2024520404A (ja) アモルファスシリコンベース取り除きおよびシールeot