TW202234595A - 半導體結構 - Google Patents

半導體結構 Download PDF

Info

Publication number
TW202234595A
TW202234595A TW110134775A TW110134775A TW202234595A TW 202234595 A TW202234595 A TW 202234595A TW 110134775 A TW110134775 A TW 110134775A TW 110134775 A TW110134775 A TW 110134775A TW 202234595 A TW202234595 A TW 202234595A
Authority
TW
Taiwan
Prior art keywords
source
transistor
gate
channel
drain
Prior art date
Application number
TW110134775A
Other languages
English (en)
Inventor
廖忠志
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202234595A publication Critical patent/TW202234595A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/02Disposition of storage elements, e.g. in the form of a matrix array
    • G11C5/025Geometric lay-out considerations of storage- and peripheral-blocks in a semiconductor storage device
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • H10B10/125Static random access memory [SRAM] devices comprising a MOSFET load element the MOSFET being a thin film transistor [TFT]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/41Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming static cells with positive feedback, i.e. cells not needing refreshing or charge regeneration, e.g. bistable multivibrator or Schmitt trigger
    • G11C11/412Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming static cells with positive feedback, i.e. cells not needing refreshing or charge regeneration, e.g. bistable multivibrator or Schmitt trigger using field-effect transistors only
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/41Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming static cells with positive feedback, i.e. cells not needing refreshing or charge regeneration, e.g. bistable multivibrator or Schmitt trigger
    • G11C11/413Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing, timing or power reduction
    • G11C11/417Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing, timing or power reduction for memory cells of the field-effect type
    • G11C11/419Read-write [R-W] circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41733Source or drain electrodes for field effect devices for thin film transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/18Peripheral circuit regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Nanotechnology (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Geometry (AREA)
  • Theoretical Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Semiconductor Memories (AREA)
  • Thin Film Transistor (AREA)

Abstract

一種半導體結構,包含基板以及第一、第二SRAM單元。每一第一SRAM單元包含兩個第一p型FinFET與四個第一n型FinFET。每一第一p型以及第一n型FinFET包含在單一半導體鰭片中的通道。第一SRAM單元具有第一X間距以及第一Y間距。每一第二SRAM單元包含兩個第二p型FinFET與四個第二n型FinFET。每一第二p型FinFET包含在單一半導體鰭片中的通道。每一第二n型FinFET包含在多個半導體鰭片中的通道。第二SRAM單元具有第二X間距以及第二Y間距。第一p型FinFET的源極/汲極區域相較於第二p型FinFET的源極/汲極區域具有更高的硼摻雜物濃度。第二X間距對第一X間距的比值在1.1至1.5的範圍內。

Description

半導體結構
本發明係有關於半導體結構以及其製程,特別是有關於由鰭式場效電晶體(FinFET)電晶體或者閘極全環(gate-all-around;GAA)電晶體所實施的具有高密度(high-density;HD)記憶體單元以及高電流(high-current;HC)(或者高速(high-speed;HS))記憶體單元的積體電路(integrated circuits;IC)。
電子產業面臨對於能夠同時滿足越小且越快的電子裝置的需求逐漸增加,而這類的電子裝置同時又需要支援大量且越來越複雜和尖端的功能。為了滿足此需求,在半導體產業裡的持續性的趨勢是製造低成本、高效能、以及低電力的積體電路(ICs)。目前為止這些目標大部分皆由縮小半導體IC的尺寸(例如IC最小特徵尺寸)來達成,而因此改善製程效率和降低相關的成本。然而,這類的尺寸縮小亦提升了半導體製程的複雜度。因此,實現半導體IC以及裝置的持續進展需要的是與半導體製程和技術的類似的進步。此類的進展之一則是在嵌入式記憶體設計當中所期望的。例如,如何提供高密度記憶體單元以及高電流記憶體單元這兩者以滿足快取記憶體的需求,例如在進階處理節點中的L1/L2/L3快取記憶體。
在一範例樣態中,本揭露導向一種半導體結構,包含:基板;第一SRAM單元的陣列,位於基板上,其中每一第一SRAM單元包含兩個第一p型鰭式場效電晶體以及四個第一n型鰭式場效電晶體,其中每一第一p型鰭式場效電晶體以及第一n型鰭式場效電晶體包含位於單一半導體鰭片之中的電晶體通道以及由電晶體通道連接的兩個源極/汲極區域,其中第一SRAM單元的陣列配置為沿著第一方向具有第一X間距以及沿著與第一方向垂直的第二方向具有第一Y間距;以及第二SRAM單元的陣列,位於基板上,其中每一第二SRAM單元包含兩個第二p型鰭式場效電晶體以及四個第二n型鰭式場效電晶體,其中每一第二p型鰭式場效電晶體包含位於單一半導體鰭片之中的電晶體通道以及由電晶體通道所連接的兩個源極/汲極區域,其中每一第二n型鰭式場效電晶體包含位於多個半導體鰭片之中的電晶體通道以及由電晶體通道所連接的兩個源極/汲極區域,其中第二SRAM單元的陣列配置為沿著第一方向具有第二X間距以及沿著第二方向具有第二Y間距,其中第一p型鰭式場效電晶體的源極/汲極區域相較於第二p型鰭式場效電晶體的源極/汲極區域具有更高的硼摻雜物濃度,其中第二X間距對第一X間距的比值在1.1至1.5的範圍內。
在另一個範例樣態中,本揭露導向一種半導體結構,包含:基板;第一SRAM單元的陣列,位於基板上,其中每一第一SRAM單元包含第一反向器和第二反向器,第一反向器具有耦接至第一下拉閘極全環電晶體的第一上拉閘極全環電晶體,第二反向器具有耦接至第二下拉閘極全環電晶體的第二上拉閘極全環電晶體,第一反向器以及第二反向器交叉耦接以形成第一資料儲存節點,每一第一SRAM單元進一步包含第一輸閘閘極全環電晶體以及第二傳輸閘閘極全環電晶體,用以存取第一資料存取節點,其中第一SRAM單元的陣列配置為沿著第一方向具有第一X間距以及沿著與第一方向垂直的第二方向具有第一Y間距;以及第二SRAM單元的陣列,位於基板上,其中每一第二SRAM單元包含第三反向器和第四反向器,第三反向器具有耦接至第三下拉閘極全環電晶體的第三上拉閘極全環電晶體,第四反向器具有耦接至第四下拉閘極全環電晶體的第四上拉閘極全環電晶體,第三反向器以及第四反向器交叉耦接以形成第二資料儲存節點,每一第二SRAM單元進一步包含第三輸閘閘極全環電晶體以及第四傳輸閘閘極全環電晶體,用以存取第二資料存取節點,其中第二SRAM單元的陣列配置為沿著第一方向具有第二X間距以及沿著第二方向具有第二Y間距,其中每一閘極全環電晶體包含閘極電極,閘極電極環繞於半導體通道的堆疊,以及包含由半導體通道連接的源極/汲極區域,其中第一上拉閘極全環電晶體以及第二上拉閘極全環電晶體的源極/汲極區域相較於第三上拉閘極全環電晶體以及第四上拉閘極全環電晶體的源極/汲極區域具有更高的硼摻雜物濃度,其中第二X間距對第一X間距的比值在1.1至1.5的範圍內。
在另一個範例樣態中,本揭露導向一種半導體結構的製造方法,包含:提供具有基板的結構,定義於基板上的高密度SRAM區以及高電流SRAM區,接合高密度SRAM區中的第一通道半導體層之第一閘極電極,以及接合高電流SRAM區中的第二通道半導體層之第二閘極電極;在高密度SRAM區中磊晶成長第一源極/汲極特徵部件,且第一源極/汲極特徵部件連接至第一通道半導體層;在高電流SRAM區中磊晶成長第二源極/汲極特徵部件,且第二源極/汲極特徵部件連接至第二通道半導體層;形成覆蓋第一源極/汲極特徵部件以及第二源極/汲極特徵部件的層間介電層;以第一高介電係數金屬閘極取代第一閘極電極;以第二高介電係數金屬閘極取代第二閘極電極;在第一源極/汲極特徵部件上形成第一接點,並且第一接點電性連接至第一源極/汲極特徵部件;在第二源極/汲極特徵部件上形成第二接點,並且第二接點電性連接至第二源極/汲極特徵部件;以及相比於第二源極/汲極特徵部件,以硼的額外劑量對第一源極/汲極特徵部件進行第一摻雜。
以下揭露內容提供了用於實施所提供標的之不同特徵的許多不同實施例或實例。以下所描述之部件和佈置等的特定實例,用以簡化本揭露內容。當然,其僅為實例而非用於限定揭露。例如,以下描述中在第二特徵部件上方或之上形成第一特徵部件,可以是包括第一特徵部件和第二特徵部件形成為直接接觸的實施例,亦可以包括在第一特徵部件與第二特徵部件之間形成其他特徵部件,使得第一特徵部件和第二特徵部件不直接接觸的實施例。另外,本揭露在各種實例中重複使用參考數字及/或字母。該重複使用是為了簡單和清楚的目的,本身並不代表所論述的各種實施例及/或配置之間的關係。
進一步而言,例如“之下”,“下部”,“下方”,“上部”,“上方”等等空間上相對關係的用語,在此是為了描述便利性,用以使本揭露更容易地描述圖式中一個元件或者特徵部件與另一元件或者特徵部件間的關係。 空間相對性用語在除了涵蓋裝置在圖式所描述的方位外,亦涵蓋在操作當中或使用當中的裝置的不同方位。設備可以以其他方式方位(旋轉90度或在其他方向上),並且可以類似地相應解釋在此使用的空間相對性描述詞。更進一步,當一數字或一範圍的數字係用「大約」、「近似」以及類似方式形容時,該用語的目的是,如在此領域具通常技術的人員所能理解的,涵蓋包括所述的數字的一定變化範圍內(例如+/-10%或者其他變化)的其他數字。舉例來說,“大約5nm”的的字彙可涵蓋從4.5奈米至5.5奈米,4.0奈米至5.0奈米的尺寸範圍,等等。
這個應用係有關於半導體結構以及其製程,特別是有關於由鰭式場效電晶體(FinFET)或者閘極全環(gate-all-around;GAA)電晶體所實施的具有高密度(high-density;HD)記憶體單元以及高電流(high-current;HC)(或者高速(high-speed;HS))記憶體單元的積體電路(integrated circuits;IC)。閘極全環電晶體是指具有環繞電晶體通道的閘極電極的電晶體,例如垂直堆疊閘極全環水平奈米線或者奈米片的金氧半場效電晶體(MOSFET)裝置。本揭露的目的為提供(各別)利用鰭式場效電晶體以及閘極全環電晶體的新設計以及新布局以在同一個IC達成高密度記憶體以及高電流記憶體。例如,高密度記憶體利用較窄的通道區以作為非速度為關鍵的電路,且設計為具有高阿爾發比(alpha ratio)以提高單元穩定性。因此高密度電晶體同時具有較低漏電流和能量消耗的優勢,以及密度改善特性。高電流記憶體為高速應用使用了較寬的通道寬度且被提供了低阿爾發比以改善單元寫入裕度(margin)。相較於在高電流記憶體中的上拉電晶體(鰭式場效電晶體或者閘極全環電晶體其中之一),對在高密度記憶體中的上拉電晶體(鰭式場效電晶體或者閘極全環電晶體其中之一)提供了較高的摻雜物濃度以增加單元穩定度(例如,高密度記憶體對於儲存以及維持高邏輯狀態具有更好的能力)。進一步而言,對高密度記憶體提供了寫入輔助電路以改善Vcc_min以及寫入裕度的需求,其中Vcc_min是指SRAM陣列在所需的頻率限制下安全地讀取以及寫入的最小運作電壓。對高電流記憶體不提供寫入輔助電路,因為其具有足夠的寫入裕度。並且,對高電流記憶體的位元線(及/或其他導體)相較於高密度記憶體的相應元件提供了較大的寬度以近一步增加高電流記憶體的運作速度。在此領域具有通常技藝的人員可以注意到他們可以容易地使用本揭露作為設計或者調整其他結構的基礎,以執行相同目的及/或達成在此所介紹的實施例的相同優點。
第1A圖表示半導體裝置(或者IC)200。半導體裝置200可為例如微處理器、特殊應用積體電路(application specific integrated circuit;ASIC)、場式可程式化邏輯電路(field programmable gate array;FPGA)、數位訊號處理器(digital signal processor;DSP)、或者前述的一部份,其包含許多被動以及主動微電子裝置,例如電阻器、電容器、電感器、二極體、p型場效電晶體(PFETs)、n型場效電晶體(NFETs)、鰭式場效電晶體(FinFETs)、閘極全環(GAA)電晶體(例如奈米片場效電晶體或者奈米線場效電晶體)、其他類型的多閘極場效電晶體、金氧半場效電晶體(MOSFETs)、 互補式金氧半導體(complementary metal-oxide semiconductor;CMOS)電晶體、雙極接面電晶體(bipolar junction transistors;BJTs)、橫向擴散金屬氧化物半導體(laterally diffused MOS;LDMOS)電晶體、高電壓電晶體、高頻率電晶體、記憶體裝置、其他合適的部件或者前述的組合。半導體裝置200的確切功能不限於以上提供的標的物。
在本實施例中,半導體裝置200包含高密度(HD)SRAM巨集102(或者簡稱為HD SRAM 102)以及高電流(HC)SRAM巨集152(或者簡稱為HC SRAM 152)。每個高密度SRAM巨集102以及高電流SRAM巨集152包含可配置為一記憶體陣列(或者記憶體單元的陣列)的許多SRAM單元,且更包含周邊邏輯電路。記憶體單元用以儲存資料。周邊邏輯電路執行位址解碼以及從記憶體單元讀取的操作或者寫入至記憶體單元的操作。高密度SRAM巨集102進一步包含寫入輔助電路,其將於後面進一步敘述。高電流SRAM巨集152並不包含寫入輔助電路,因為其記憶體單元在讀取以及寫入操作兩者都具有足夠的噪音裕度(noise margin)。在本實施例中,高密度SRAM巨集102包含單埠(single port;SP)6電晶體(6T)SRAM單元104的一陣列,而高電流SRAM巨集152包含單埠(single port;SP)6電晶體(6T)SRAM單元154的一陣列。單埠6電晶體SRAM單元104以及單埠6電晶體SRAM單元154具有相同的示意圖,如第1B圖所示,但單埠6電晶體SRAM單元104以及單埠6電晶體SRAM單元154具有不同的布局設計以及不同的物理結構,其將會在後面作敘述。在許多實施例中,高密度SRAM巨集102以及高電流SRAM巨集152可包含其他類型的記憶體單元,例如雙埠記憶體單元或者具有6個電晶體以上的記憶體單元。
參考第1B圖,單埠6電晶體SRAM單元104(以及單埠6電晶體SRAM單元154)包含兩個PMOS電晶體作為上拉電晶體PU-1以及上拉電晶體PU-2;兩個NMOS電晶體作為下拉電晶體PD-1以及下拉電晶體PD-2;以及兩個NMOS電晶體作為傳輸閘(或者存取)電晶體PG-1以及傳輸閘(或者存取)電晶體PG-2。上拉電晶體PU-1以及下拉電晶體PD-1被耦接以形成一反向器。上拉電晶體PU-2以及下拉電晶體PD-2被耦接以形成另一個反向器。這兩個反向器交叉耦接以形成資料儲存節點。傳輸閘電晶體PG-1以及傳輸閘電晶體PG-2耦接至資料儲存節點,以對資料儲存節點寫入或者從資料儲存節點進行讀取。第1B圖進一步表示字元線(word line;WL)、位元線(bit line;BL)、以及反位元線 (bit line bar;BLB或者反位元線桿),以存取單埠6電晶體SRAM單元104(以及單埠6電晶體SRAM單元154)的資料儲存節點,以及表示正電壓源CVdd以及負電壓源(或者接地)Vss。在一實施例中,每一個上拉電晶體PU-1、上拉電晶體PU-2、下拉電晶體PD-1、下拉電晶體PD-2、傳輸閘電晶體PG-1、以及傳輸閘電晶體PG-2為鰭式場效電晶體。在另一個實施例中,每一個上拉電晶體PU-1、上拉電晶體PU-2、下拉電晶體PD-1、下拉電晶體PD-2、傳輸閘電晶體PG-1、以及傳輸閘電晶體PG-2為閘極全環電晶體。
第2圖表示高密度SRAM巨集102的一布局,特別是單埠6電晶體SRAM單元(以下亦稱高密度SRAM單元104)的特定層(或者特徵部件)的一布局。參考第2圖,高密度SRAM單元104佔據了由虛線矩形方塊所表示的區域,其沿著“x”方向具有長度X1,以及沿著“y”方向具有寬度Y1。高密度SRAM巨集102包含此高密度SRAM單元104的一陣列,沿著“x”方向配置為列,以及沿著“y”方向配置為行。在這方面,長度X1亦為高密度SRAM單元104的陣列沿著“x”方向的間距(pitch),而寬度Y1亦為高密度SRAM單元104的陣列沿著“y”方向的間距。
高密度SRAM單元104包含沿著“y”方向縱向定向的主動區205(包含主動區205A、主動區205B、主動區205C、以及主動區205D)。以及沿著與“y”方向垂直的“x”方向縱向定向的閘極堆疊240(包含閘極堆疊240A、閘極堆疊240B、閘極堆疊240C、以及閘極堆疊240D)。主動區205B以及主動區205C設置在n型井(或者N井)204N之上。主動區205A以及主動區205D設置在位於n型井204N沿著“x”方向的兩側的p型井(或者P井)204P之上。閘極堆疊240接合(engage)個別的主動區205的通道區以形成電晶體。在這方面,閘極堆疊240A接合主動區205A的通道區215A以形成一NMOSFET以作為傳輸閘電晶體PG-1;閘極堆疊240B接合主動區205A的通道區215B以形成一NMOSFET以作為下拉電晶體PD-1,以及接合主動區205B的通道區215C以形成一PMOSFET以作為上拉電晶體PU-1;閘極堆疊240C接合主動區205D的通道區215E以形成一NMOSFET以作為下拉電晶體PD-2,以及接合主動區205C的通道區215D以形成一PMOSFET以作為上拉電晶體PU-2;以及閘極堆疊240D接合主動區205D的通道區215F以形成一NMOSFET以作為傳輸閘電晶體PG-2。在本實施例中,每一個通道區215A至通道區215F為一單一鰭片(單一半導體鰭片)的形狀,且每一個上拉電晶體PU-1、上拉電晶體PU-2、下拉電晶體PD-1、下拉電晶體PD-2、傳輸閘電晶體PG-1、以及傳輸閘電晶體PG-2為形成於一單一半導體鰭片上的鰭式場效電晶體。
高密度SRAM單元104進一步包含:源極/汲極接點,其設置在主動區205的源極/汲極區域上(源極/汲極設置在個別通道區的兩側);一對接(butted)接點(Butt_Co)409,其設置在主動區205B以及閘極堆疊240C之上並且與其連接;另一對接接點409,其設置在主動區205C以及閘極堆疊240B之上並且與其連接;源極/汲極接點導孔(“V0”),其設置在源極/汲極接點上並且與其連接;以及兩個閘極導孔(“VG”),分別設置在閘極堆疊240A以及閘極堆疊240D上並且與其連接。第2圖進一步描繪電路節點:CVss節點(CVss-node)、CVdd節點(CVdd-node)、位元線節點(Bit-line-node)、以及反位元線節點(Bit-line-bar-node)(或者BLB節點),對應第1B圖中的電路節點:Vss、CVdd、BL、以及BLB。Bit-line-bar亦稱為反位元線或者BLB。
第3圖、第4圖、第5圖、以及第6圖描繪高密度SRAM單元104分別沿著第2圖中的切線cut1、切線cut3、切線cut4、以及切線cut5的剖面圖。參考第2圖、第3圖、第4圖、第5圖、以及第6圖整體,在所述的實施例中,主動區205包含在各別通道區中的鰭片狀電晶體通道215(以下亦稱為半導體鰭片215、半導體層215、電晶體通道215、通道層215、或者通道215),以及在夾著通道區的源極/汲極區中的源極/汲極特徵部件260(包含PMOSFET的源極/汲極特徵部件260P以及NMOSFET的源極/汲極特徵部件260N)。特別而言,每一個鰭片狀電晶體通道215(通道區(通道)215A、通道區(通道)215B、通道區(通道)215C、通道區(通道)215D、通道區(通道)215E、以及通道區(通道)215F)為一單一半導體鰭片,以減少高密度SRAM單元104的足跡(footprint)(以下編號215以及與編號215相關的編號,例如215A、215B…等等亦可稱為通道區、通道、以及通道層)。在這方面,主動區205A、主動區205B、主動區205C、以及主動區205D分別包含通道區215A至通道區215B、通道區215C、通道區215D、以及通道區215E至通道區215F。電晶體的通道區215A至通道區215F沿著“y”方向縱向定向(亦即,沿著從源極至汲極的方向),以及沿著“x”方向橫向定向。通道215的長度通常亦稱為閘極長度(或者Lg)。例如,第2圖描繪通道區215F具有一閘極長度Lg1以及通道區215E具有一閘極長度Lg2。在本實施例中,閘極長度Lg1以及閘極長度Lg2大約相同,其分別定義為閘極堆疊240D以及閘極堆疊240C的寬度。進一步而言,在本實施例中,通道區215A、通道區215B、通道區215C、通道區215D、通道區215E、以及通道區215F的長度大約相同。主動區205A至主動區205D的寬度,特別是通道區215A至通道區215F的寬度在所描述的實施例中大約相同。
參考第3圖,半導體裝置200包含一基板202,許多特徵部件,包含p型井204P、n型井204N、閘極堆疊240、以及主動區205都在基板202上形成。在一實施例中,基板202包含矽(silicon),例如矽晶圓。替代地或附加地,基板202包含另一個半導體元素,例如鍺(germanium);一化合物半導體,例如碳化矽(silicon carbide)、砷化鎵(gallium arsenide)、磷化鎵(gallium phosphide)、磷化銦(indium phosphide)、砷化銦(indium arsenide)、及/或銻化銦(indium antimonide);一半導體合金,例如鍺矽(silicon germanium;SiGe)、磷化砷鎵(GaAsP)、砷化鋁銦(AlInAs)、鋁砷化鎵(AlGaAs)、砷化銦鎵(GaInAs)、磷化銦鎵(GaInP)、及/或磷砷銦鎵(GaInAsP);或者前述的組合。替代地,基板202為一絕緣層上半導體基板,例如一絕緣層上矽(silicon-on-insulator;SOI)基板、絕緣層上鍺矽(silicon germanium-on-insulator;SGOI)基板、或者一絕緣層上鍺(germanium-on-insulator;GOI)基板。絕緣層上半導體基板可利用分離植入氧氣(separation by implantation of oxygen;SIMOX)、晶片接合及/或其他合適的方法所製成。
p型井204P以及n型井204N在基板202之上或者之中形成。在本實施例中,p型井204P為p型摻雜區,配置以作為n型電晶體,而n型井204N為n型摻雜區,配置以作為p型電晶體。n型井204N受n型摻雜物所摻雜,例如磷(phosphorus)、砷(arsenic)、其他n型摻雜物、或者前述的組合。p型井204P受p型摻雜物所摻雜,例如硼(boron)、銦(indium)、其他p型摻雜物、或者前述的組合。這些井可以直接形成於基板202之上及/或之中。可以執行離子植入製程、擴散製程、及/或其他合適的摻雜製程以形成這些井。
如在第3圖、第5圖、以及第6圖中所示,半導體裝置200進一步包含位於基板202上以及用以隔離相鄰主動區205的隔離結構(或者隔離特徵部件)230。隔離結構230可包含氧化矽(silicon oxide)、氮化矽(silicon nitride)、氮氧化矽(silicon oxynitride)、其他合適的隔離材料(例如,包含矽、氧、氮、碳、或者其他合適的隔離成分)或者前述的組合。隔離結構230可包含不同的結構,例如淺溝槽隔離(shallow trench isolation;STI)結構、深溝槽隔離(deep trench isolation;DTI)結構、及/或矽局部氧化(local oxidation of silicon;LOCOS)結構。
如在第3圖、第4圖、以及第5圖中所示,通道層215設置在p井204P以及n井204N之上,並且連接一對源極/汲極特徵260。特別而言,每一個通道層215A以及通道層215B(以及通道層215E以及通道層215F)連接一對n型的源極/汲極特徵260N,而通道層215D(以及通道層215C)連接一對p型的源極/汲極特徵260P。在一實施例中,(NMOS電晶體的)通道層215A、通道層215B、通道層215E、以及通道層215F包含單晶矽或者本質矽(intrinsic silicon)或者另外合適的半導體材料;以及(PMOS地晶體的)通道層215C以及通道層215D可包含矽、鍺、鍺矽、或者另外合適的半導體材料。
參考第2圖、第4圖、第5圖、以及第6圖,半導體裝置200進一步包含在源極/汲極區中的n型摻雜的源極/汲極(S/D)特徵部件260N以及p型摻雜的源極/汲極(S/D)特徵部件260P。例如,源極/汲極特徵部件260N設置於閘極堆疊240A的兩側之上並且與通道層215A連接以形成NMOS鰭式場效電晶體(即PG-1)。類似地,源極/汲極特徵部件260N分別設置於閘極堆疊240B、閘極堆疊240C、以及閘極堆疊240D的兩側之上並且分別與通道層215A、通道層215E、以及通道層215F連接以形成NMOS鰭式場效電晶體(即PD-1、PD-2、以及PG-2)。源極/汲極特徵部件260P分別設置於閘極堆疊240B以及閘極堆疊240C的兩側之上並且分別與通道層215C以及通道層215D連接以形成PMOS鰭式場效電晶體(即PU-1以及PU-2)。
源極/汲極特徵部件260P以及源極/汲極特徵部件260N可利用磊晶成長來形成。例如,一半導體材料從基板202以及相應的通道層215的部分磊晶成長,以形成磊晶的源極/汲極特徵部件260P以及磊晶的源極/汲極特徵部件260N。在一些實施例中,磊晶的源極/汲極特徵部件260N可包含矽,且可由碳、磷、砷、其他n型摻雜物、或者前述的組合所摻雜(例如,形成矽:碳磊晶源極/汲極特徵部件、矽:磷磊晶源極/汲極特徵部件、或者矽:碳:磷磊晶源極/汲極特徵部件)。在一些實施例中,磊晶的源極/汲極特徵部件260P可包含鍺矽或者鍺,且可由硼、其他p型摻雜物、或者前述的組合所摻雜(例如,形成矽:鍺:硼磊晶源極/汲極特徵部件)。源極/汲極特徵部件260P以及源極/汲極特徵部件260N可在原位(in-situ)或者非原位(ex-situ)受摻雜。在一些實施例中,源極/汲極特徵部件260P以及源極/汲極特徵部件260N包含不只一個磊晶半導體層,其中磊晶半導體層可包含相同的或者不同的材料及/或摻雜物濃度。
如在第3圖、第4圖、以及第5圖中所示,每一個閘極堆疊240包含一閘極電極層350,其設置在一閘極介電層282之上。閘極電極層350以及閘極介電層282接合(engages)每一個通道層215的頂部以及側壁。在一些更進一步的實施例中,閘極堆疊240進一步包含在閘極介電層282以及通道層215之間的一界面層(interfacial layer)(例如具有二氧化矽(silicon dioxide)、氮氧化矽、或著其他合適的材料)。閘極介電層282可包含一高介電係數的介電材料,例如二氧化鉿(HfO 2)、矽酸鉿(HfSiO)、矽酸鉿(HfSiO 4)、氮氧化矽鉿(HfSiON)、鑭酸鉿(HfLaO)、鉭酸鉿(HfTaO)、鈦酸鉿(HfTiO)、鋯酸鉿(HfZrO)、鉿鋁氧化物(HfAlO x)、氧化鋯(ZrO)、二氧化鋯(ZrO 2)、矽酸鋯(ZrSiO 2)、一氧化鋁(AlO)、矽酸鋁(AlSiO)、氧化鋁(Al 2O 3)、氧化鈦(TiO)、二氧化鈦(TiO 2)、氧化鑭(LaO)、矽酸鑭(LaSiO)、三氧化二鉭(Ta 2O 3)、五氧化二鉭(Ta 2O 5)、氧化釔(Y 2O 3)、鈦酸鍶(SrTiO 3)、鋯酸鋇(BaZrO)、鈦酸鋇(BaTiO 3;BTO)、鈦酸鍶鋇((Ba,Sr)TiO 3;BST)、氮化矽(Si 3N 4)、二氧化鉿-氧化鋁(hafnium dioxide-alumina;HfO 2-Al 2O 3)合金、其他合適的高介電係數的介電材料、或前述的組合。高介電係數(high-k)的介電材料通常指具有高介電係數,例如大於氧化矽介電係數(k≈3.9)的介電材料。閘極介電層282由化學氧化、熱氧化、原子層沉積(atomic layer deposition;ALD)、化學氣相沉積(chemical vapor deposition;CVD)、及/或其他合適的方法所形成。在一些實施例中,閘極電極層350包含NMOSFET裝置的一n型功函數層或者PMOSFET裝置的一p型功函數層,以及進一步包含設置在功函數層上的金屬填充層。例如,n型功函數層可包含具有足夠低有效功函數的金屬,例如鈦(titanium)、鋁(aluminum)、碳化鉭(tantalum carbide)、氮碳化鉭(tantalum carbide nitride)、氮化矽鉭(tantalum silicon nitride)、或者前述的組合。例如,p型功函數層可包含具有足夠高有效功函數的金屬,例如氮化鈦(titanium nitride)、氮化鉭(tantalum nitride)、釕(ruthenium)、鉬(molybdenum)、鎢(tungsten)、鉑(platinum)、或者前述的組合。例如,金屬填充層可包含鋁、鎢、鈷(cobalt)、銅(copper)、及/或其他合適的材料。閘極電極層350可由化學氣相沉積、物理氣相沉積(physical vapor deposition;PVD)、電鍍、及/或其他合適的製程所形成。由於閘極堆疊240包含高介電係數的介電層以及金屬層、其亦可稱為高介電係數的金屬閘極。
如第4圖以及第5圖所示,半導體裝置200包含閘極間隔物247,其位於閘極堆疊240的側壁以及通道層215之上。閘極間隔物247可由任何合適的製程所形成,且包含一介電材料,例如氧化矽、氮化矽、氮氧化矽(SiON)、碳化矽、氮化碳矽(silicon carbon nitride;SiCN)、碳氧化矽(SiOC)、氮碳氧化矽(SiOCN)、摻雜碳的氧化物、摻雜氮的氧化物、多孔氧化物、氣間隔、或者前述的組合。在一些實施例中,閘極間隔物247包含多層結構,例如包含氮化矽的第一介電層以及包含氧化矽的第二介電層。
如第3圖所示,半導體裝置200進一步包含閘極端介電特徵部件404,其設置在一閘極堆疊240的一端以及另一個閘極堆疊240的一端之間。在一實施例中,閘極端介電特徵404包含一高介電係數的材料,例如從以下所述材料當中所選出的材料,包含氮化矽(Si 3N 4)、含氮的氧化物、含碳的氧化物、介電金屬氧化物例如二氧化鉿(HfO 2)、矽酸鉿(HfSiO)、矽酸鉿(IV)(HfSiO 4)、氮氧化矽鉿(HfSiON)、鑭酸鉿(HfLaO)、鉭酸鉿(HfTaO)、鈦酸鉿(HfTiO)、鋯酸鉿(HfZrO)、鉿鋁氧化物(HfAlO x)、氧化鋯(ZrO)、二氧化鋯(ZrO 2)、矽酸鋯(ZrSiO 2)、一氧化鋁(AlO)、矽酸鋁(AlSiO)、氧化鋁(Al 2O 3)、氧化鈦(TiO)、二氧化鈦(TiO 2)、氧化鑭(LaO)、矽酸鑭(LaSiO)、三氧化二鉭(Ta 2O 3)、五氧化二鉭(Ta 2O 5)、氧化釔(Y 2O 3)、鈦酸鍶(SrTiO 3)、鋯酸鋇(BaZrO)、鈦酸鋇(BaTiO 3;BTO)、鈦酸鍶鋇((Ba,Sr)TiO 3;BST)、二氧化鉿-氧化鋁(hafnium dioxide-alumina;HfO 2-Al 2O 3)合金、其他合適的高介電係數的介電材料、或前述的組合。
如第3圖、第4圖、以及第5圖所示,半導體裝置200進一步包含閘極頂部介電層408,其設置於閘極堆疊240之上。閘極頂部介電層408包含從以下所述材料當中所選出的材料,包含氧化矽、碳氧化矽、氮氧化矽、氮碳氧化矽、氮化物基介電、介電金屬氧化物,例如氧化鉿(HfO 2)、氧化鉭(Ta 2O 5)、氧化鈦(TiO 2)、氧化鋯(ZrO 2)、氧化鋁(Al 2O 3)、氧化釔(Y 2O 3)、或者前述的組合。
如第4圖、第5圖、以及第6圖所示,半導體裝置200進一步包含矽化物特徵部件261,其設置於源極/汲極特徵部件260N以及源極/汲極特徵部件260P之上,以及包含位於矽化物特徵部件261之上的源極/汲極(S/D)接點406。形成矽化物特徵部件261的方式,可藉由沉積一個或者多個金屬於源極/汲極特徵部件260N以及源極/汲極特徵部件260P之上,並對半導體裝置200執行退火製程,藉以在一個或者多個金屬以及源極/汲極特徵部件260N以及源極/汲極特徵部件260P之間產生反應,製造矽化物特徵部件261,再移除一個或者多個金屬中未反應部分。矽化物特徵261可包含矽化鈦(titanium silicide;TiSi)、矽化鎳(nickel silicide;NiSi)、矽化鎢(tungsten silicide;WSi)、矽化鎳鉑(nickel-platinum silicide;NiPtSi)、矽化鎳鉑鍺(nickel-platinum-germanium silicide;NiPtGeSi)、矽化鎳鍺(nickel-germanium silicide;NiGeSi)、矽化鐿(ytterbium silicide;YbSi)、矽化鉑(platinum silicide;PtSi)、矽化銥(iridium silicide;IrSi)、矽化鉺(erbium silicide;ErSi)、矽化鈷(cobalt silicide;CoSi)、或者其他合適的化合物。在一實例中,源極/汲極接點406可包含導電阻障層以及位於導電阻障層上的金屬填充層。導電阻障層用於避免金屬填充層中的金屬材料擴散至相鄰於源極/汲極接點406的介電層。導電阻障層可包含鈦、鉭、鎢、鈷、釕、或者一導電的氮化物,例如 氮化鈦(titanium nitride;TiN)、氮化鈦鋁(titanium aluminum nitride ;TiAlN)、氮化鎢(tungsten nitride;WN)、氮化鉭(tantalum nitride;TaN)、或者前述的組合,且可由化學氣相沉積、物理氣相沉積、原子層沉積、及/或其他合適的製程所形成。金屬填充層可包含鎢、鈷、鉬、釕、或者其他金屬,且可由化學氣相沉積、物理氣相沉積、原子層沉積、電鍍、或者其他合適的製程所形成。在一些實施例中,導電阻障層在源極/汲極接點406中被省略。
如第4圖、第5圖、以及第6圖,半導體裝置200進一步包含層間介電(inter-layer dielectric;ILD)層270。層間介電層270設置於隔離特徵部件230、源極/汲極特徵部件260N以及源極/汲極特徵部件260P、源極/汲極接點406、閘極堆疊240、閘極間隔物247、以及閘極頂部介電層408之上。在一些實施例中,半導體裝置200進一步包含在層間介電層270以及源極/汲極特徵部件260N以及源極/汲極特徵部件260P、閘極堆疊240、以及閘極間隔物247之間的接點蝕刻停止層(contact etch stop layer;CESL)。接點蝕刻停止層可包含氧化鑭(La 2O 3)、氧化鋁(Al 2O 3)、氮碳氧化矽(SiOCN)、碳氧化矽(SiOC)、氮化碳矽(SiCN)、二氧化矽(SiO 2)、碳化矽(SiC)、氧化鋅(ZnO)、氮化鋯(ZrN)、氧化鋯鋁(Zr 2Al 3O 9)、氧化鈦(TiO 2)、氧化鉭(TaO 2)、氧化鋯(ZrO 2)、氧化鉿(HfO 2)、氮化矽(Si 3N 4)、氧化釔(Y 2O 3)、氮氧化鋁(AlON)、氮碳化鉭(TaCN)、矽化鋯(ZrSi)、或者其他合適的材料;且可由化學氣相沉積、物理氣相沉積、原子層沉積、或其他合適的製程所形成。層間介電層270可包含四乙氧基矽烷(tetraethylorthosilicate;TEOS)氧化物、未摻雜的玻璃、或摻雜過的氧化矽例如硼磷矽玻璃(borophosphosilicate glass;BPSG)、氟矽玻璃(FSG)、磷矽酸鹽玻璃(phosphosilicate glass;PSG)、硼摻雜矽玻璃(boron doped silicon glass;BSG)、低介電係數的介電材料、其他合適的介電材料、或者前述的組合。層間介電層270可由電漿輔助化學氣相沉積(PECVD)、可流動的化學氣相沉積(flowable CVD;FCVD)、或者其他合適的方法所形成。
如第2圖、第3圖、第5圖、以及第6圖,半導體裝置200進一步包含對接(butted)接點409,其將源極/汲極接點406分別電性連接至閘極堆疊240C、閘極堆疊240B、以及許多閘極導孔“VG”以及源極/汲極接點導孔“V0”。每一個閘極導孔、源極/汲極接點導孔、以及對接接點可包含一導電阻障層以及位於導電阻障層上的一金屬填充層。導電阻障層可包含鈦、鉭、鎢、鈷、釕、或者一導電的氮化物,例如 氮化鈦(TiN)、氮化鈦鋁(TiAlN)、氮化鎢(tungsten nitride;WN)、氮化鉭(TaN)、或者前述的組合,且可由化學氣相沉積、物理氣相沉積、原子層沉積、及/或其他合適的製程所形成。金屬填充層可包含鎢、鈷、鉬、釕、或者其他金屬,且可由化學氣相沉積、物理氣相沉積、原子層沉積、電鍍、或者其他合適的製程所形成。
第7圖表示高電流SRAM巨集152的一布局,特別是單埠6電晶體SRAM單元154(亦稱高電流SRAM單元154)中特定層的布局。參考第7圖,單埠6電晶體SRAM單元154佔據了由虛線矩形方塊所表示的區域,其沿著“x”方向具有長度X2,以及沿著“y”方向具有寬度Y2。高電流SRAM巨集152包含此高電流SRAM單元154的一陣列,沿著“x”方向配置為列,以及沿著“y”方向配置為行。在這方面,長度X2亦為高電流SRAM單元154的陣列沿著“x”方向的間距(pitch),而寬度Y1亦為高電流SRAM單元154的陣列沿著“y”方向的間距。在本實施例中,高電流SRAM單元154所佔據的面積大於高密度SRAM單元104所佔據的面積(參見第2圖),使高電流SRAM單元154相較於高密度SRAM單元104提供較高的效能(例如,一個更大的來源電流)。在一實施例中,長度X2對長度X1的一比值大於1.1,例如在1.1至1.5的一個範圍之內,而寬度Y1以及寬度Y2的尺寸實質上相同。例如,高密度SRAM單元104以及高電流SRAM單元154可擺放在相同的一列以簡化布局。在一實施例中,每一個高密度SRAM單元104以及高電流SRAM單元154皆設計為薄片,以簡化布局。例如,長度X1對寬度Y1的一比值可大於2,例如在2至2.5的一範圍內,而長度X2對寬度Y2的一比值可大於2.5,例如在2.5至3.5的一範圍內。
高電流SRAM單元154包含沿著“y”方向縱向定向的主動區205(包含主動區205E、主動區205F、主動區205G、主動區205H、主動區205I、以及主動區205J)。以及沿著與“y”方向垂直的“x”方向縱向定向的閘極堆疊240(包含閘極堆疊240E、閘極堆疊240F、閘極堆疊240G、以及閘極堆疊240H)。主動區205G以及主動區205J設置在n型井(或者N井)204N之上。主動區205E至主動區205F以及主動區205I至主動區205J設置在位於n型井204N沿著“x”方向的兩側的p型井(或者P井)204P之上。閘極堆疊240接合(engage)個別的主動區205的通道區以形成電晶體。在這方面,閘極堆疊240E接合主動區205E的通道區215G1以及主動區205F的通道區215G2以形成一NMOSFET,作為傳輸閘電晶體PG-1。閘極堆疊240F接合主動區205E的通道區215H1以及主動區205F的通道區215H2以形成一NMOSFET,作為下拉電晶體PD-1,以及接合主動區205G的通道區215I以形成一PMOSFET,作為上拉電晶體PU-1。閘極堆疊240G接合主動區205I的通道區215K1以及主動區205J的通道區215K2以形成一NMOSFET,作為下拉電晶體PD-2,以及接合主動區205H的通道區215J以形成一PMOSFET,作為上拉電晶體PU-2。並且閘極堆疊240H接合主動區205I的通道區215L1,以及接合主動區205J的通道區215L2以形成一NMOSFET,作為傳輸閘電晶體PG-2。實際上,在高電流SRAM單元154中的每一個下拉電晶體PD-1、下拉電晶體PD-2、傳輸閘電晶體PG-1、以及傳輸閘電晶體PG-2的各別通道區都由兩個半導體鰭片所形成,以達到相較於高密度SRAM單元104中對應元件更高的提供電流(current sourcing)能力。而在高電流SRAM單元154中的每一個上拉電晶體PU-1、上拉電晶體PU-2的各別通道區都由單一個半導體鰭片所形成,與高密度SRAM單元104中相對應的電晶體相同。在一些實施例中,在高電流SRAM單元154中的每一個下拉電晶體PD-1、下拉電晶體PD-2、傳輸閘電晶體PG-1、以及傳輸閘電晶體PG-2的各別通道區由兩個以上的半導體鰭片所形成,以進一步增加提供電流能力。電晶體的通道215G1至通道215L2沿著“y”方向縱向定向(亦即,沿著從源極至汲極的方向,或者反之亦然),以及沿著“x”方向橫向定向。通道215L2具有閘極長度Lg3,而通道215K2具有閘極長度Lg4。在本實施例中,閘極長度Lg3以及閘極長度Lg4大致上相同,其分別由閘極堆疊240H以及閘極堆疊240G的寬度所定義。進一步而言,在本實施例中,通道215G1、通道215G2、通道215H1、通道215H2、通道215I、通道215J、通道215K1、通道215K2、通道215L1、以及通道215L2的長度大致上相同,並且與高密度SRAM單元104中的通道215A、通道215B、通道215C、通道215D、通道215E、以及通道215F的長度大致上相同。高電流SRAM單元154進一步包含源極/汲極接點,設置在主動區205的源極/汲極區之上(源極/汲極區係分別設置在各自的通道區的兩側之上),SRAM單元154進一步包含對接接點(Butt_Co)409,設置在主動區205G之上,並且將主動區205G連接至閘極堆疊240G以及將主動區205H連接至閘極堆疊240F,SRAM單元154進一步包含源極/汲極導孔(“V0”),設置在源極/汲極接點,並且對其連接,以及SRAM單元154進一步包含兩個閘極導孔(“VG”),分別設置在閘極堆疊240E以及閘極堆疊240H之上,並且對其連接。第7圖進一步描繪電路節點:CVdd節點、位元線節點、反位元線節點,對應至第1B圖中的Vss、CVdd、BL、以及BLB。
第8圖以及第9圖描繪高電流SRAM單元154分別沿著第7圖中的切線cut2以及切線cut6的剖面圖。高電流SRAM單元154的許多特徵部件與高密度SRAM單元104的特徵部件相似或者相同,而同樣參考編號代表同樣特徵部件。整體參考第7圖、第8圖、以及第9圖,在本實施例中,主動區205包含半導體鰭片形狀的電晶體通道215,以及夾著通道區的源極/汲極區之中的源極/汲極特徵部件260(包含PMOSFET的源極/汲極特徵部件260P以及NMOSFET的源極/汲極特徵部件260N)。屬於在高電流SRAM單元154中的相同下拉電晶體或者傳輸閘電晶體的源極/汲極特徵部件260N可能結合在一起,如第9圖所示。在本實施例中,在高密度SRAM單元104中的源極/汲極特徵部件260P(第6圖)相較於在高電流SRAM單元154的源極/汲極特徵部件260P(第9圖)受到一額外p型摻雜物(例如硼)劑量所摻雜。在一實施例中,在高電流SRAM單元154的源極/汲極特徵部件260P之中的硼摻雜物濃度在大約1x10 19原子/立方公分至大約6x10 20原子/立方公分的一範圍內,而在高密度SRAM單元104中的源極/汲極特徵部件260P的硼摻雜物濃度大於在高電流SRAM單元154的源極/汲極特徵部件260P之中的硼摻雜物濃度大約二至五倍。提供了額外的p型摻雜物劑量是為了調整高密度SRAM單元104以及高電流SRAM單元154以達到不同的效能目標。例如,額外的p型摻雜物劑量降低臨界電壓(Vt)並且增加在高密度SRAM單元104中的上拉電晶體的Ion電流(導通電流)。這會導致在高密度SRAM單元104中的阿爾發比會大於在高電流SRAM單元154中的阿爾發比(阿爾發比代表上拉電晶體的導通電流對傳輸閘電晶體的導通電流的比值),因而導致在高密度SRAM單元104中的較低漏電流以及較低待機電流。這會導致高密度SRAM單元104具有較佳單元穩定性。例如,高密度SRAM單元104對於儲存以及維持高邏輯狀態具有較好的能力。對於高電流SRAM單元154,由於(a)相較於上拉電晶體,傳輸閘電晶體有更多鰭片,以及(b)上拉電晶體相較於在高密度SRAM單元104之中的電晶體具有較少的p型摻雜,所以高電流SRAM單元154的阿爾發比低於高密度SRAM單元104的阿爾發比。對快速的寫入操作而言,這導致高電流SRAM單元154具有更好的寫入裕度。因此,高電流SRAM單元154並不需要耦接至任何寫入輔助電路(因而縮減高電流SRAM巨集152的足跡),而高密度SRAM單元104係耦接至寫入輔助電路以加強寫入效能。
進一步而言,在一些實施例中,在高密度SRAM單元104的n型鰭式場效電晶體(例如傳輸閘電晶體PG-1、傳輸閘電晶體PG-2、下拉電晶體PD-1、以及下拉電晶體PD-2)的閘極電極350中的功函數金屬層,與高電流SRAM單元154的n型鰭式場效電晶體(例如傳輸閘電晶體PG-1、傳輸閘電晶體PG-2、下拉電晶體PD-1、以及下拉電晶體PD-2)的閘極電極350中的功函數金屬層,具有相同的材料。例如,其皆可包含氮化鈦或者氮化鎢-碳(WN-C)。然而,前者比後者更厚。此點可以用來微調臨界電壓,使得在高密度SRAM單元104中的n型鰭式場效電晶體的臨界電壓大於高電流SRAM單元154中的n型鰭式場效電晶體的臨界電壓。例如,在一些實施例中,在閘極電極350中的功函數金屬層包含一含鋁層,位於氮化鈦或者氮化鎢-碳(WN-C)的層之上。含鋁層的鋁元素可經擴散穿過在此之下的層直到閘極介電層282的介面。此擴散經常降低NMOSFET的臨界電壓以及增加PMOSFET的臨界電壓。在含鋁層以及閘極介電層282之間具有一層較厚的氮化鈦或者氮化鎢-碳(WN-C),可以更有效地阻隔此鋁擴散,因而在高密度SRAM單元104中的n型鰭式場效電晶體(例如傳輸閘電晶體PG-1、傳輸閘電晶體PG-2、下拉電晶體PD-1、以及下拉電晶體PD-2)產生的臨界電壓,可以大於在高電流SRAM單元154中的n型鰭式場效電晶體的臨界電壓。較大的臨界電壓導致高密度SRAM單元104具有較低的漏電流以及較低的待機電流。
在一些實施例中,在高密度SRAM單元104的n型鰭式場效電晶體以及p型鰭式場效電晶體(例如傳輸閘電晶體PG-1、傳輸閘電晶體PG-2、下拉電晶體PD-1、下拉電晶體PD-2、上拉電晶體PU-1、以及上拉電晶體PU-2)的閘極電極350中的功函數金屬層,係由相同的材料所形成。而高電流SRAM單元154的n型鰭式場效電晶體(例如傳輸閘電晶體PG-1、傳輸閘電晶體PG-2、下拉電晶體PD-1、以及下拉電晶體PD-2)的閘極電極350中的功函數金屬層,則與高電流SRAM單元154的p型鰭式場效電晶體(例如上拉電晶體PU-1、以及上拉電晶體PU-2)的閘極電極350中的功函數金屬層,以不同材料所形成。在高密度SRAM單元104中的NMOSFET以及PMOSFET兩者皆使用相同的功函數金屬層可避免N功函數/P功函數的邊界問題,此涉及基於不同功函數金屬層的不同圖案化,造成在NMOSFET以及PMOSFET中的臨界電壓變化的問題。對於高電流SRAM單元154,在NMOSFET以及PMOSFET中的不同功函數金屬層可被用以在NMOSFET以及PMOSFET兩者中產生低臨界電壓,因而增加高電流SRAM單元154的運作速度。更進一步,高密度SRAM單元104的下拉鰭式場效電晶體(例如下拉電晶體PD-1、以及下拉電晶體PD-2)的臨界電壓(Vt),會高於高電流SRAM單元154的下拉鰭式場效電晶體(例如下拉電晶體PD-1、以及下拉電晶體PD-2)的臨界電壓。例如,在一些實施例中,前者可大於後者大約30毫伏。這導致高密度SRAM單元104相較於高電流SRAM單元154具有較低漏電流以及較低待機電流。
第10圖表示高密度SRAM巨集102的特定金屬層的布局。為了簡化,省略了主動區205以及閘極堆疊240,而代表高密度SRAM單元104的虛線方塊依然展示於第10圖中。參考第10圖,位元線BL、反位元線BLB、以及正電壓源Vdd(或者CVdd)線是以第一金屬層M1之中的導體(金屬線)所實施,且透過導孔(“via0”)連接至下方的源極/汲極接點。在第一金屬層M1中的這些導體沿著“y”方向縱向定向。字元線WL以及負電壓源Vss線的接觸墊(landing pad)是以位在第一金屬層M1正上方的第二金屬層M2中的導體(金屬線)所實施,且透過導孔(“via1”)連接至在下方的第一金屬層M1中的特徵部件(例如一負電壓源Vss線的接觸墊以及一字元線WL的接觸墊)。在第二金屬層M2中的這些導體沿著“x”方向縱向定向。負電壓源(或者接地)Vss線是由位在第二金屬層M2正上方的第三金屬層M3中導體(金屬線)所實施,在第三金屬層M3中的這些導體沿著“y”方向縱向定向並且透過導孔(“via2”)連接至在下方的第二金屬層M2中的特徵部件(例如一負電壓源Vss線的接觸墊)。如第10圖所示,在第一金屬層M1中的位元線導體(例如位元線BL以及反位元線BLB)沿著“x”方向具有寬度BL_W1。
第11圖表示高電流SRAM巨集152的特定金屬層的布局。這些金屬層的結構相似於在高密度SRAM巨集102中的相應結構。例如,位元線導體(例如位元線BL以及反位元線BLB)以及正電壓源Vdd線是由第一金屬層M1中的導體(金屬線)所實施;字元線WL以及負電壓源Vss線的接觸墊(landing pad)是由第二金屬層M2中的導體(金屬線)所實施;以及負電壓源(或者接地)Vss線則是由第三金屬層M3中的導體(金屬線)所實施。如第11圖所示,在第一金屬層M1中的位元線導體(例如位元線BL以及反位元線BLB)沿著“x”方向具有寬度BL_W2。在本實施例中,高電流SRAM巨集152的位元線導體比高密度SRAM巨集102的位元線導體更寬(亦即寬度BL_W2>寬度BL_W1),使得更高的電流能夠導通高電流SRAM巨集152的位元線導體而在讀取與寫入操作時減少電壓下降。在一些實施例中,寬度BL_W2對寬度BL_W1的比值大於1.2。在一些實施例中,寬度BL_W2對寬度BL_W1的比值在1.1至2的範圍內。
第12圖以及第17圖根據在另一個實施例中利用閘極全環電晶體實施各種上拉電晶體、下拉電晶體、以及傳輸閘電晶體的情況下,分別表示高密度SRAM巨集102以及高電流SRAM巨集152的布局圖的部分。第13圖、第14圖、第15圖、以及第16圖分別表示第12圖的高密度SRAM巨集102分別沿著第12圖中的切線cut1、切線cut3、切線cut4、以及切線cut5的剖面圖。第18圖以及第19圖分別表示第17圖的高電流SRAM巨集152分別沿著第17圖中的切線cut2以及切線cut6的剖面圖。在第12圖至第16圖中的高密度SRAM巨集102以及在第17圖至第19圖的高電流SRAM巨集152的許多特徵部件,分別與第2圖至第6圖中的特徵部件以及第7圖至第9圖中的特徵部件相同,其中相同的參考編號代表相同的特徵部件。為了簡化,後續的討論僅專注於兩個實施例之間的一些差異之處。
參考第12圖、第13圖、第14圖、第15圖、以及第16圖整體,在本實施例中,在高密度SRAM巨集102中(或者在高密度SRAM單元104中)的主動區205(例如主動區205A、主動區205B、主動區205C、主動區205D)包含在各自通道區之中的水平定向垂直堆疊的電晶體通道215(通道215A、通道215B、通道215C、通道215D、通道215E、以及通道215F),以及包含夾著通道區的源極/汲極區中的源極/汲極特徵部件260(包含PMOSFET的源極/汲極特徵部件260P以及NMOSFET的源極/汲極特徵部件260N)。電晶體的通道215(包含通道215A至通道215F)沿著“y”方向縱向定向以及沿著“x”方向橫向定向。第12圖描繪通道215F具有閘極長度Lg1以及通道215E具有閘極長度Lg2。在本實施例中,閘極長度Lg1以及閘極長度Lg2大約相同,其分別由閘極堆疊240D以及閘極堆疊240C的寬度所定義。進一步而言,在本實施例中,通道215A、通道215B、通道215C、通道215D、通道215E、以及通道215F的長度大約相同。通道215A至通道215F的長度設計不同,以提供效能提升。如第12圖所示,通道215A以及通道215F(分別用於傳輸閘電晶體PG-1以及傳輸閘電晶體PG-2)的寬度為寬度W1,通道215B以及通道215E(分別用於下拉電晶體PD-1以及下拉電晶體PD-2)的寬度為寬度W2,以及通道215C以及通道215D(分別用於上拉電晶體PU-1以及上拉電晶體PU-2)的寬度為寬度W3。在本實施例中,寬度W2大約等於寬度W1,而寬度W1以及寬度W2大於寬度W3。
如第13圖、第14圖、以及第15圖中所示,通道(通道層)215A懸於p型井204P之上,並且連接至一對源極/汲極特徵部件260N。通道(通道層)215A沿著“z”方向(垂直方向或者通道厚度方向)相互堆疊,且每個通道215A皆沿著“y”方向縱向定向(如第14圖所示)以及沿著“x”方向橫向定向(如第13圖所示)。其他的通道(通道層)215B、通道(通道層)215C、通道(通道層)215D、通道(通道層)215E、以及通道(通道層)215F皆類似地配置。閘極堆疊240A(包含閘極介電層282以及閘極電極350)環繞每一個通道215A(第13圖),以形成NMOS閘極全環電晶體PG-1(亦即傳輸閘電晶體PG-1)。其他的電晶體例如上拉電晶體PU-1、上拉電晶體PU-2、下拉電晶體PD-1、下拉電晶體PD-2、以及傳輸閘電晶體PG-2亦相同地配置為閘極全環電晶體。通道215A至通道215F可包含單晶矽或者本質矽。另外,通道215A至通道215F可包含鍺、鍺矽、或者其他合適的半導體材料。一開始,通道215A至通道215F形成在包含通道215A至通道215F以及不同材料的其他半導體層的半導體層堆疊。在置換閘極製程當中,選擇性蝕刻在通道區的半導體層堆疊以移除其他半導體層,使得通道215A至通道215F懸浮於基板202之上以及在各自的源極/汲極特徵部件260P以及源極/汲極特徵部件260N之間。這亦可稱為通道釋放製程。
如第13圖所示,傳輸閘電晶體PG-1以及傳輸閘電晶體PG-2的通道層215具有沿著“x”方向的寬度W1以及沿著“z”方向的厚度T1,下拉電晶體PD-1以及下拉電晶體PD-2的通道層215具有沿著“x”方向的寬度W2以及沿著“z”方向的厚度T1,以及上拉電晶體PU-1以及上拉電晶體PU-2的通道層215具有沿著“x”方向的寬度W3以及沿著“z”方向的厚度T2。當電晶體被開啟時,電流流經各自通道層215的所有表面。例如,通道層215A的有效導通通道寬度為2W1+2T1。因此,可以設計通道215的寬度以及厚度以在各自的閘極堆疊240依然能夠維持通道層215的完全控制時達到一特定的效能目標,以抑制短通道效應。在所述的實施例中,厚度T1、厚度T2、以及厚度T3大約相同,雖然本揭露中亦可考慮厚度T1、厚度T2、以及厚度T3配置為不同的實施例。進一步而言,在本實施例中,在每一個電晶體中有三個通道層215。本揭露中亦可考慮具有更多或者更少的通道層215的實施例。例如,在一些實施例中,每一個電晶體具有兩個至十個通道層215。在許多實施例中,寬度W1對厚度T1的比值在0.9至4的範圍之內,例如在1.2至3的範圍內;寬度W3對厚度T2的比值在1至2的範圍之內。因此,通道層215的形狀為矩形條或者片狀。在一些實施例中,每一個寬度W1、寬度W2、以及寬度W3可在大約4奈米至大約60奈米的範圍之內。
如第14圖以及第15圖中所示,半導體裝置200進一步包含閘極間隔物255,位於閘極堆疊240的側壁上以及最頂部的通道層215之下。在本揭露中,閘極間隔物247亦可稱為外部間隔物247或者頂部間隔物247,而閘極間隔物255亦可稱為內部間隔物255。內部間隔物255側向設置在源極/汲極特徵部件260N(或者源極/汲極特徵部件260P)以及閘極堆疊240之間,並且垂直設置在相鄰通道層215之間。在許多實施例中,頂部間隔物247可具有沿著“y”方向在大約3奈米至大約12奈米的範圍之內的寬度。
參考第17圖、第18圖、以及第19圖整體,在本實施例中,在高電流SRAM巨集152的主動區205(主動區205E、主動區205F、主動區205G、以及主動區205H)包含在各自通道區之中的水平定向垂直堆疊的電晶體通道215(通道215G、通道215H、通道215I、通道215J、通道215K、以及通道215L),以及包含夾著通道區的源極/汲極區中的源極/汲極特徵部件260(包含PMOSFET的源極/汲極特徵部件260P以及NMOSFET的源極/汲極特徵部件260N)。電晶體的通道215(包含通道215G至通道215L)沿著“y”方向縱向定向以及沿著“x”方向橫向定向。第17圖描繪通道215L具有閘極長度Lg3以及通道215K具有閘極長度Lg4。在本實施例中,閘極長度Lg3以及閘極長度Lg4大約相同,其分別由閘極堆疊240H以及閘極堆疊240G的寬度所定義。進一步而言,在本實施例中,通道215G、通道215H、通道215I、通道215J、通道215K、以及通道215L的長度大約相同。通道215G至通道215L的長度設計不同,以提供效能提升。如第17圖所示,通道215G以及通道215L(分別用於傳輸閘電晶體PG-1以及傳輸閘電晶體PG-2)的寬度為寬度W4,通道215H以及通道215K(分別用於下拉電晶體PD-1以及下拉電晶體PD-2)的寬度為寬度W5,以及通道215I以及通道215J(分別用於上拉電晶體PU-1以及上拉電晶體PU-2)的寬度為寬度W6。在本實施例中,寬度W4大約等於寬度W5,而寬度W4以及寬度W5大於寬度W6。進一步而言,用於高電流SRAM單元154中下拉電晶體的寬度W5大於用於高密度SRAM單元104中下拉電晶體的寬度W2,以便使高電流SRAM單元154具有大於高密度SRAM單元104的提供電流能力。在一些實施例中,寬度W5對寬度W2的比值在大約1.2至大約5的範圍內,例如在1.3至3的範圍內。
在一實施例中,長度X2(在第17圖中的高電流SRAM單元154的“x”間距)對長度X1(在第12圖中的高密度SRAM單元104的“x”間距)的比值大於1.1,例如在1.1至1.5的範圍內,以及寬度Y1(在第12圖中的高密度SRAM單元104的“y”間距)以及寬度Y2(在第17圖中的高電流SRAM單元154的“y”間距)實質上相同。例如,高密度SRAM單元104以及高電流SRAM單元154可擺放在相同的一列以簡化布局。在一實施例中,每一個高密度SRAM單元104以及高電流SRAM單元154皆設計為薄片,以簡化布局。例如,長度X1對寬度Y1的一比值可大於2,例如在2至2.5的一範圍內,而長度X2對寬度Y2的一比值可大於2.5,例如在2.5至3.5的一範圍內。
在本實施例中,在高密度SRAM單元104中的源極/汲極特徵部件260P(第16圖)相較於在高電流SRAM單元154的源極/汲極特徵部件260P(第19圖)受到一額外p型摻雜物(例如硼)劑量所摻雜。在一實施例中,在高電流SRAM單元154的源極/汲極特徵部件260P之中的硼摻雜物濃度在大約1x10 19原子/立方公分至大約6x10 20原子/立方公分的一範圍內,而在高密度SRAM單元104中的源極/汲極特徵部件260P的硼摻雜物濃度大於在高電流SRAM單元154的源極/汲極特徵部件260P之中的硼摻雜物濃度大約二至五倍。此摻雜的優勢與參考第6圖至第9圖(亦即,鰭式場效電晶體)所述的優勢相同。進一步而言,在一些實施例中,在高密度SRAM單元104的n型鰭式場效電晶體(例如傳輸閘電晶體PG-1、傳輸閘電晶體PG-2、下拉電晶體PD-1、以及下拉電晶體PD-2)的閘極電極350中的功函數金屬層,與高電流SRAM單元154的n型鰭式場效電晶體(例如傳輸閘電晶體PG-1、傳輸閘電晶體PG-2、下拉電晶體PD-1、以及下拉電晶體PD-2)的閘極電極350中的功函數金屬層,具有相同的材料。例如,其皆可包含氮化鈦或者氮化鎢-碳(WN-C)。然而,前者比後者更厚。此設計的優勢與參考第6圖至第9圖(亦即,鰭式場效電晶體)所述的優勢相同。在一些實施例中,在高密度SRAM單元104的n型鰭式場效電晶體以及p型鰭式場效電晶體(例如傳輸閘電晶體PG-1、傳輸閘電晶體PG-2、下拉電晶體PD-1、下拉電晶體PD-2、上拉電晶體PU-1、以及上拉電晶體PU-2)的閘極電極350中的功函數金屬層的材料係由相同的材料所形成,而形成在高電流SRAM單元154的n型鰭式場效電晶體(例如傳輸閘電晶體PG-1、傳輸閘電晶體PG-2、下拉電晶體PD-1、以及下拉電晶體PD-2)的閘極電極350中的功函數金屬層的材料與形成在高電流SRAM單元154的p型鰭式場效電晶體(例如上拉電晶體PU-1、以及上拉電晶體PU-2)的閘極電極350中的功函數金屬層的材料有所不同。此摻雜的優勢與參考第6圖至第9圖(亦即,鰭式場效電晶體)所述的優勢相同。
第20圖表示在第12圖所示的高密度SRAM巨集102的特定金屬層的布局。第21圖表示在第17圖所示的高電流SRAM巨集152的特定金屬層的布局。第20圖以及第21圖中的特徵部件實質上與第10圖以及第11圖中的特徵部件相同。因此,前述特徵部件的敘述在此省略。如第20圖所示,在第一金屬層M1中的位元線導體(例如位元線BL以及反位元線BLB)沿著“x”方向具有寬度BL_W1。如第21圖所示,在第一金屬層M1中的位元線導體(例如位元線BL以及反位元線BLB)沿著“x”方向具有寬度BL_W2。在本實施例中,高電流SRAM巨集152的位元線導體比高密度SRAM巨集102的位元線導體更寬(亦即寬度BL_W2>寬度BL_W1),使得更高的電流能夠導通高電流SRAM巨集152的位元線導體而在讀取與寫入操作時減少電壓下降。在一些實施例中,寬度BL_W2對寬度BL_W1的比值大於1.2。在一些實施例中,寬度BL_W2對寬度BL_W1的比值在1.1至2的範圍內。
如上所述,高密度SRAM單元104(以例如第2圖至第6圖所示的鰭式場效電晶體或者例如第12圖至第16圖所示的閘極全環電晶體來實施)被設計為具有高記憶體密度、低漏電流、以及低能量消耗。然而,此代價為在一些實施例下有低寫入裕度。在本實施例中,寫入輔助電路(提供在高密度SRAM巨集102)耦接至每一個高密度SRAM單元104以改善前述的寫入裕度。由於在高電流SRAM單元154中的下拉電晶體以及傳輸閘電晶體比在高密度SRAM單元104中相應電晶體具有更寬的通道,因此高電流SRAM單元154不需要寫入輔助電路,且高電流SRAM巨集152不包含寫入輔助電路。
第22A圖以及第22B圖描繪實施在高密度SRAM巨集102中之寫入輔助電路的一實施例,具體而言,是在高密度SRAM巨集102的周圍邏輯電路。如第22A圖中所示,提供了高密度SRAM單元104的陣列(在虛線方塊中),並且標記為“單位單元”。在陣列中具有M列以及N行的高密度SRAM單元104,其中M以及N為整數。在一些實施例中,M為從1至512的整數,而N為從1至512的整數。高密度SRAM單元104的N個位元線(例如位元線BL以及反位元線BLB)皆佈線至多工器“Y_MUX”,其耦接至寫入驅動器(Write-driver)。寫入驅動器耦接至負偏壓邏輯(NBL)電路506。M個字元線(例如字元線WL_1至字元線WL_M)耦接至字元線解碼器504。
在寫入操作當中,負偏壓邏輯電路506用來選擇性地調整接地參考電壓(例如Vss)。負偏壓邏輯電路506為寫入輔助電路。負偏壓邏輯電路506包含一負電壓產生器(例如耦接驅動電路508),其透過電容器505電性連接至在高密度SRAM巨集102中複數個高密度SRAM單元104的每一個單元的位元線BL以及反位元線BLB。
負偏壓邏輯電路506用以接收一輸入訊號(例如,致能控制訊號),其觸發負電壓產生器(例如耦接驅動電路508)以選擇性地調整寫入驅動器的接地參考電壓Vss。在一些實施例中,在高密度SRAM巨集102的寫入週期中,若是負電壓產生器由控制訊號所致能,則位元線BL(或者反位元線BLB)放電至一低電壓(Vss)狀態,以及反位元線BLB(或者位元線BLB)預充電至一高電壓(Vdd)狀態,而負電壓產生器被配置將位元線電壓減少至低於低電壓狀態(例如Vss)(亦即,NVss低於Vss)。接地來源節點NVss透過多工器“Y_MUX”,耦接至位元線或者反位元線。
在一些實施例中,在所選記憶體單元的寫入操作當中,負偏壓邏輯電路506被配置以連接寫入驅動器(Write-driver)的接地來源節點(例如接地來源節點NVss)至一負電壓。在一些實施例中,負電壓(接地來源節點NVss)小於參考接地(例如負電壓源(或者接地)Vss線)。在一些實施例中,負電壓(接地來源節點NVss)小於參考接地(例如負電壓源(或者接地)Vss線)一第一範圍。在一些實施例中,此第一範圍為從50毫伏(mV)至300毫伏。
在一些實施例中,寫入驅動器(Write-driver)的接地來源節點(例如NVss)電性連接重置電路或者歸零(zeroing)電路(未圖示),其被配置以選擇性地重置接地來源節點(例如NVss)的電壓。在一些實施例中,重置電路或者歸零電路包含一NMOS電晶體,其中源極連接至接地、且閘極連接至一重置訊號,此重置訊號將NMOS電晶體切換至開啟或者關閉。
第22B圖描繪第22A圖中多工器Y_MUX和寫入驅動器的更多細節。第22B圖表示高密度SRAM單元104,其位元線以及反位元線連接至多工器Y_MUX。多工器Y_MUX包含一Y解碼器(或者行解碼器)(為了選取記憶體單元的位元線以及反位元線)以及兩個NMOS閘控元件(gates)。寫入驅動電路包含輸出耦接至多工器Y_MUX中的NMOS電晶體的源極(或者汲極)的反向器。
第22B圖亦表示高密度SRAM巨集102中各種訊號的波形圖,訊號包含字元線、位元線、反位元線、以及負偏壓邏輯電路506的致能控制訊號。如圖所示,在一些實施例中,在寫入操作當中,一個高至低的轉換會觸發耦接驅動電路508,用以產生快速脈波至電容器505,並且提供一負角接電壓(delta voltage)至負電源線(或者接地)Vss節點,反位元線BLB的電壓耦接至比真實接地(例如NVss)還低的電壓,以及位元線BL的電壓維持在邏輯高位階(例如Vdd)。在一些實施例中,在寫入操作當中,一個高至低的轉換會觸發耦接驅動電路508,用以產生快速脈波至電容器505,並且提供一負角接電壓(delta voltage)至負電源線(或者接地)Vss節點,位元線BL的電壓耦接至比真實接地(例如NVss)還低的電壓,以及反位元線BLB的電壓維持在邏輯高位階(例如Vdd)。雖然第22B圖描繪位元線BL預充電至高位階而反位元線BLB放電至負電壓源(或者接地)Vss線,在一些實施例中,反位元線BLB預充電至高位階而位元線BL放電至負電壓源(或者接地)Vss線。
第23A圖以及第23B圖描繪高密度SRAM巨集102中寫入輔助電路的另一實施例,特別是在高密度SRAM巨集102的周圍邏輯電路。第23A圖描繪高密度SRAM單元104,其正電壓源CVdd耦接至一電壓控制電路520。電壓控制電路520被配置以接收一輸入訊號(例如致能控制訊號),此輸入訊號觸發電壓控制電路520以選擇性調整提供給高密度SRAM單元104的參考電壓(例如正電壓源CVdd)。參考第23B圖,在所選高密度SRAM單元104的寫入操作當中,電壓控制電路520被配置以縮減所選高密度SRAM單元104的正電壓源CVdd線上電壓至一個預定電壓,其中此預定電壓範圍為Vdd(正電壓源CVdd)的90%至20%。雖然第23B圖描繪位元線BL預充電至高位階而反位元線BLB放電至負電壓源(或者接地)Vss線,但在一些實施例中,反位元線BLB預充電至高位階而位元線BL放電至負電壓源(或者接地)Vss線。
第24A圖以及第24B圖根據本揭露的許多樣態,表示具有高密度SRAM單元以及高電流SRAM單元的裝置(例如半導體裝置200,如第1A圖所示)之製造方法600的流程圖。以下配合第25A圖至第31C圖說明方法600,第25A圖至第31C圖是根據相似於第12圖至第21圖中所描述的實施例,描繪以閘極全環電晶體實施半導體裝置200的俯視圖以及剖面圖。在此領域具有通常技藝的人員可以注意到根據相似於在第2圖至第11圖中所描述的實施例,方法600可被類似地用來形成以鰭式場效電晶體實施的半導體裝置200。本揭露中亦可考慮額外的製程。額外操作可以在方法600之前、當中、以及之後所提供,另外用於方法600的其他額外實施方式,可以將部分操作移動、取代、或者移除。
在操作602,方法600(第24A圖)提供或者被提供了具有基板202以及在基板202之上或者之中形成的許多特徵部件的半導體裝置200,如第25A圖、第25B圖、以及第25C圖所示。例如,半導體裝置200包含n型井204N以及p型井204P。半導體裝置200進一步包含鰭片211。每一個鰭片211包含半導體層210以及從基板202的頂面以交替或者交錯的配置垂直堆疊的半導體層215。為了方便討論,最頂層的半導體層215標記為半導體層215a。在一些實施例中,半導體層210以及半導體層215磊晶成長在所述的交錯以及交替的配置中。半導體層210的成分不同於半導體層215的成分,以達到在後續製程當中的蝕刻選擇性及/或不同的氧化速率。例如,半導體層215以及半導體層210可分別包含矽以及鍺矽。鰭片211可由任何合適的方法,包含雙重圖案化或者多重圖案化製程進行圖案化。半導體裝置200進一步包含隔離結構(或者隔離特徵部件)230。半導體裝置200進一步包含接合鰭片211的犧牲閘極堆疊240’以及在犧牲閘極堆疊240’的側壁上的閘極間隔物247。犧牲閘極堆疊240’包含一犧牲閘極介電層246以及一犧牲閘極電極層245。犧牲閘極介電層246形成於鰭片211的頂部以及側壁上,而犧牲閘極電極層245形成於犧牲閘極介電層246上。在一些實施例中,犧牲閘極介電層246可包含一介電材料,例如氧化矽、氮氧化矽、高介電係數的介電材料、其他合適的介電材料、或者前述的組合;以及犧牲閘極電極層245包含合適的虛設閘極材料,例如多晶矽層。犧牲閘極電極層245以及犧牲閘極介電層246可利用化學氣相沉積、物理氣相沉積、原子層沉積、其他合適的方法、或者前述的組合所沉積。在本實施例中,半導體裝置200包含為了高密度SRAM單元104所定義(或者分配)的一個區域(稱為高密度SRAM區)以及為了高電流SRAM單元154所定義(或者分配)的一個區域(稱為高電流SRAM區)。上述許多特徵部件則提供於兩者區域。對於以鰭式場效電晶體實施的實施例,鰭片211可包含一個單一材料或者複數個材料,且可以具有或者可不具有半導體層堆疊。
在操作604,方法600(第24A圖)蝕刻相鄰於閘極間隔物247的鰭片211,以形成源極/汲極溝槽(或者凹陷)250,如第26A圖、第26B圖、以及第26C圖所示。在一實施例中,源極/汲極溝槽250在高密度SRAM區以及高電流SRAM區兩者之中形成。例如,蝕刻製程可完全移除源極/汲極區中的鰭片211且可進一步蝕刻源極/汲極區中的n型井204N以及p型井204P。蝕刻製程可包含乾蝕刻製程、濕蝕刻製程、其他合適的蝕刻製程、或者前述的組合。在一些實施例中,蝕刻製程的參數是設定成可以選擇性地蝕刻鰭片211,且對犧牲閘極堆疊240’、閘極堆疊247、以及隔離特徵部件230具有最小的(或者沒有)蝕刻。操作604亦在半導體層215之間形成間隙418。例如,執行蝕刻製程以選擇性地蝕刻由源極/汲極溝槽250所曝露的半導體層210,而對半導體層215具有最小的(或者沒有)蝕刻,使得間隙418形成於半導體層215之間以及半導體層215與在閘極間隔物247之下的n型井204N以及p型井204P之間。對於以鰭式場效電晶體實施的實施例,操作604不形成間隙418。
在操作606,方法600(第24A圖)在間隙418中形成內部間隔物255以及磊晶成長源極/汲極特徵部件260N以及源極/汲極特徵部件260P,如第27A圖、第27B圖、以及第27C圖所示。例如,沉積製程在犧牲閘極堆疊240’上以及在定義源極/汲極溝槽250的特徵部件上形成間隔物層。沉積製程可為化學氣相沉積、物理氣相沉積、原子層沉積、其他合適的方法、或者前述的組合。間隔物層局部地(以及在一些實施例中為完全地)填充源極/汲極溝槽250。沉積製程被配置以確保間隔物層填充間隙418。接著執行蝕刻製程,選擇性地蝕刻間隔物層以形成內部間隔物255,而對半導體層215、犧牲閘極堆疊240’、以及閘極間隔物247具有最小的(或者沒有)蝕刻。間隔物層(因而內部間隔物255)包含一材料,其不同於半導體層215以及閘極間隔物247的材料,以便在第二蝕刻製程當中達到理想的蝕刻選擇性。對於以鰭式場效電晶體實施的實施例,操作606不形成內部間隔物255。接著,操作606利用磊晶成長製程形成源極/汲極特徵部件260N以及源極/汲極特徵部件260P。磊晶製程可利用化學氣相沉積技術(例如,汽相磊晶(VPE)及/或超高真空化學氣相沉積(UHV-CVD))、分子束磊晶、其他合適的磊晶成長製程、或者前述的組合。磊晶製程可利用氣體或者液體前趨物,其與基板202以及半導體層215的成分反應。在一些實施例中,磊晶的源極/汲極特徵部件260N可包含矽,且受碳、磷、砷、其他n型摻雜物、或者前述的組合所摻雜(例如,形成矽:碳磊晶源極/汲極特徵部件、矽:磷磊晶源極/汲極特徵部件、或者矽:碳:磷磊晶源極/汲極特徵部件)。在一些實施例中,磊晶的源極/汲極特徵部件260P可包含矽鍺或者鍺,且受硼、其他p型摻雜物、或者前述的組合所摻雜(例如,形成矽:鍺:硼磊晶源極/汲極特徵部件)。在一些實施例中,磊晶的源極/汲極特徵部件260N及/或磊晶的源極/汲極特徵部件260P包含不只一個磊晶半導體層,其中磊晶半導體層可以包含相同或者不同材料及/或摻雜物濃度。在一些實施例中,磊晶的源極/汲極特徵部件260N及/或磊晶的源極/汲極特徵部件260P包含分別在電晶體的通道區中能達到理想的張拉應力及/或壓縮式應力的材料及/或摻雜物。在一些實施例中,磊晶的源極/汲極特徵部件260N以及磊晶的源極/汲極特徵部件260P藉由在沉積製程時對磊晶成長的來源材料加入雜質(亦即,原位(in-situ))受摻雜。在一些實施例中,磊晶的源極/汲極特徵部件260N以及磊晶的源極/汲極特徵部件260P受到在沉積製程之後的離子植入製程所摻雜(亦即,非原位(ex-situ))。在一些實施例中,執行退火製程(例如,快速熱退火(rapid thermal annealing;RTA)及/或雷射退火)以啟動(activate)磊晶的源極/汲極特徵部件260N以及磊晶的源極/汲極特徵部件260P中的摻雜物及/或其他源極/汲極區(例如,重摻雜源極/汲極區及/或輕摻雜源極/汲極(lightly doped source/drain;LDD)區。
在一些實施例中,磊晶的源極/汲極特徵部件260P以及磊晶的源極/汲極特徵部件260N形成於分開的製程程序,包含例如,當在n型電晶體區中形成磊晶的源極/汲極特徵部件260N時遮罩住p型電晶體區,以及當在p型電晶體區中形成磊晶的源極/汲極特徵部件260P時遮罩住n型電晶體區。在一實施例中,操作606在高密度SRAM區以及高電流SRAM區中同時形成源極/汲極特徵部件260N,以及在高密度SRAM區以及高電流SRAM區中同時形成源極/汲極特徵部件260P。此實施例的更進一步,操作606對高密度SRAM單元104以及高電流SRAM單元154中的源極/汲極特徵部件260N以相同劑量的n型摻雜物作摻雜,以及對高密度SRAM單元104以及高電流SRAM單元154中的源極/汲極特徵部件260P以相同劑量的p型摻雜物作摻雜。因此,形成在高密度SRAM單元104以及高電流SRAM單元154中的源極/汲極特徵部件260N的n型摻雜物濃度(例如磷濃度)為相同或者實質上相同,以及形成在高密度SRAM單元104以及高電流SRAM單元154中的源極/汲極特徵部件260P的p型摻雜物濃度(例如硼濃度)為相同或者實質上相同。在一個另外的實施例中,操作606可分別地在高密度SRAM單元104以及高電流SRAM單元154中形成源極/汲極特徵部件260N以及分別地在高密度SRAM單元104以及高電流SRAM單元154中形成源極/汲極特徵部件260P。
在操作608,方法600(第24A圖)以p型摻雜物的一額外劑量,例如硼,摻雜在高密度SRAM單元104中的源極/汲極特徵部件260P。在一實施例中,這確保在高密度SRAM單元104中的源極/汲極特徵部件260P相較於在高電流SRAM單元154中源極/汲極特徵部件260P具有較高的p型摻雜物(例如硼)濃度。在一實施例中,操作608在半導體裝置200上形成離子植入的遮罩192(參見第2圖以及第12圖)。遮罩192曝露在高密度SRAM單元104中的源極/汲極特徵部件260P以及覆蓋半導體裝置200的剩餘部分(或者至少覆蓋在高電流SRAM單元154中源極/汲極特徵部件260P)。接著,操作608對透過遮罩192的半導體裝置200執行一個或者多個離子植入製程,因而對在高密度SRAM單元104中的源極/汲極特徵部件260P摻雜額外的p型摻雜物的劑量,例如硼。在一些實施例中,遮罩192進一步包含圖案化的光阻(或者阻劑)。在一些實施例中,遮罩192進一步包含在圖案化的阻劑下的抗反射塗膜(anti-reflective coating;ARC)層或者其他層。在一些實施例中,遮罩192由光微影製程所形成,光微影製程包含旋轉塗佈阻劑層、執行曝光前焙烤製程、利用光罩執行曝光製程、執行曝光後焙烤製程、以及執行顯影製程。在顯影之後,將阻劑層圖案化成對應於光罩的遮罩192。另外,曝光製程可由其他方法實施或者取代,例如無遮罩微影製程、電子束寫入、離子束寫入、或者前述的組合。在離子植入製程完成後,操作608例如利用阻劑剝離、灰化(ashing)、或者其他合適的方法,從半導體裝置200移除遮罩192。在一實施例中,在執行離子植入製程之後,操作608執行退火製程以啟動(activate)摻雜物。在一些實施例中,方法600會省略移除(或者跳過)操作608,並且執行操作616,利用p型摻雜物(例如硼)的額外劑量摻雜高密度SRAM單元104中的源極/汲極特徵部件260P,此將在後面作討論。
在操作610,方法600(第24A圖)在源極/汲極特徵部件260N以及源極/汲極特徵部件260P以及犧牲閘極結構240’上形成接點蝕刻停止層(contact etch stop layer, CESL,未圖示),以及在接點蝕刻停止層上形成層間介電層270,如第28A圖、第28B圖、以及第28C圖所示。接點蝕刻停止層可包含氧化鑭(La 2O 3)、氧化鋁(Al 2O 3)、氮碳氧化矽(SiOCN)、碳氧化矽(SiOC)、氮化碳矽(SiCN)、二氧化矽(SiO 2)、碳化矽(SiC)、氧化鋅(ZnO)、氮化鋯(ZrN)、氧化鋯鋁(Zr 2Al 3O 9)、氧化鈦(TiO 2)、氧化鉭(TaO 2)、氧化鋯(ZrO 2)、氧化鉿(HfO 2)、氮化矽(Si 3N 4)、氧化釔(Y 2O 3)、氮氧化鋁(AlON)、氮碳化鉭(TaCN)、矽化鋯(ZrSi)、或者其他合適的材料;且可由化學氣相沉積、物理氣相沉積、原子層沉積、或其他合適的製程所形成。層間介電層270可包含四乙氧基矽烷(tetraethylorthosilicate;TEOS)氧化物、未摻雜的矽化物玻璃、或摻雜過的氧化矽例如硼磷矽玻璃(borophosphosilicate glass;BPSG)、氟矽玻璃(FSG)、磷矽酸鹽玻璃(phosphosilicate glass;PSG)、硼摻雜矽玻璃(boron doped silicon glass;BSG)、低介電係數的介電材料、其他合適的介電材料、或者前述的組合。層間介電層270可由電漿輔助化學氣相沉積(plasma enhanced CVD;PECVD)、可流動的化學氣相沉積(flowable CVD;FCVD)、或者其他合適的方法所形成。
在操作612,方法600(第24A圖)以高介電係數的金屬閘極堆疊240取代犧牲閘及結構240’, 如第29A圖、第29B圖、以及第29C圖所示。此操作涉及包含蝕刻以及沉積製程的一些製程。例如,操作612移除犧牲閘極結構240’以形成閘極溝槽,移除曝露於閘極溝槽中的半導體層210(亦稱為通道釋放),以及將高介電係數的金屬閘極堆疊240(包含閘極介電層282以及閘極電極350)沉積於閘極溝槽中以及環繞每一個半導體層215。犧牲閘極結構240’以及半導體層210可由一個或者多個蝕刻製程所移除,蝕刻製程可包含乾蝕刻製程、濕蝕刻製程、其他合適的蝕刻製程、或者前述的組合。閘極介電層282可利用化學氧化、熱氧化、原子層沉積、化學氣相沉積、及/或其他合適的方法所形成。可利用原子層沉積、化學氣相沉積、物理氣相沉積、電鍍、及/或其他合適的製程形成閘極電極350(包含功函數金屬層以及低電阻值的金屬填充層)。接著,操作612在每一個閘極堆疊240上形成閘極頂部介電層408。可藉由凹陷(recessing)閘極堆疊240以及閘極間隔物247以形成溝槽,以一個或者多個介電材料填充溝槽,以及執行化學機械研磨(CMP)製程以移除多餘的介電材料,來形成閘極頂部介電層408。
在操作614,方法600(第24B圖)透過層間介電層270以及接點蝕刻停止層,蝕刻接點孔405,因而曝露在高密度SRAM單元104中的源極/汲極特徵部件260P,如第30A圖、第30B圖、以及第30C圖所示。在一實施例中,當高電流SRAM單元154中的源極/汲極特徵部件260P、高密度SRAM單元104以及高電流SRAM單元154兩者中的源極/汲極特徵部件260N還是由接點蝕刻停止層以及層間介電層270所覆蓋時,形成接點孔405。在一實施例中,操作614在半導體裝置200上形成蝕刻遮罩(未圖示)。蝕刻遮罩在高密度SRAM單元104中的源極/汲極特徵部件260P的正上方提供開口,並且覆蓋半導體裝置200的剩餘部分。接著,操作614執行一個或者多個蝕刻製程以蝕刻穿過層間介電層270以及下方的接點蝕刻停止層(以及任何其他材料),因而曝露在高密度SRAM單元104中的源極/汲極特徵部件260P。可利用形成離子植入的遮罩192的相似製程來形成蝕刻遮罩。
在操作616,方法600(第24B圖)對半導體裝置200執行一個或者多個離子植入製程,因而透過接點孔405對在高密度SRAM單元104中的源極/汲極特徵部件260P摻雜p型摻雜物(例如硼)的額外劑量。在一實施例中,操作616是在操作614中形成的蝕刻遮罩還覆蓋在半導體裝置200上時,執行離子植入製程,而在離子植入製程完成後移除蝕刻遮罩。在另一實施例中,操作616移除在操作614中所形成的蝕刻遮罩,並且接著執行離子植入製程。在一實施例中,在操作616中的離子植入製程實質上與在操作608所述的離子植入製程相同。在一實施例中,方法600執行操作608以及操作616兩者。在另一實施例中,方法600執行操作608但不執行操作616。在另一個實施例中,方法600執行操作616但不執行操作608。利用操作608以及操作616兩者或者其中之一,相較於在高電流SRAM單元154中的源極/汲極特徵部件260P,方法600對在高密度SRAM單元104中的源極/汲極特徵部件260P摻雜p型摻雜物(例如硼)的額外劑量。在一實施例中,這確保在高密度SRAM單元104中的源極/汲極特徵部件260P相較於在高電流SRAM單元154中源極/汲極特徵部件260P具有較高的p型摻雜物(例如硼)濃度。在一實施例中,在高電流SRAM單元154的源極/汲極特徵部件260P之中的硼摻雜物濃度在大約1x10 19原子/立方公分至大約6x10 20原子/立方公分的一範圍內,而在高密度SRAM單元104中的源極/汲極特徵部件260P的硼摻雜物濃度大於在高電流SRAM單元154的源極/汲極特徵部件260P之中的硼摻雜物濃度大約二至五倍。此實施例的更進一步,操作606在高電流SRAM單元154以及高密度SRAM單元104的源極/汲極特徵部件260P中導入了相同程度的摻雜物濃度,以及操作608以及操作616整體而言(若是兩者皆執行)或者操作608以及操作616的其中之一(若是只有執行其中之一)在高密度SRAM單元104的源極/汲極特徵部件260P中導入了額外的摻雜物濃度大於操作606在高密度SRAM單元104的源極/汲極特徵部件260P中導入的摻雜物濃度大約一至四倍。在一實施例中,在執行離子植入製程之後,操作616執行退火製程以啟動摻雜物。
在操作618,方法600(第24B圖) 透過層間介電層270以及接點蝕刻停止層,蝕刻接點孔405,因而曝露在高電流SRAM單元154中的源極/汲極特徵部件260P,以及在高密度SRAM單元104以及高電流SRAM單元154兩者中的源極/汲極特徵部件260N。在一實施例中,操作618在半導體裝置200上形成蝕刻遮罩(未圖示)。蝕刻遮罩在高電流SRAM單元154中的源極/汲極特徵部件260P,以及在高密度SRAM單元104以及高電流SRAM單元154兩者中的源極/汲極特徵部件260N的正上方提供開口,並且覆蓋半導體裝置200的剩餘部分。接著,操作618執行一個或者多個蝕刻製程以蝕刻穿過層間介電層270以及下方的接點蝕刻停止層(以及任何其他材料),因而曝露在高電流SRAM單元154中的源極/汲極特徵部件260P,以及在高密度SRAM單元104以及高電流SRAM單元154兩者中的源極/汲極特徵部件260N。可利用形成離子植入的遮罩192的相似製程來形成蝕刻遮罩,且在接點孔405被蝕刻後可移除蝕刻遮罩。
在操作620,方法600(第24B圖)在接點孔405中形成矽化物特徵部件261以及源極/汲極接點406,以及電性連接至在高密度SRAM單元104以及高電流SRAM單元154兩者之中的源極/汲極特徵部件260N以及源極/汲極特徵部件260P,如第31A圖、第31B圖、以及第31C圖所示。在源極/汲極特徵部件260N以及源極/汲極特徵部件260P上沉積一個或者多個金屬,對半導體裝置200執行退火製程以在一個或者多個金屬以及源極/汲極特徵部件260N以及源極/汲極特徵部件260P造成反應以產生矽化物特徵部件261,以及移除無反應的一個或者多個金屬的部分,藉此可以形成矽化物特徵部件261。源極/汲極接點406可由化學氣相沉積、物理氣相沉積、原子層沉積、電鍍、或者其他合適的製程所形成。
在操作622,方法600(第24B圖)對半導體裝置200執行進一步的製程。例如,操作622可形成連接至閘極堆疊240的閘極導孔、連接至源極/汲極接點406的源極/汲極接點導孔、以及許多金屬特徵部件,例如位元線、反位元線(位元線桿)、以及字元線。
並非用以特別限定本揭露,在本揭露中一個或者多個實施例對於一個半導體裝置以及其形成可以提供許多優點。例如,本揭露的實施例提供利用鰭式場效電晶體或者閘極全環裝置其中之一的設計以及布局,以在同一個IC中達到高密度SRAM單元以及高電流SRAM單元兩者。高密度SRAM單元具有高阿爾發比用於單元穩定度,並且耦接至寫入輔助電路以改善寫入操作。高電流SRAM單元則具有低阿爾發比,用以改善寫入速率。上述的改善由複數個因素達成。例如,傳輸閘裝置以及上拉裝置被設計以具有不同數量的鰭片(在鰭式場效電晶體的實施例中)或者具有不同的通道寬度(在閘極全環裝置的實施例中),並且高密度SRAM單元的上拉裝置的源極/汲極特徵部件,相較於高電流SRAM單元的上拉裝置的源極/汲極特徵部件,被額外的p型摻雜物所摻雜。本實施例可以容易地整合進現存的CMOS製程。
在一範例樣態中,本揭露導向一種半導體結構,包含:基板;第一SRAM單元的陣列,位於基板上,其中每一第一SRAM單元包含兩個第一p型鰭式場效電晶體以及四個第一n型鰭式場效電晶體,其中每一第一p型鰭式場效電晶體以及第一n型鰭式場效電晶體包含位於單一半導體鰭片之中的電晶體通道以及由電晶體通道連接的兩個源極/汲極區域,其中第一SRAM單元的陣列配置為沿著第一方向具有第一X間距以及沿著與第一方向垂直的第二方向具有第一Y間距;以及第二SRAM單元的陣列,位於基板上,其中每一第二SRAM單元包含兩個第二p型鰭式場效電晶體以及四個第二n型鰭式場效電晶體,其中每一第二p型鰭式場效電晶體包含位於單一半導體鰭片之中的電晶體通道以及由電晶體通道所連接的兩個源極/汲極區域,其中每一第二n型鰭式場效電晶體包含位於多個半導體鰭片之中的電晶體通道以及由電晶體通道所連接的兩個源極/汲極區域,其中第二SRAM單元的陣列配置為沿著第一方向具有第二X間距以及沿著第二方向具有第二Y間距,其中第一p型鰭式場效電晶體的源極/汲極區域相較於第二p型鰭式場效電晶體的源極/汲極區域具有更高的硼摻雜物濃度,其中第二X間距對第一X間距的比值在1.1至1.5的範圍內。
在一實施例中,半導體結構進一步包含:寫入輔助電路,連接至第一SRAM單元,其中第二SRAM單元沒有連接至寫入輔助電路。在另一實施例中,半導體結構進一步包含:第一電源供應線、第一位元線、以及第一反位元線,設置在第一金屬層之中;第一字元線,設置在第一金屬層之上的第二金屬層之中,其中第一電源供應線、第一位元線、第一反位元線、以及第一字元線皆連接至第一SRAM單元的陣列;第二電源供應線、第二位元線、以及第二反位元線,設置在第一金屬層之中;以及第二字元線,設置在第二金屬層之中,其中第二電源供應線、第二位元線、第二反位元線、以及第二字元線皆連接至第二SRAM單元的陣列,其中第一位元線以及第一反位元線具有第一寬度,第二位元線以及第二反位元線具有第二寬度,以及第二寬度對第一寬度的比值大於1.1。在更進一步的實施例中,第一位元線、第一反位元線、第二位元線、以及第二反位元線大致上沿著第二方向佈線,其中第一字元線以及第二字元線大致上沿著第一方向佈線。在半導體結構的實施例中,每一第一n型鰭式場效電晶體包含具有第一功函數金屬層的第一閘極電極,每一第二n型鰭式場效電晶體包含具有第二功函數金屬層的第二閘極電極,其中第一功函數金屬層以及第二功函數金屬層包含相同材料,其中第一功函數金屬層比第二功函數金屬層更厚。
在半導體結構的實施例中,每一第一n型鰭式場效電晶體包含具有第一功函數金屬層的第一閘極電極,每一第一p型鰭式場效電晶體包含具有第二功函數金屬層的第二閘極電極,其中第一功函數金屬層以及第二功函數金屬層包含相同材料。在更進一步的實施例中,每一第二n型鰭式場效電晶體包含具有第三功函數金屬層的第三閘極電極,每一第二p型鰭式場效電晶體包含具有第四功函數金屬層的第四閘極電極,其中第三功函數金屬層以及第四功函數金屬層包含不同材料。在另一實施例中,第一n型鰭式場效電晶體相較第二n型鰭式場效電晶體具有較高的臨界值電壓。
在另一個範例樣態中,本揭露導向一種半導體結構,包含:基板;第一SRAM單元的陣列,位於基板上,其中每一第一SRAM單元包含第一反向器和第二反向器,第一反向器具有耦接至第一下拉閘極全環電晶體的第一上拉閘極全環電晶體,第二反向器具有耦接至第二下拉閘極全環電晶體的第二上拉閘極全環電晶體,第一反向器以及第二反向器交叉耦接以形成第一資料儲存節點,每一第一SRAM單元進一步包含第一輸閘閘極全環電晶體以及第二傳輸閘閘極全環電晶體,用以存取第一資料存取節點,其中第一SRAM單元的陣列配置為沿著第一方向具有第一X間距以及沿著與第一方向垂直的第二方向具有第一Y間距;以及第二SRAM單元的陣列,位於基板上,其中每一第二SRAM單元包含第三反向器和第四反向器,第三反向器具有耦接至第三下拉閘極全環電晶體的第三上拉閘極全環電晶體,第四反向器具有耦接至第四下拉閘極全環電晶體的第四上拉閘極全環電晶體,第三反向器以及第四反向器交叉耦接以形成第二資料儲存節點,每一第二SRAM單元進一步包含第三輸閘閘極全環電晶體以及第四傳輸閘閘極全環電晶體,用以存取第二資料存取節點,其中第二SRAM單元的陣列配置為沿著第一方向具有第二X間距以及沿著第二方向具有第二Y間距,其中每一閘極全環電晶體包含閘極電極,閘極電極環繞於半導體通道的堆疊,以及包含由半導體通道連接的源極/汲極區域,其中第一上拉閘極全環電晶體以及第二上拉閘極全環電晶體的源極/汲極區域相較於第三上拉閘極全環電晶體以及第四上拉閘極全環電晶體的源極/汲極區域具有更高的硼摻雜物濃度,其中第二X間距對第一X間距的比值在1.1至1.5的範圍內。
在半導體結構的一實施例中,第一上拉閘極全環電晶體以及第二上拉閘極全環電晶體之半導體通道的堆疊具有第一通道寬度,第三上拉閘極全環電晶體以及第四上拉閘極全環電晶體之半導體通道的堆疊具有第二通道寬度,而且第二通道寬度對第一通道寬度的比值為1.2至5的範圍為內。
在另一實施例中,第一Y間距以及第二Y間距大約相同,第一X間距對第一Y間距的比值為大於2,以及第二X間距對第二Y間距的比值為大於2.5。
在一實施例中,半導體結構進一步包含:第一電源供應線、第一位元線、以及第一反位元線,設置在第一金屬層之中並且連接至第一SRAM單元的陣列;以及第二電源供應線、第二位元線、以及第二反位元線,設置在第一金屬層之中並且連接至第二SRAM單元的陣列,其中第一位元線以及第一反位元線具有第一寬度,第二位元線以及第二反位元線具有第二寬度,以及第二寬度對第一寬度的比值為大於1.1。
在一實施例中,半導體結構進一步包含:寫入輔助電路,連接至每一第一SRAM單元,其中第二SRAM單元沒有連接至寫入輔助電路。
在半導體結構的一實施例中,每一第一下拉閘極全環電晶體、每一第二下拉閘極全環電晶體、每一第一傳輸閘閘極全環電晶體、以及每一第二傳輸閘閘極全環電晶體包含具有第一功函數金屬層的第一閘極電極;每一第三下拉閘極全環電晶體、每一第四下拉閘極全環電晶體、每一第三傳輸閘閘極全環電晶體、以及每一第四傳輸閘閘極全環電晶體包含具有第二功函數金屬層的第二閘極電極,其中第一功函數金屬層以及第二功函數金屬層包含氮化鈦(TiN)或者氮化鎢-碳(WN-C),其中第一功函數金屬層比第二功函數金屬層更厚。
在另一實施例中,每一第一下拉閘極全環電晶體、每一第二下拉閘極全環電晶體、每一第一傳輸閘閘極全環電晶體、以及每一第二傳輸閘閘極全環電晶體包含具有第一功函數金屬層的第一閘極電極;每一第一上拉閘極全環電晶體以及每一第二上拉閘極全環電晶體包含具有第二功函數金屬層的第二閘極電極,其中第一功函數金屬層以及第二功函數金屬層包含相同材料。在更進一步的實施例中,每一第三下拉閘極全環電晶體、每一第四下拉閘極全環電晶體、每一第三傳輸閘閘極全環電晶體、以及每一第四傳輸閘閘極全環電晶體包含具有第三功函數金屬層的第三閘極電極;每一第三上拉閘極全環電晶體以及每一第三上拉閘極全環電晶體包含具有第四功函數金屬層的第四閘極電極,其中第三功函數金屬層以及第四功函數金屬層包含不同材料。
在另一個範例樣態中,本揭露導向一種半導體結構的製造方法,包含:提供具有基板的結構,定義於基板上的高密度SRAM區以及高電流SRAM區,接合高密度SRAM區中的第一通道半導體層之第一閘極電極,以及接合高電流SRAM區中的第二通道半導體層之第二閘極電極;在高密度SRAM區中磊晶成長第一源極/汲極特徵部件,且第一源極/汲極特徵部件連接至第一通道半導體層;在高電流SRAM區中磊晶成長第二源極/汲極特徵部件,且第二源極/汲極特徵部件連接至第二通道半導體層;形成覆蓋第一源極/汲極特徵部件以及第二源極/汲極特徵部件的層間介電層;以第一高介電係數金屬閘極取代第一閘極電極;以第二高介電係數金屬閘極取代第二閘極電極;在第一源極/汲極特徵部件上形成第一接點,並且第一接點電性連接至第一源極/汲極特徵部件;在第二源極/汲極特徵部件上形成第二接點,並且第二接點電性連接至第二源極/汲極特徵部件;以及相比於第二源極/汲極特徵部件,以硼的額外劑量對第一源極/汲極特徵部件進行第一摻雜。
在前述方法的實施例中,在磊晶成長第一源極/汲極特徵部件以及第二源極/汲極特徵部件之後以及在形成層間介電層之前,第一摻雜包含:形成第一遮罩,覆蓋第二源極/汲極特徵部件以及曝露第一源極/汲極特徵部件;透過第一遮罩以額外劑量的硼摻雜第一源極/汲極特徵部件;以及移除第一遮罩。
在前述方法的另一實施例中,在形成層間介電層之後以及在形成第一接點之前,第一摻雜包含:蝕刻第一接點孔,穿過層間介電層以及曝露第一源極/汲極特徵部件;以及透過第一接點孔以硼的額外劑量摻雜第一源極/汲極特徵部件。
在一實施例中,前述方法進一步包含:在形成層間介電層之前,以硼的相同劑量對第一源極/汲極特徵部件以及第二源極/汲極特徵部件進行第二摻雜。
前述內容概述了幾個實施例的特徵部件。本領域技術人員應該理解,他們可以容易地將本揭露用作設計的基礎或修改其他製程和結構以實現與本文介紹的實施例相同的目的和/或實現相同的優點。本領域技術人員還應該認知到,等效的構造不脫離本揭露的精神和範圍,並且在不脫離本揭露的精神和範圍的情況下,它們可以進行各種改變,替換和變更。
102:高密度SRAM巨集 104:單埠6電晶體SRAM單元/高密度SRAM單元 152:高電流SRAM巨集 154:單埠6電晶體SRAM單元/高電流SRAM單元 192:遮罩 200:半導體裝置 202:基板 215:鰭片狀電晶體通道/半導體層/電晶體通道/通道層/通道 215A,215B,215C,215D,215E,215F,215G1,215G2,215H1,215H2,215I,215J,215K1,215K2,215L1,215L2:通道區/通道/通道層 204N:n型井 204P:p型井 205A,205B,205C,205D,205E,205F,205G,205H,205I,205J:主動區 210:半導體層 211:鰭片 230:隔離結構/隔離特徵部件 240,240A,240B,240C,240D,240E,240F,240G,240H:閘極堆疊 240’:犧牲閘極堆疊 245:犧牲閘極電極層 246:犧牲閘極介電層 247:閘極間隔物/外部間隔物頂部間隔物 250:源極/汲極溝槽 255:閘極間隔物/內部間隔物 260,260N,260P:源極/汲極特徵部件 261:矽化物特徵部件 270:層間介電層 282:閘極介電層 350:閘極電極層 404:閘極端介電特徵部件 405:接點孔 406:源極/汲極接點 408:閘極頂部介電層 409, Butt_CO:對接接點 418:間隙 505:電容器 506:負偏壓邏輯電路 508:連接驅動電路 520:電壓控制電路 600:方法 602,604,606,608,610,612,614,616,118,620,622:操作 cut1,cut2, cut3, cut4, cut5, cut6:切線 Lg1,Lg2,Lg3,Lg4:閘極長度 M1:第一金屬層 M2:第二金屬層 M3:第三金屬層 PG-1,PG-1:傳輸閘電晶體 PU-1,PU-2:上拉電晶體 PD-2,PD-1:下拉電晶體 via0,via1,via2:導孔 V0:源極/汲極接點導孔 VG:閘極導孔 X1,X2:長度 BL_W1, BL_W2,W1,W2,W3,Y1,Y2:寬度 T1,T2:厚度 BL:位元線/電路節點 BLB:反位元線/位元線桿/電路節點 WL_1, WL:字元線 Y_MUX:多工器 Vdd,CVdd:正電壓源/電路節點 Vss:負電壓源/接地/電路節點
本揭露的各項層面在以下的實施方式搭配附帶的圖示一同閱讀會有最好的理解。需要強調的是,依據產業的標準慣例,許多特徵並沒有按比例描繪。事實上,為了討論的清晰度,許多特徵的尺寸可為任意的增加或縮減。 第1A圖根據本揭露的各樣態,為具有高密度記憶體巨集以及高電流記憶體巨集的積體電路(IC)的簡化方塊圖。 第1B圖根據本揭露的一實施例,展示6電晶體(6T) 單埠(single-port;SP)靜態隨機存取記憶體(SRAM)單元。 第2圖以及第10圖根據SRAM單元為高密度記憶體單元以及電晶體為鰭式場效電晶體的實施例中,展示第1B圖的SRAM單元的布局的部分。 第3圖、第4圖、第5圖、以及第6圖根據本揭露一些實施例,展示第2圖的SRAM單元分別沿著第2圖中的切線cut1、切線cut3、切線cut4、以及切線cut5的剖面圖的部分。 第7圖以及第11圖根據SRAM單元為高電流記憶體單元以及電晶體為鰭式場效電晶體的另一個實施例中,展示第1B圖的SRAM單元的布局的部分。 第8圖以及第9圖根據本揭露一些實施例,展示第7圖的SRAM單元分別沿著第7圖中的切線cut2以及切線cut6的剖面圖的部分。 第12圖以及第20圖根據根據SRAM單元為高密度記憶體單元以及電晶體為閘極全環電晶體的實施例中,展示第1B圖的SRAM單元的布局的部分。 第13圖、第14圖、第15圖、以及第16圖根據本揭露的一些實施例,展示第12圖的SRAM單元分別沿著第12圖中的切線cut1、切線cut3、切線cut4、以及切線cut5的剖面圖的部分。 第17圖以及第21圖根據根據SRAM單元為高電流記憶體單元以及電晶體為閘極全環電晶體的另一個實施例中,展示第1B圖的SRAM單元的布局的部分。 第18圖以及第19圖根據本揭露一些實施例,展示第17圖的SRAM單元分別沿著第17圖中的切線cut2以及切線cut6的剖面圖的部分。 第22A圖以及第22B圖根據本揭露的實施例,描繪耦接至如第2圖以及第12圖所示的高密度記憶體單元的一寫入輔助電路。 第23A圖以及第23B圖根據本揭露的另一個實施例,描繪耦接至如第2圖以及第12圖所示的高密度記憶體單元的一寫入輔助電路。 第24A圖以及第24B圖根據本揭露的實施例,描繪形成如第1A圖所示的裝置的一積體電路裝置的一方法的一流程圖。 第25A圖、第26A圖、第27A圖、第28A圖、第29A圖、第30A圖、以及第31A圖根據本揭露的各樣態,為在各個製程階段(例如有關於第24A圖至第24B圖中的方法的製程階段)的IC裝置的圖解俯視圖的部分。 第25B圖、第26B圖、第27B圖、第28B圖、第29B圖、第30B圖、以及第31B圖根據本揭露的各樣態,為在各個製程階段(例如有關於第24A圖至第24B圖中的方法的製程階段)的IC裝置分別沿著第25A圖、第26A圖、第27A圖、第28A圖、第29A圖、第30A圖、以及第31A中的“剖面H”線的圖解剖面圖的部分。 第25C圖、第26C圖、第27C圖、第28C圖、第29C圖、第30C圖、以及第31C圖根據本揭露的各樣態,為在各個製程階段(例如有關於第24A圖至第24B圖中的方法的製程階段)的IC裝置分別沿著第25A圖、第26A圖、第27A圖、第28A圖、第29A圖、第30A圖、以及第31A中的“剖面V”線的圖解剖面圖的部分。
102:高密度SRAM巨集
202:基板
215A,215D,215E:通道區/通道/通道層
204N:n型井
204P:p型井
205A,205B,205C,205D:主動區
230:隔離結構/隔離特徵部件
240,240A:閘極堆疊
282:閘極介電層
350:閘極電極層
404:閘極端介電特徵部件
408:閘極頂部介電層
409,Butt_CO:對接接點
cut1:切線
PG-1:傳輸閘電晶體
PU-2:上拉電晶體
PD-2:下拉電晶體
VG:閘極導孔
X1:長度

Claims (1)

  1. 一種半導體結構,包含: 一基板; 一第一SRAM單元的陣列,位於該基板上,其中每一該等第一SRAM單元包含兩個第一p型鰭式場效電晶體以及四個第一n型鰭式場效電晶體,其中每一該等第一p型鰭式場效電晶體以及該等第一n型鰭式場效電晶體包含位於一單一半導體鰭片之中的一電晶體通道以及由該電晶體通道連接的兩個源極/汲極區域,其中該第一SRAM單元的陣列配置為沿著一第一方向具有一第一X間距以及沿著與該第一方向垂直的一第二方向具有一第一Y間距;以及 一第二SRAM單元的陣列,位於該基板上,其中每一該等第二SRAM單元包含兩個第二p型鰭式場效電晶體以及四個第二n型鰭式場效電晶體,其中每一該等第二p型鰭式場效電晶體包含位於一單一半導體鰭片之中的一電晶體通道以及由該電晶體通道所連接的兩個源極/汲極區域,其中每一該等第二n型鰭式場效電晶體包含位於多個半導體鰭片之中的一電晶體通道以及由該電晶體通道所連接的兩個源極/汲極區域,其中該第二SRAM單元的陣列配置為沿著該第一方向具有一第二X間距以及沿著該第二方向具有一第二Y間距, 其中該第一p型鰭式場效電晶體的該源極/汲極區域相較於該第二p型鰭式場效電晶體的該源極/汲極區域具有一更高的硼摻雜物濃度,其中該第二X間距對該第一X間距的一比值在1.1至1.5的一範圍內。
TW110134775A 2021-02-26 2021-09-17 半導體結構 TW202234595A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/187,068 US11659703B2 (en) 2021-02-26 2021-02-26 Integrated circuit with embedded high-density and high-current SRAM macros
US17/187,068 2021-02-26

Publications (1)

Publication Number Publication Date
TW202234595A true TW202234595A (zh) 2022-09-01

Family

ID=82365011

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110134775A TW202234595A (zh) 2021-02-26 2021-09-17 半導體結構

Country Status (3)

Country Link
US (2) US11659703B2 (zh)
CN (1) CN114765175A (zh)
TW (1) TW202234595A (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11659703B2 (en) * 2021-02-26 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit with embedded high-density and high-current SRAM macros
US11895818B2 (en) * 2022-04-26 2024-02-06 International Business Machines Corporation Stacked FET SRAM
KR20240018191A (ko) * 2022-08-02 2024-02-13 삼성전자주식회사 반도체 소자

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7269056B1 (en) 2006-04-27 2007-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Power grid design for split-word line style memory cell
US8947902B2 (en) 2012-03-06 2015-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor memory and method of making the same
US9349436B2 (en) 2012-03-06 2016-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor memory and method of making the same
US9218872B1 (en) * 2014-06-20 2015-12-22 Taiwan Semiconductor Manufactruing Company, Ltd. Memory chip and layout design for manufacturing same
US9576644B2 (en) 2015-04-27 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit chip having two types of memory cells
US10276581B1 (en) * 2017-10-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit chip and manufacturing method thereof
US11404423B2 (en) * 2018-04-19 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd Fin-based strap cell structure for improving memory performance
US10916550B2 (en) * 2018-10-30 2021-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Memory devices with gate all around transistors
US11444089B2 (en) * 2019-12-27 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around field effect transistors in integrated circuits
US11659703B2 (en) * 2021-02-26 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit with embedded high-density and high-current SRAM macros

Also Published As

Publication number Publication date
US20230301051A1 (en) 2023-09-21
US20220278110A1 (en) 2022-09-01
US11659703B2 (en) 2023-05-23
CN114765175A (zh) 2022-07-19

Similar Documents

Publication Publication Date Title
US9508729B2 (en) Structure and method for a SRAM circuit
US11488966B2 (en) FinFET SRAM having discontinuous PMOS fin lines
US8288221B2 (en) Method of manufacturing semiconductor device and semiconductor device
US11114345B2 (en) IC including standard cells and SRAM cells
TW202234595A (zh) 半導體結構
KR20110063796A (ko) 더블-채널 트랜지스터들을 포함하는 sram 셀들을 위한 보디 콘택
US20210375883A1 (en) Four-Poly-Pitch SRAM Cell with Backside Metal Tracks
US20090291538A1 (en) Manufacturing method of semiconductor device
US20200006149A1 (en) Methods for Fabricating FinFETs Having Different Fin Numbers and Corresponding FinFETs Thereof
US20200251476A1 (en) Fin-Based Strap Cell Structure for Improving Memory Performance
US20190287902A1 (en) Semiconductor device and manufacturing method thereof
TWI777424B (zh) 半導體裝置及其形成方法
US11757014B2 (en) Memory chip structure having GAA transistors with different threshold voltages and work functions for improving performances in multiple applications
CN110875311A (zh) 集成电路结构
TW202201795A (zh) 半導體裝置
CN114121947A (zh) 半导体装置
US10879243B2 (en) Semiconductor device and method for manufacturing the same
US11950401B2 (en) Two-port SRAM cells with asymmetric M1 metalization
KR102357523B1 (ko) 메모리 성능 향상을 위한 핀 기반 스트랩 셀 구조물
US20230032523A1 (en) Gate-all-around high-density and high-speed sram cells
US11742416B2 (en) Semiconductor structure and method for manufacturing the same
US20230046028A1 (en) Memory Active Region Layout for Improving Memory Performance