TW202232577A - 低電阻及高可靠性金屬化模組 - Google Patents

低電阻及高可靠性金屬化模組 Download PDF

Info

Publication number
TW202232577A
TW202232577A TW110136869A TW110136869A TW202232577A TW 202232577 A TW202232577 A TW 202232577A TW 110136869 A TW110136869 A TW 110136869A TW 110136869 A TW110136869 A TW 110136869A TW 202232577 A TW202232577 A TW 202232577A
Authority
TW
Taiwan
Prior art keywords
layer
metal
chamber
barrier
barrier layer
Prior art date
Application number
TW110136869A
Other languages
English (en)
Inventor
羅伊 夏維
蘇凱杜阿朗 派利克
陳楓
陳璐
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202232577A publication Critical patent/TW202232577A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76847Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned within the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本文提供了透過在絕緣層上而不在金屬表面上選擇性地沉積阻障層,來形成電阻降低的通孔的方法。本揭示案的一些實施例利用平面烴在金屬表面上形成阻擋層。執行沉積以選擇性地沉積在未阻擋的絕緣表面上。

Description

低電阻及高可靠性金屬化模組
本揭示案的實施例係關於電子元件製造領域,且特定而言係關於積體電路(integrated circuit; IC)製造。更特定而言,本揭示案的實施例係關於生產在窄特徵內具有低電阻及高可靠性的電子元件的方法。
一般而言,積體電路(integrated circuit; IC)係指一組電子元件,例如形成在半導體材料(通常是矽)小晶片上的電晶體。通常,積體電路包括一或更多個金屬化層,該等金屬化層具有金屬線以將積體電路的電子元件彼此連接及連接至外部連接。通常,層間介電材料層被放置在積體電路的金屬化層之間以用於絕緣。
隨著積體電路尺寸的減小,金屬線之間的間距減小。通常,為了製造互連結構,使用平面製程,該製程涉及將一個金屬化層對準並連接至另一金屬化層。
降低通孔的電阻對於提高電子元件效能至關重要。通常透過使覆層減至最少及透過降低通孔材料電阻率來控制通孔電阻的降低。因此,需要一種降低通孔電阻的方法。
本揭示案的一或更多個實施例係關於一種形成電子元件的方法。該方法包括:提供在第一金屬化層上包括絕緣層的基板,該絕緣層包括從絕緣層的頂表面延伸至第一金屬化層的開口,該開口具有至少一個側壁、頂部及底部;在開口的底部沉積金屬層,該金屬層延伸至第一金屬化層;選擇性地在開口的至少一個側壁上而不在金屬層上沉積阻障層;及在開口頂部在金屬層上及阻障層上形成第二金屬化層。
本揭示案的額外實施例係關於形成電子元件的方法。該方法包括:提供包括在第一金屬化層上的絕緣層的基板,該絕緣層包括從絕緣層的頂表面延伸至第一金屬化層的開口;在開口中沉積金屬層,該金屬層延伸至第一金屬化層;選擇性地在絕緣層的頂表面上而不在金屬層上沉積阻障層;在金屬層上及阻障層上形成第二金屬化層;及蝕刻第二金屬化層以形成溝槽。
本揭示案的其他實施例係關於形成電子元件的方法。該方法包括:提供在金屬層上包括第一絕緣層的基板,該金屬層在第一金屬化層上;在第一絕緣層的頂表面及金屬層的頂表面上沉積蝕刻停止層;在蝕刻停止層的頂表面上沉積第二絕緣層;執行單鑲嵌製程以形成從第二絕緣層的頂表面延伸至金屬層的頂表面的開口;選擇性地在開口中而不在金屬層上沉積阻障層;及在金屬層上及阻障層上形成第二金屬化層。
本揭示案的進一步實施例係關於處理工具。在一或更多個實施例中,處理工具包括:預清潔腔室,其中具有基板支撐件;選擇性金屬沉積腔室;阻障金屬沉積腔室;金屬沉積腔室;物理氣相沉積金屬沉積腔室;化學氣相沉積金屬沉積腔室;視情況,具有可選預清潔的阻擋層沉積腔室;視情況,襯墊金屬沉積腔室;視情況,電漿腔室;視情況,蝕刻腔室;機器人,被配置為出入預清潔腔室、選擇性沉積腔室、可選的阻擋層沉積腔室、阻障金屬沉積腔室、物理氣相沉積金屬沉積腔室、可選的電漿腔室;及可選的蝕刻腔室、可選的襯墊金屬沉積腔室、化學氣相沉積金屬沉積腔室及物理氣相沉積金屬沉積腔室;及控制器,連接至預清潔腔室、選擇性沉積腔室、可選的阻擋層沉積腔室、阻障金屬沉積腔室、物理氣相沉積金屬沉積腔室、可選電漿腔室;及可選的蝕刻腔室、可選的襯墊金屬沉積腔室、化學氣相沉積金屬沉積腔室及物理氣相沉積金屬沉積腔室及機器人,該控制器具有選自以下各者的一或更多個配置:清潔基板、選擇性地形成阻擋層、選擇性地沉積襯墊、視情況形成金屬襯墊、形成金屬化層、視情況蝕刻基板及視情況移除阻擋層。
在描述本揭示案的數個示例性實施例之前,應當理解,本揭示案不限於以下描述中闡述的構造或製程步驟的細節。本揭示案可具有其他實施例,並且能夠以各種方式實踐或執行。
如在本說明書及所附申請專利範圍中所使用的,用語「基板」係指一製程作用於其上的表面或表面的一部分。熟習此項技術者亦將理解,除非上下文明確指出,否則提及基板亦可僅指基板的一部分。此外,對在基板上沉積的提及可意味著裸基板及其上沉積或形成有一或更多個膜或特徵的基板。
本文所用的「基板」係指在製造流程中在其上進行膜處理的基板上形成的任何基板或材料表面。例如,根據應用,可在其上執行處理的基板表面包括諸如矽、氧化矽、應變矽、絕緣體上矽(silicon on insulator; SOI)、碳摻雜氧化矽、非晶矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石的材料,及諸如金屬、金屬氮化物、金屬合金及其他導電材料的任何其他材料。基板包括但不限於半導體晶圓。基板可曝露於預處理製程,以拋光、蝕刻、還原、氧化、羥基化、退火、紫外線固化、電子束固化及/或烘烤基板表面。除了直接在基板本身的表面上進行膜處理之外,在本揭示案中,所揭示的任何膜處理步驟亦可在基板上形成的底層上進行,如下文更詳細揭示的,並且術語「基板表面」意在包括如上下文所示的此種底層。因此,例如,當膜/層或部分膜/層已經沉積到基板表面上時,新沉積的膜/層的曝露表面變成基板表面。
如在本說明書及所附申請專利範圍中所使用的,用語「相對於第二表面選擇性地沉積在第一表面上」等意味著第一個量或厚度沉積在第一表面上,而第二個量或厚度沉積在第二表面上,其中第二個量或厚度小於第一個量或厚度,或者在一些實施例中,沒有量沉積在第二表面上。
在此方面使用的用語「相對於」並不暗示一個表面在另一個表面之上的物理定向,而是一個表面相對於另一個表面的化學反應的熱力學或動力學性質的關係。例如,相對於介電表面在銅表面上選擇性沉積鈷膜意味著鈷膜沉積在銅表面上,並且在介電表面上較少或沒有鈷膜沉積;或者銅表面上鈷膜的形成相對於介電表面上鈷膜的形成在熱力學或動力學意義上是有利的。
在一些實施例中,「選擇性地」係指標的材料在選定表面上形成的速率大於或等於在非選定表面上的形成速率的約2倍、3倍、4倍、5倍、7倍、10倍、15倍、20倍、25倍、30倍、35倍、40倍、45倍或50倍。換言之,所述製程對於所選表面相對於非所選表面的選擇性大於或等於約2:1、3:1、4:1、5:1、7:1、10:1、15:1、20:1、25:1、30:1、35:1、40:1、45:1或50:1。
根據一或更多個實施例,該方法使用原子層沉積(atomic layer deposition; ALD)製程。在此種實施例中,基板表面連續地或大體上連續地曝露於前驅物(或反應性氣體)。如在整個說明書中所使用的,「大體上連續地」意味著前驅物曝露持續時間的大部分不與共試劑的曝露重疊,儘管可能有一些重疊。如在本說明書及所附申請專利範圍中所使用的,用語「前驅物」、「反應物」、「反應性氣體」等可互換使用,以指能夠與基板表面反應的任何氣態物種。
對於許多應用,可透過原子層沉積來生長金屬。本揭示案的一或更多個實施例有利地提供了用於原子層沉積以形成含金屬膜的製程。如在本說明書及所附申請專利範圍中使用的,用語「含金屬的膜」係指包含金屬原子且具有大於或等於約1原子%金屬、2原子%金屬、3原子%金屬、4原子%金屬、5原子%金屬、10原子%金屬、15原子%金屬、20原子%金屬、25原子%金屬、30原子%金屬、35原子%金屬、40原子%金屬、45原子%金屬或50原子%金屬的膜。在一些實施例中,含金屬膜包括金屬、金屬氮化物、金屬碳化物或金屬氧化物中的一或更多者。熟習此項技術者將認識到,使用分子式,如MO,其中M是金屬,並不暗示元素之間特定的化學計量關係,而僅僅意味著膜的主要成分的特性。例如,MO係指主要成分包括金屬及氧原子的薄膜。在一些實施例中,特定膜的主要成分(即,特定原子的原子百分比的總和)以原子計大於或等於膜的約95%、98%、99%或99.5%。
在一些實施例中,選擇性沉積包括沉積與蝕刻製程的組合。例如,阻障層可選擇性地沉積在介電材料而非金屬上,從而在金屬上形成薄層。在一些實施例中,後續蝕刻製程,亦稱為選擇性蝕刻製程,用於以比從介電材料移除更快的速率從導電材料移除阻障層,以完成選擇性沉積。
如本文所用,用語「阻障層」係指防止材料擴散穿過其自身的膜。如本文所用,用語「襯墊」係指通常用在阻障層上方的膜,其有助於沉積在其上的膜的完整性及可靠性。在一些實施例中,形成阻障層及襯墊兩者。在其他實施例中,不形成襯墊。
本揭示案的一或更多個實施例係關於相對於絕緣層(即,不在絕緣層上)在金屬層上選擇性地形成阻擋層的方法。本揭示案的一或更多個實施例提供了相對於金屬表面或金屬層(即,不在其上)在絕緣層上選擇性地形成阻障層的方法。一或更多個實施例的方法是在不破壞真空的情況下在處理工具中執行的整合製程。
一或更多個實施例的金屬層或金屬材料可為任何適合的金屬材料。在一些實施例中,本揭示案的金屬材料是導電材料。適合的金屬材料包括但不限於金屬、導電金屬氮化物、導電金屬氧化物、金屬合金、矽、上述各者的組合及其他導電材料。
在一或更多個實施例中,金屬或金屬材料可包括熟練技藝人士已知的任何適合的金屬。在一些實施例中,金屬或金屬材料選自銅(Cu)、鈷(Co)、釕(Ru)、銥(Ir)、銠(Rh)、鉬(Mo)、鎢(W)、鋁(Al)、鎳(Ni)及鉑(Pt)中的一或更多者。在一些實施例中,金屬或金屬材料主要由銅(Cu)、鈷(Co)、釕(Ru)、銥(Ir)、銠(Rh)、鉬(Mo)、鎢(W)、鋁(Al)、鎳(Ni)或鉑(Pt)組成。在一些實施例中,金屬或金屬材料基本上由銅、鈷、釕、鎢或鉬組成。在一些實施例中,金屬材料包括銅或基本上由銅組成。如在本說明書及所附申請專利範圍中所使用的,術語「基本上由…組成」意味著以原子計該材料大於或等於所述材料的約95%、98%或99%。
如在本說明書及所附申請專利範圍中所使用的,術語「氧化物」或類似物意味著該材料包含特定的元素。該術語不應被解釋為暗示特定的元素比率。因此,「氧化物」等可包括元素的化學計量比或元素的非化學計量比。
本文描述了形成具有自對準通孔的電子元件的方法及執行該等方法的處理工具。參考第1圖,該圖是製程流程圖,本揭示案的一或更多個實施例係關於形成電子元件的方法100。第1圖中示出的方法可表示整合製程。在一些實施例中,方法100包括預清潔操作102。預清潔操作可為熟練技藝人士已知的任何適合的預清潔流程。適合的預清潔操作包括但不限於浸泡、移除天然氧化物等。
第2A至2F圖示出了根據本揭示案的一或更多個實施例的處理方法100期間的示例性元件200的橫剖面視圖。提供基板202,其上具有絕緣層208。如在本說明書及所附申請專利範圍中所使用的,用語「提供」意味著具有基板202的元件200可用於處理(例如,定位於處理腔室中)。在一些實施例中,蝕刻停止層206位於基板202的頂表面上介於基板202與絕緣層208之間。在一或更多個實施例中,蝕刻停止層206可包括熟練技藝人士已知的任何適合的材料。在一或更多個實施例中,蝕刻停止層206可包括氮化矽(SiN)、碳化矽(SiC)、氧化鋁(AlO x)及氮化鋁(AlN)中的一或更多者。在一些實施例中,可使用選自化學氣相沉積、物理氣相沉積及原子層沉積的技術來沉積蝕刻停止層206。
在一或更多個實施例中,絕緣層208具有從絕緣層208的頂表面209延伸至第一金屬化層204的開口211。在一或更多個實施例中,開口211具有至少一個側壁213、頂部212及底部210。在一些實施例中,底部210可被稱為通孔開口,而頂部212可被稱為溝槽。如本文所用,開口、溝槽、通孔等的用語「深寬比」係指開口深度與開口寬度的比率。在一或更多個實施例中,每個底部210或通孔開口的深寬比在約1:1至約200:1的近似範圍內。在一些實施例中,底部210或通孔開口的深寬比至少為2:1。在其他實施例中,底部210或通孔部分的深寬比為至少5:1或至少10:1。
如本文所用,用語「絕緣層」或「絕緣材料」等係指適於隔絕相鄰元件並防止洩漏的任何材料。在一或更多個實施例中,絕緣層208是氧化物層,例如二氧化矽,或者由電子元件設計決定的任何其他電絕緣層。在一或更多個實施例中,絕緣層208包括層間介電質(interlayer dielectric; ILD)。在一或更多個實施例中,絕緣層208是低介電常數介電質,其包括但不限於諸如二氧化矽、氧化矽、碳摻雜氧化物(carbon doped oxide; CDO)的材料,例如碳摻雜二氧化矽、多孔二氧化矽(SiO 2)、氮化矽(SiN)、碳化矽(SiC)或上述各者任意組合。
在一或更多個實施例中,絕緣層208包括K值小於5的介電材料。在一或更多個實施例中,絕緣層208包括K值小於3的介電材料。在至少一些實施例中,絕緣層208包括氧化物、摻碳氧化物、多孔二氧化矽、碳化物、碳氧化物、氮化物、氮氧化物、碳氮氧化物、聚合物、磷矽酸鹽玻璃、氟矽酸鹽(SiOF)玻璃、有機矽酸鹽(SiOCH)玻璃或上述各者的任意組合、由電子元件設計決定的其他電絕緣材料,或上述各者的任意組合。在至少一些實施例中,絕緣層208可包括聚醯亞胺、環氧樹脂、諸如苯環丁烯(BCB)及WPR系列材料的可光定材料或旋塗玻璃。
在一或更多個實施例中,絕緣層208是低K層間介電質,以將一個金屬化層或金屬線204與基板202上的其他金屬線隔離。在一或更多個實施例中,絕緣層208的厚度在從約10奈米(nm)到約2微米(μm)的近似範圍內。
在一實施例中,使用沉積技術之一者來沉積絕緣層208,例如但不限於化學氣相沉積(chemical vapor deposition; CVD)、物理氣相沉積(physica vapor deposition; PVD)、分子束磊晶(molecular beam epitaxy; MBE)、金屬有機化學氣相沉積(metalorganic chemical vapor deposition; MOCVD)、原子層沉積(atomic layer deposition; ALD)、旋塗或微電子元件製造領域的一般技藝人士已知的其他絕緣沉積技術。
在一些實施例中,蝕刻停止層206沉積在基板202及第一金屬化層204的頂表面上。在一些未示出的實施例中,遮罩層形成在絕緣層208上。絕緣層208可被蝕刻以形成開口211,至少一個通孔開口210具有包括蝕刻停止層206的曝露部分的底表面。在一或更多個實施例中,透過通孔開口210曝露的蝕刻停止層206被選擇性地移除,使得通孔開口210的底表面包括第一金屬化層204。
參考第1圖及第2B圖,在操作104,在開口211中形成或沉積金屬層214。在一些實施例中,金屬層214沉積在絕緣層的底部210(或通孔開口)中。在一或更多個實施例中,金屬層214延伸至第一金屬化層204。在一些實施例中,金屬層214不形成在開口211的溝槽或頂部212中。
在一或更多個實施例中,第一金屬化層204包括選自銅(Cu)、鈷(Co)、釕(Ru)、銥(Ir)、銠(Rh)、鉬(Mo)、鎢(W)、鋁(Al)、鎳(Ni)及鉑(Pt)中的一或更多者的金屬。在特定實施例中,第一金屬化層204包括銅(Cu)或鈷(Co)。
在一或更多個實施例中,金屬層214包括選自鈷(Co)、釕(Ru)、鉬(Mo)及鎢(W)中的一或更多者的金屬。在一些實施例中,金屬層214包括與第一金屬化層204不同的金屬。在一或更多個具體實施例中,金屬層214包括鎢(W)。
在操作106,可視情況預清潔元件200。預清潔操作可為任何適合的預清潔流程。適合的預清潔操作包括但不限於電漿清潔、遠端電漿清潔、浸泡、在還原蒸汽中浸泡、移除天然氧化物等。例如,在一或更多個實施例中,預清潔元件200以從金屬層214的頂表面移除天然氧化物。
參考第1圖及第2C圖,在操作108,阻擋層216選擇性地形成在金屬層214的頂表面上,而不是絕緣層208的曝露表面上。因此,在一些實施例中,阻擋層216選擇性地形成在金屬層214的頂表面上,而不是開口211的溝槽或頂部212上。
在一或更多個實施例中,元件200曝露於平面非極性有機分子,以相對於絕緣層208在金屬層214上選擇性地形成阻擋層216。在一或更多個實施例中,元件200曝露於平面烴,以相對於絕緣層208在金屬層214上選擇性地形成阻擋層216。以此種方式使用時,片語「基板曝露於」意味著基板整體,包括其上的個別材料及層曝露於所述製程或條件。在一些實施例中,平面烴包括蒽、苯、萘、甲苯、乙苯、菲、三甲苯等中的一或更多者。在一些實施例中,平面烴基本上由蒽組成。在一些實施例中,平面烴基本上由萘組成。在一些實施例中,平面烴基本上由甲苯組成。以此種方式使用的用語「基本上由…組成」係指平面烴中以莫耳計大於或等於約95%、98%、99%或99.5%是所述化合物。
阻擋層216在圖式中圖示為一系列平行的波浪線;然而,熟習此項技術者將認識到,此僅用作一般表示,並不暗示阻擋層216的任何特定形態、密度或結構。阻擋層216的薄膜的形成可被認為是處理製程。而更厚膜的形成則通常被認為是選擇性沉積。
不受理論束縛的情況下,咸信金屬層214的d軌域開始與平面烴的sp 2軌域共用電子。因此,在一些實施例中,平面烴包含在兩個碳原子之間具有至少一個雙鍵的至少一化合物。在一些實施例中,平面烴包含在兩個碳原子之間具有至少一個三鍵的至少一種化合物。
此外,不受理論束縛的情況下,咸信平面烴(阻擋層216)抑制金屬層214上後續膜的成核或生長速率中的一或更多者。
在一些實施例中,基板浸泡在平面烴的蒸汽中。在一些實施例中,可控制將基板曝露於平面烴的處理條件。
在一些實施例中,控制處理腔室的壓力。處理腔室的壓力可為用於形成阻擋層216的任何適合的壓力。在一些實施例中,處理腔室的壓力維持在小於或等於約80托、小於或等於約70托、小於或等於約60托、小於或等於約50托、小於或等於約40托、小於或等於約30托、小於或等於約20托、小於或等於約15托、小於或等於約10托,或小於或等於約5托。在一些實施例中,處理腔室的壓力維持在約10托、約20托、約30托、約40托或約50托。
在一些實施例中,控制平面烴進入處理腔室的流速。平面烴的流速可為用於形成阻擋層216的任何適合的流速。在一些實施例中,平面烴的流速在約50 sccm至約100 sccm的範圍內,或在約75 sccm至約100 sccm的範圍內。在一些實施例中,平面烴的流速小於或等於約600 sccm,小於或等於約500 sccm,小於或等於約400 sccm,小於或等於約300 sccm,小於或等於約250 sccm,小於或等於約200 sccm,小於或等於約150 sccm,小於或等於約100 sccm,小於或等於約75 sccm,或小於或等於約50 sccm。在一些實施例中,平面烴的流速為約50 sccm或約100 sccm。
在一些實施例中,控制平面烴曝露於基板期間的浸泡時間。浸泡時間可為用於形成阻擋層216的任何適合的週期。在一些實施例中,浸泡時間大於或等於約1秒、大於或等於約10秒、大於或等於約20秒、大於或等於約30秒、大於或等於約45秒、大於或等於約60秒、大於或等於約80秒、大於或等於約120秒、大於或等於約150秒,或者大於或等於約200秒。在一些實施例中,浸泡時間約為60秒。在一些實施例中,浸泡時間約為200秒。
在一些實施例中,在曝露於平面烴期間控制基板的溫度。基板的溫度亦可稱為操作溫度。在一些實施例中,基板的溫度小於或等於約300℃,小於或等於約275℃,小於或等於約250℃,小於或等於約225℃,或小於或等於約200℃。
在一些實施例中,平面烴的化合物在操作溫度下是液體。在一些實施例中,平面烴的化合物在操作溫度下具有大於或等於約0.1托的蒸汽壓。
參考第1圖及第2D圖,在一些實施例中,在操作110繼續方法100,相對於被阻擋的金屬層214在絕緣層208上沉積保形阻障層218。阻障層218可透過熟習此項技術者已知的任何技術沉積。阻障層218在絕緣層208上的沉積可被稱為「反向選擇性沉積」或「反向地選擇性沉積」。熟習此項技術者將理解,用語「選擇性沉積」通常用於在金屬表面而不是非金屬表面上形成膜。反向地選擇性沉積製程在非金屬表面,即絕緣層208上形成膜或阻障層218。
在一或更多個實施例中,阻障層218透過原子層沉積來沉積。在一些實施例中,透過將基板202連續曝露於金屬前驅物及反應物來沉積阻障層218。在一些實施例中,阻障層218包括金屬氮化物。在一些實施例中,阻障層218用作阻障膜。在一些實施例中,阻障層218包括氮化鈦(TIN)或基本上由氮化鈦組成。在一些實施例中,阻障層218包括或基本上由氮化鉭(TAN)組成。在一些實施例中,金屬前驅物包括五(二甲胺基)鉭。在一些實施例中,反應物包括氨。在一些實施例中,在不使用電漿的情況下形成阻障層218。
參考第1圖,在一些實施例中,在操作112繼續方法100:從金屬層214移除阻擋層216。阻擋層216可透過任何適合的手段移除,包括但不限於電漿清潔製程。在一些實施例中,基板202曝露於電漿,以從金屬層214移除阻擋層216。在一些實施例中,電漿包括或基本上由氬(Ar)、氮(N 2)或氫(H 2)中的一或更多者組成。如在本說明書中所使用的,包含氮、氫、氧等的電漿意指由所命名的物種的分子形式形成的電漿。例如,氮電漿係指由分子氮(N 2)點燃的電漿。如在本說明書及所附申請專利範圍中所使用的,含元素的電漿(例如,含氮電漿)係指包括該元素的化合物。例如,含氮電漿包括或基本上由一或更多種以氮為元素的化合物(例如氨(NH 3))組成。在一些實施例中,電漿基本上由氬組成。在一些實施例中,電漿包括或基本上由H 2及Ar的混合物組成。
在一些實施例中,設備或處理工具被配置成將基板保持在真空條件下,以防止在移除阻障層之後形成氧化物層。在此種類型的實施例中,處理工具被配置成將基板從電漿清潔腔室移動至沉積處理腔室,而不將基板曝露於大氣條件。
在一或更多個實施例中,H 2及Ar的混合物具有範圍約10:1至約1:10、範圍約10:1至約1:1、範圍約1:1至約1:10、範圍約5:1至約1:5、範圍約5:1至約1:1、範圍約1:1至約1:5、範圍約2:1至約1:2的H 2:Ar比。在一些實施例中,H 2/Ar的混合物為約1:1。
在一或更多個實施例中,電漿的功率可取決於阻擋層的成分、填充及/或厚度,及周圍材料的成分及/或厚度而變化。在一些實施例中,電漿功率在約20瓦至約500瓦的範圍內,在約20瓦至約400瓦的範圍內,在約20瓦至約250瓦的範圍內,在約50瓦至約500瓦的範圍內,在約100瓦至約500瓦的範圍內,在約100瓦至約450瓦的範圍內,在約100瓦至約500瓦的範圍內,或在約200瓦至約400瓦的範圍內。在一些實施例中,電漿功率為約50瓦、約200瓦或約400瓦。
在一或更多個實施例中,電漿曝露的歷時可取決於阻擋層216的成分、填充及/或厚度及周圍材料的成分及/或厚度而變化。在一些實施例中,基板曝露於電漿的週期範圍為約2秒至約60秒,範圍為約3秒至約30秒,或範圍為約5秒至約10秒。在一些實施例中,基板曝露於電漿的週期範圍為約3秒、約5秒、約10秒或約30秒。
在類似於第2E圖及第2F圖所示的實施例中,導電通孔填充材料214以自下而上的方式生長以填充構成特徵211下部的通孔部分210,第二導電材料220沉積在上部212中。例如,在第2E圖所示的操作114中,用導電材料220填充特徵211的上部212,以形成M x+1導線。
參考第1圖及第2E及2F圖,在操作114,在從金屬層214的頂表面移除阻擋層216之後,形成或沉積第二金屬化層220或第二金屬線。在一或更多個實施例中,第二金屬化層220可包括熟練技藝人士已知的任何適合的金屬。在一些實施例中,第二金屬化層220為選自銅(Cu)、鈷(Co)、釕(Ru)、銥(Ir)、銠(Rh)、鉬(Mo)、鎢(W)、鋁(Al)、鎳(Ni)及鉑(Pt)中的一或更多者。在一些實施例中,第二金屬化層220基本上由銅(Cu)、鈷(Co)、釕(Ru)、銥(Ir)、銠(Rh)、鉬(Mo)、鎢(W)、鋁(Al)、鎳(Ni)及鉑(Pt)組成。在一些實施例中,第二金屬化層220基本上由銅(Cu)、鈷(Co)、釕(Ru)鎢(W)、或鉬(Mo)組成。在一些實施例中,第二金屬化層220包括銅或基本上由銅組成。如在本說明書及所附申請專利範圍中所使用的,術語「基本上由…組成」意味著該材料以原子計大於或等於所述材料的約95%、98%或99%。參考第2F圖,在一或更多個實施例中,第一金屬化層204a及第二金屬化層204b包括相同的金屬材料。
第3A至3F圖示出了類似於第1至2F圖所示的本揭示案的另一實施例。該方法採用與前述相同的材料及整體製程。如熟習此項技術者將認識到的,第3A至3F圖所示的實施例表示了用於形成連接M x及M x+1金屬線的通孔的方法。
參考第3A至3E圖,所使用的示例性反向選擇性沉積方法開始於提供具有絕緣層208的基板200,絕緣層208中形成有至少一個特徵211。所描述的不同膜及層類似於第2A至2E圖的膜及層,且熟習此項技術者將認識到,該等膜及層僅為類似方法的不同結構形式。至少一個特徵211具有底部或通孔部分210及頂部或溝槽部分212。在所示實施例中,側壁包括絕緣層208並由絕緣層208形成。通孔開口210的底表面包括第一金屬化層204或第一金屬化線,並且由第一金屬化層204或第一金屬化線形成。至少一個特徵211可具有一個側壁(例如圓形通孔)、兩個側壁(例如溝槽)或更多個側壁(例如正方形或多邊形通孔)。
參考第3B圖,在至少一個特徵211的底部210中形成或沉積金屬層214。金屬層214具有一高度。在一些實施例中,金屬層214的高度小於底部210或通孔開口的深度。在一或更多個實施例中,金屬層214的高度在底部或通孔部分210的深度的約25%至約90%的範圍內。
參考第3C圖,基板200曝露於平面烴,以相對於絕緣層208的頂部在金屬層214的頂表面上選擇性地形成阻擋層216,從而形成特徵211的底部。換言之,用平面烴處理基板200,以使金屬層214上的未來沉積去活化或阻擋未來沉積。
參考第3D圖,相對於阻擋層216在絕緣層208上反向地選擇性沉積阻障層218。同樣,熟習此項技術者將理解,術語「反向地選擇性沉積」係指選擇性沉積製程,其中在絕緣層208上形成膜。在一些實施例中,透過將基板200連續曝露於金屬前驅物及反應物來沉積阻障層218。在一些實施例中,阻障層218包括充當阻障層的材料。在一些實施例中,取決於金屬層214的厚度,阻障層218可形成在頂部212或溝槽部分及底部210或至少一個開口211的通孔開口中。在其他實施例中,保形阻障層218不形成在至少一個開口的底部210中。
在一或更多個實施例中,視情況,阻擋層216從特徵211底部上的金屬層214表面移除。在不受理論限制的情況下,咸信與大多數阻障層(例如阻障層218)通常可見的電阻增大相比,阻擋層216僅略微增大了導電填充材料220的電阻。因此,阻擋層216的移除是可選的製程,其可進一步降低導電填充材料220的電阻。
無論阻擋層216是否在操作112被移除,導電填充材料220(或第二金屬化層或線)被沉積在至少一個特徵211內以形成低電阻金屬通孔。在一些實施例中,低電阻金屬通孔的電阻小於或等於無阻障層情況下形成的金屬通孔的約80%。換言之,由包括阻擋層216的所揭示製程形成的低電阻金屬通孔提供了大於或等於約20%的通孔電阻降低。
在如第3E圖所示的實施例中,其中導電通孔填充材料214以自下而上的方式生長以填充構成特徵211的下部的通孔部分210,第二導電材料220沉積在上部212中。例如,如第3E圖所示,特徵211的上部212填充有導電材料220以形成M x+1導線。
在一些實施例中,沉積第二金屬化層220以過度填充特徵211並在基板200的表面上形成覆蓋層。隨後,透過任何適合的技術(例如,蝕刻、化學機械平坦化(chemical-mechanical planarization; CMP))移除覆蓋層。
第4圖及第5A至5F圖示出了類似於第1至3E圖所示的本揭示案的另一實施例。方法120從操作122開始,其中視情況預清潔基板。
參考第4圖及第5A圖,基板222上具有絕緣層228及導電材料224或第一金屬化層。特徵221形成在絕緣層222中。特徵221具有側壁223a、223b及底部223c。側壁223a、223b由絕緣層222形成。在一些實施例中,蝕刻停止層226安置在基板222的頂表面上及第一金屬化層224上。至少一個特徵221的底部223c由導電材料224(或第一金屬化層)的頂表面形成。在一些實施例中,第一金屬化線的導電材料224亦被稱為M x線。
參考第4圖及第5B圖,在操作124,在至少一個開口221中形成或沉積通孔金屬230,且該通孔金屬230接觸第一金屬化層224。在操作126,視情況預清潔基板。例如,在一些實施例中,可清潔通孔金屬230以移除可能已經形成的天然氧化物。
如第5C圖所示,在操作128,基板曝露於平面烴,以相對於絕緣層228的頂表面在通孔金屬230頂表面上選擇性地形成阻擋層232。
參考第4圖及第5D圖,在操作132,相對於阻擋層232阻障層234選擇性地沉積在絕緣層228上。如第5D圖所示,阻障層234選擇性地沉積在絕緣層228的頂表面上。阻障層234沉積在曝露的絕緣層228表面上,而不是通孔金屬230上。阻障層234可透過熟習此項技術者已知的任何適合的技術沉積。在一些實施例中,透過將基板連續曝露於金屬前驅物及反應物來沉積阻障層234。
在可選操作132,方法120包括視情況從通孔金屬230的表面移除阻擋層232。參考第4圖及第5E圖,無論在操作132是否移除阻擋層232,方法120在操作134繼續選擇性沉積第二金屬化層236。導電通孔填充材料236選擇性地沉積在阻障層234上。
第二金屬化層236可為熟練技藝人士已知的任何適合的材料。在所示實施例中,第一金屬化層224、通孔金屬230及第二金屬化層236是不同的材料。在其他實施例中,第一金屬化層224及第二金屬化層236可為相同的材料。例如,在一些實施例中,第一金屬化層224及第二金屬化層236包括銅,而通孔金屬230包括鈷。
第二金屬化層236可透過熟練技藝人士已知的任何適合的技術來沉積。在一些實施例中,第二金屬化層236透過化學氣相沉積(chemical vapor deposition; CVD)製程、原子層沉積(atomic layer deposition; ALD)製程或物理氣相沉積(physica vapor deposition; PVD)製程中的一或更多者來沉積。
參考第5E圖,蝕刻(即減法蝕刻)基板220以在第二金屬化層236中形成開口238(或溝槽)。
第6圖及第7A至7E圖示出了類似於第1至5E圖所示的本揭示案的另一實施例。該方法採用與前述相同的材料及整體製程。第7A至7E圖所示的實施例表示一種用於形成連接M x及M x+1金屬線的通孔的方法,如熟習此項技術者將認識到的。第7A至7E圖所示的方法可為在不破壞真空的情況下在處理工具中執行的整合製程。
參考第6圖及第7A至7E圖,示例性反向地選擇性沉積方法140開始於提供基板250,該基板250上具有絕緣層252、第一金屬化層254及第一特徵(未示出)。在操作142,視情況預清潔基板。在操作144,在第一特徵底部的第一金屬化層254頂表面上選擇性地沉積或形成通孔/觸點256。所描述的不同膜及層類似於第2A至2E圖的膜及層,且熟習此項技術者將認識到,該等膜及層僅為類似方法的不同結構形式。
蝕刻停止層258沉積在絕緣層252的頂表面上及通孔/觸點256上。蝕刻停止層258可包括熟習此項技術者已知的任何適合的材料。在一或更多個實施例中,蝕刻停止層258包括氧化鋁(ALO)、碳氮化矽(SICN)及氮化矽(SIN)中的一或更多者。第二絕緣層260沉積在蝕刻停止層258上。參考第6圖及第7B圖,進行單鑲嵌製程以在絕緣層260中形成溝槽262。單鑲嵌製程打開蝕刻停止層258,曝露通孔/觸點256的頂表面,使得通孔/觸點256的頂表面成為溝槽262的底表面。在一些實施例中,打開蝕刻停止層258的單鑲嵌製程包括基於電漿/自由基的蝕刻,隨後進行預清潔。預清潔操作可為熟習此項技術者已知的任何適合的預清潔流程。適合的預清潔操作包括但不限於浸泡、移除天然氧化物等。在一或更多個實施例中,在沒有破壞真空的整合系統中進行單鑲嵌製程。鑲嵌溝槽262具有側壁263a、263b及底部263c。在所示實施例中,側壁包括絕緣層260,並由絕緣層260形成。鑲嵌溝槽262的底表面包括通孔/觸點256及絕緣層252的一部分,並由通孔/觸點256及絕緣層252的一部分形成。鑲嵌溝槽262可具有一個側壁(例如圓形通孔)、兩個側壁(例如溝槽)或更多個側壁(例如正方形或多邊形通孔)。
參考第7C圖,基板250曝露於平面烴,以相對於絕緣層260在通孔/觸點256的頂表面上選擇性地形成阻擋層264。換言之,用平面烴處理基板250,以使通孔/觸點256上的未來沉積去活化或阻擋未來沉積。
參考第7D圖,相對於阻擋層264在絕緣層260上反向地選擇性沉積阻障層266。同樣,熟習此項技術者將理解,術語「反向地選擇性沉積」係指選擇性沉積製程,其中膜形成在絕緣層264上而不是通孔/觸點256上。在一些實施例中,透過將基板250連續曝露於金屬前驅物及反應物來沉積阻障層266。在一些實施例中,阻障層266包括充當阻障層的材料。
在一或更多個實施例中,視情況,從通孔/觸點256的表面移除阻擋層264。在不受理論限制的情況下,咸信與大多數阻障層(例如阻障層266)通常可見的電阻增大相比,阻擋層264僅略微增大了導電填充材料268的電阻。因此,阻擋層264的移除是可選的製程,其可進一步降低導電填充材料268的電阻。
無論阻障層266是否在操作152被移除,導電填充材料268(或第二金屬化層或線)皆被沉積在至少一個特徵262內以形成低電阻金屬通孔。在一些實施例中,低電阻金屬通孔的電阻小於或等於無阻障層情況下形成的金屬通孔的約80%。換言之,由包括阻擋層264的所揭示製程形成的低電阻金屬通孔提供了大於或等於約20%的通孔電阻降低。
在一些實施例中,沉積第二金屬化層268以過度填充特徵262並在基板250的表面上形成覆蓋層。隨後,透過任何適合的技術(例如,蝕刻、化學機械平坦化(chemical-mechanical planarization; CMP))移除覆蓋層。
如第8圖所示,本揭示案的額外實施例係關於用於形成所述元件及方法的處理工具900。可利用應用材料公司提供的各種多處理平臺,包括Centura®、Dual ACP、Producer® GT,及Endura®平臺,及其他處理系統。在一或更多個實施例中,群集工具900包括至少一個具有複數個側面的中央傳送站921、931。機器人925、935位於中央傳送站921、931內,並被配置為將機器人葉片及晶圓移動到複數個側面中的每一個。
群集工具900包括複數個處理腔室902、904、906、908、910、912、914、916及918,亦稱為處理站,該等站連接至中央傳送站。各種處理腔室提供與相鄰處理站隔離的獨立處理區域。處理腔室可為任何適合的腔室,包括但不限於選擇性金屬沉積腔室;阻障金屬沉積腔室;金屬沉積腔室;物理氣相沉積金屬沉積腔室;化學氣相沉積金屬沉積腔室;阻擋層沉積腔室;襯墊金屬沉積腔室;電漿腔室;預清潔腔室;蝕刻腔室;傳送空間、晶圓定向器/脫氣室、低溫冷卻腔室等。處理腔室及部件的具體佈置可取決於群集工具而變化,並且不應被視為限制本揭示案的範疇。
在一或更多個實施例中,群集工具900包括阻擋層沉積腔室,以將基板曝露於平面烴並形成阻擋層。在一或更多個實施例中,群集工具900包括連接至中央傳送站的預清潔腔室。
在第8圖所示的實施例中,工廠介面950連接至群集工具900的前端。工廠介面950包括位於工廠介面950前端951上的裝載室954及卸載室956。儘管裝載室954圖示在左側,且卸載室956圖示在右側,但是熟習此項技術者將會理解,此僅表示一個可能的配置。
裝載室954及卸載室956的尺寸及形狀可取決於例如在群集工具900中正在處理的基板而變化。在所示的實施例中,裝載室954及卸載室956的尺寸經設計以保持晶圓匣,其中複數個晶圓位於該匣內。
機器人952在工廠介面950內,並且可在裝載室954與卸載室956之間移動。機器人952能夠透過工廠介面950將晶圓從裝載室954中的匣傳送到裝載閘腔室960。機器人952亦能夠透過工廠介面950將晶圓從裝載閘腔室962轉移到卸載室956中的匣。如熟習此項技術者將理解的,工廠介面950可具有多於一個的機器人952。例如,工廠介面950可具有在裝載室954與裝載閘腔室960之間傳送晶圓的第一機器人,及在裝載閘腔室962與卸載室956之間傳送晶圓的第二機器人。
圖示的群集工具900具有第一部分920及第二部分930。第一部分920透過裝載閘腔室960、962連接至工廠介面950。第一部分920包括第一傳送室921,其中定位有至少一個機器人925。機器人925亦被稱為機器人晶圓傳送機構。第一傳送室921相對於裝載閘腔室960、962、處理腔室902、904、916、918及緩衝腔室922、924而位於中心。一些實施例的機器人925是能夠一次獨立移動多於一個晶圓的多臂機器人。在一或更多個實施例中,第一傳送室921包括一個以上的機器人晶圓傳送機構。第一傳送室921中的機器人925被配置成圍繞第一傳送室921在腔室之間移動晶圓。單個晶圓被承載在位於第一機器人機構遠端的晶圓傳送葉片上。
在第一部分920中處理晶圓之後,晶圓可透過直通腔室傳送到第二部分930。例如,腔室922、924可為單向或雙向直通腔室。直通腔室922、924可用於例如在第二部分930中處理晶圓之前低溫冷卻該晶圓,或者允許晶圓在移回第一部分920之前進行晶圓冷卻或後處理。
系統控制器990與第一機器人925、第二機器人935、第一複數個處理腔室902、904、916、918及第二複數個處理腔室906、908、910、912、914通訊。系統控制器990可為能夠控制處理腔室及機器人的任何適合的部件。例如,系統控制器990可為包括中央處理單元、記憶體、適合的電路及儲存器的電腦。
製程大體可作為軟體常式儲存在系統控制器990的記憶體中,當由處理器執行該軟體常式時,使得處理腔室執行本揭示案的製程。軟體常式亦可由定位於受處理器控制的硬體遠端的第二處理器(未示出)儲存及/或執行。本揭示案的一些或所有方法亦可在硬體中執行。如此,該製程可以軟體方式來實施,並且可使用電腦系統以硬體方式來執行,例如作為特殊應用積體電路或其他類型的硬體實施方式來執行,或者作為軟體與硬體的組合執行。當軟體常式由處理器執行時,該軟體常式將通用電腦轉換成控制腔室操作的專用電腦(控制器),從而執行製程。
在一或更多個實施例中,處理工具900包括中央傳送站921、931,該等中央傳送站921、931包括被配置成移動晶圓的至少一個機器人925、935;連接至中央傳送站的選擇性通孔填充站、反向地選擇性沉積站、阻擋層形成站、化學氣相沉積站、物理氣相沉積站中的一或更多者;連接至中央轉運站的可選預清潔站;及至少一個控制器,該控制器連接至中央傳送站、選擇性通孔填充站、反向地選擇性沉積站、阻擋層形成站、化學氣相沉積站、物理氣相沉積站或可選預清潔站中的一或更多者。在一或更多個實施例中,至少一個控制器具有從以下配置中選擇的至少一個配置:使用機器人在站之間移動晶圓的配置;選擇性填充通孔的配置;將基板曝露於平面烴並形成阻擋層的配置;用於阻障層的反向選擇性沉積的配置;沉積金屬的配置;及預清潔晶圓的配置。
在一或更多個實施例中,處理工具包括:預清潔腔室,其中具有基板支撐件;選擇性金屬沉積腔室;阻障金屬沉積腔室;金屬沉積腔室;物理氣相沉積金屬沉積腔室;化學氣相沉積金屬沉積腔室;視情況,具有可選預清潔的阻擋層沉積腔室;視情況,襯墊金屬沉積腔室;視情況,電漿腔室;視情況,蝕刻腔室;機器人,被配置為出入預清潔腔室、選擇性沉積腔室、可選阻擋層沉積腔室、阻障金屬沉積腔室、物理氣相沉積金屬沉積腔室、可選的電漿腔室;及可選的蝕刻腔室、可選的襯墊金屬沉積腔室、化學氣相沉積金屬沉積腔室及物理氣相沉積金屬沉積腔室;及控制器,連接至預清潔腔室、選擇性沉積腔室、可選阻擋層沉積腔室、阻障金屬沉積腔室、物理氣相沉積金屬沉積腔室、可選電漿腔室;及可選的蝕刻腔室、可選的襯墊金屬沉積腔室、化學氣相沉積金屬沉積腔室及物理氣相沉積金屬沉積腔室,及機器人,該控制器具有選自以下各者的一或更多個配置:清潔基板、選擇性地形成阻擋層、選擇性地沉積襯墊、視情況形成金屬襯墊、形成金屬化層、視情況蝕刻基板及視情況移除阻擋層。
為了便於描述,本文可使用空間相對用語,例如「在下方」、「在之下」、「下部」、「在上方」、「上部」等,來描述一個元件或特徵與圖式中所示的另一個元件或特徵的關係。應當理解,除了圖式中所示的方向之外,空間相對用語亦意欲包括使用或操作中的元件的不同方向。例如,若圖式中的元件被翻轉,則被描述為「在其他元件或特徵下方」或「在其他元件或特徵之下」的元件將被定向為「在其他元件或特徵上方」。因此,示例性用語「在之下」可包括在上方及在下方的定向。該元件可以其他方式定向(旋轉90度或採取其他定向),且本文使用的空間相對描述詞應作相應解釋。
在描述本文所論述的材料及方法的上下文中(尤其是在以下申請專利範圍的上下文中),用語「一」及「一個」及「該」及類似代表詞的使用應被解釋為涵蓋單數及複數,除非本文另有說明或與上下文明顯矛盾。除非本文中另有說明,否則本文中數值範圍的敘述僅意欲用作單獨提及符合該範圍內的每個單獨數值的簡寫方法,並且每個單獨數值被併入說明內容中,正如其在本文中被單獨敘述一樣。本文描述的所有方法可以任何適合的連續執行,除非本文另有說明或者與上下文明顯矛盾。本文提供的任何及所有實例或示例性語言(例如「如」)的使用僅為更好地說明材料及方法,而非對範疇的限制,除非另有主張。本說明書中的任何語言皆不應被解釋為表示任何未主張保護的元素對所揭示的材料及方法的實踐為必要的。
在整篇說明書中,對「一個實施例」、「某些實施例」、「一或更多個實施例」或「一實施例」的引用意味著結合該實施例描述的特定特徵、結構、材料或特性被納入本揭示案的至少一個實施例中。因此,諸如「在一或更多個實施例中」、「在某些實施例中」、「在一個實施例中」或「在一實施例中」之類的片語在本說明書各處的出現不一定指示本揭示案的同一實施例。此外,在一或更多個實施例中,特定特徵、結構、材料或特性可以任何適合的方式組合。
儘管已經參考特定實施例描述了本文的揭示內容,但是熟習此項技術者將理解,所描述的實施例僅為本揭示案的原理及應用的說明。熟習此項技術者將顯而易見,在不脫離本揭示案的精神及範疇的情況下,可對本揭示案的方法及裝置進行各種潤飾及變化。因此,本揭示案可包括本揭示案範疇內的潤飾及變化。
100:方法 102:預清潔操作 104:步驟 106:步驟 108:步驟 110:步驟 112:步驟 114:步驟 120:方法 122:步驟 124:步驟 126:步驟 128:步驟 132:步驟 134:步驟 140:反向選擇性沉積方法 142:步驟 144:步驟 152:步驟 154:步驟 200:元件/基板 202:基板 204:第一金屬化層 204a:第一金屬化層 204b:第二金屬化層 206:蝕刻停止層 208:絕緣層 209:頂表面 210:底部 211:開口 212:頂部 213:側壁 214:金屬層 216:阻擋層 218:阻障層 220:第二導電材料 221:特徵 222:絕緣層 223a:側壁 223b:側壁 223c:底部 224:第一金屬化層 226:蝕刻停止層 228:絕緣層 230:通孔金屬 232:阻擋層 234:阻障層 236:第二金屬化層 238:開口 250:基板 252:絕緣層 254:第一金屬化層 256:通孔/觸點 258:蝕刻停止層 260:絕緣層 262:溝槽 263a:側壁 263b:側壁 263c:底部 264:阻擋層 266:阻障層 268:導電填充材料 900:處理工具 902:處理腔室 904:處理腔室 906:處理腔室 908:處理腔室 910:處理腔室 912:處理腔室 914:處理腔室 916:處理腔室 918:處理腔室 920:第一部分 921:中央傳送站 922:緩衝腔室 924:緩衝腔室 925:機器人 930:第二部分 931:中央傳送站 935:機器人 950:工廠介面 951:前端 952:機器人 954:裝載室 956:卸載室 960:裝載閘腔室 962:裝載閘腔室 990:系統控制器
為了能夠詳細理解本揭示案的上述特徵,可參考實施例對以上簡要概述的本揭示案進行更具體的描述,其中一些實施例在附圖中示出。然而,應當注意,附圖僅示出了本揭示案的典型實施例,因此不應被認為是對其範疇的限制,因為本揭示案可允許其他同等有效的實施例。
第1圖示出了根據本揭示案的一或更多個實施例的方法的製程流程圖;
第2A-2F圖示出了根據本揭示案的一或更多個實施例的處理期間的示例性基板的橫剖面視圖;
第3A-3E圖示出了根據本揭示案的一或更多個實施例的處理期間的示例性基板的橫剖面視圖;
第4圖示出了根據本揭示案的一或更多個實施例的方法的製程流程圖;
第5A-5F圖示出了根據本揭示案的一或更多個實施例的處理期間的示例性基板的橫剖面視圖;
第6圖示出了根據本揭示案的一或更多個實施例的方法的製程流程圖;
第7A-7E圖示出了根據本揭示案的一或更多個實施例的處理期間的示例性基板的橫剖面視圖;及
第8圖示出了根據本揭示案的一或更多個實施例的示例性群集工具。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
200:元件/基板
202:基板
204:第一金屬化層
206:蝕刻停止層
208:絕緣層
209:頂表面
210:底部
212:頂部
214:金屬層
216:阻擋層
218:阻障層
220:第二導電材料

Claims (24)

  1. 一種形成一電子元件的方法,該方法包括以下步驟: 提供在一第一金屬化層上包括一絕緣層的一基板,該絕緣層包括從該絕緣層的一頂表面延伸至該第一金屬化層的一開口,該開口具有至少一個側壁、一頂部及一底部; 選擇性地在該開口的該底部沉積一金屬層,該金屬層延伸至該第一金屬化層; 選擇性地在該開口的至少一個該側壁上而不在該金屬層上沉積一阻障層;及 在該金屬層上的該開口的該頂部中及該阻障層上形成一第二金屬化層。
  2. 如請求項1所述的方法,其中選擇性沉積該阻障層之步驟包括以下步驟:在該金屬層上而不是該絕緣層上形成一阻擋層。
  3. 如請求項2的方法,其中形成該阻擋層之步驟包括以下步驟:將該金屬層曝露於一平面烴。
  4. 如請求項3的方法,其中該平面烴包括蒽、苯、萘、甲苯、乙苯、菲、三甲苯等中的一或更多者。
  5. 如請求項2所述的方法,進一步包括以下步驟:將該基板曝露於一電漿以移除該阻擋層。
  6. 如請求項1所述的方法,其中該第一金屬化層、該第二金屬化層及該金屬層獨立地包括選自銅、鈷、釕、銥、銠、鉬、鎢、鋁、鎳及鉑中的一或更多者的一金屬。
  7. 如請求項6所述的方法,其中該第一金屬化層及該第二金屬化層包括相同的金屬。
  8. 如請求項1所述的方法,其中該開口包括一溝槽及一通孔。
  9. 如請求項8所述的方法,其中該阻障層不在該通孔中形成。
  10. 如請求項8所述的方法,其中該阻障層形成在該通孔中。
  11. 如請求項1所述的方法,其中該絕緣層包括二氧化矽、氧化矽、摻碳氧化物、碳化矽、氮化矽中(SiN)的一或更多者。
  12. 如請求項1所述的方法,其中該方法在不破壞真空的情況下在一群集工具中執行。
  13. 如請求項1所述的方法,進一步包括以下步驟:在沉積該金屬層之前預清潔該基板。
  14. 一種形成一電子元件的方法,該方法包括以下步驟: 提供在一第一金屬化層上包括一絕緣層的一基板,該絕緣層包括從該絕緣層的一頂表面延伸至該第一金屬化層的一開口; 選擇性地在該開口中沉積一金屬層,該金屬層延伸至該第一金屬化層; 選擇性地在該絕緣層的該頂表面上而不在該金屬層上沉積一阻障層; 在該金屬層及該阻障層上形成一第二金屬化層;及 蝕刻該第二金屬化層以形成一溝槽。
  15. 如請求項14所述的方法,其中選擇性沉積該阻障層之步驟包括以下步驟:在該金屬層上而不在該絕緣層上形成一阻擋層。
  16. 如請求項15的方法,其中形成該阻擋層之步驟包括以下步驟:將該金屬層曝露於一平面烴。
  17. 如請求項16的方法,其中該平面烴包括蒽、苯、萘、甲苯、乙苯、菲、三甲苯等中的一或更多者。
  18. 如請求項14所述的方法,其中該金屬層、該第一金屬化層及該第二金屬化層獨立地包括選自銅、鈷、釕、銥、銠、鉬、鎢、鋁、鎳及鉑中的一或更多者的一金屬。
  19. 一種形成一電子元件的方法,該方法包括以下步驟: 提供一基板,其上包括一第一絕緣層、一第一金屬化層及至少一個特徵; 在該至少一個特徵中選擇性地沉積一金屬層; 在該第一絕緣層的一頂表面及該金屬層的一頂表面上沉積一蝕刻停止層; 在該蝕刻停止層的一頂表面上沉積一第二絕緣層; 執行一單鑲嵌製程以形成從該第二絕緣層的一頂表面延伸至該金屬層的該頂表面的一開口; 選擇性地在該開口中而不在該金屬層上沉積一阻障層;及 在該金屬層及該阻障層上形成一第二金屬化層。
  20. 如請求項19所述的方法,其中選擇性沉積該阻障層之步驟包括以下步驟:在該金屬層上而不在該第一絕緣層上並且不在該第二絕緣層上形成一阻擋層。
  21. 如請求項20的方法,其中形成該阻擋層之步驟包括以下步驟:將該金屬層曝露於一平面烴。
  22. 如請求項21的方法,其中該平面烴包括蒽、苯、萘、甲苯、乙苯、菲、三甲苯等中的一或更多者。
  23. 如請求項19所述的方法,其中該金屬層、該第一金屬化層及該第二金屬化層獨立地包括選自銅、鈷、釕、銥、銠、鉬、鎢、鋁、鎳及鉑中的一或更多者的一金屬。
  24. 一種處理工具,包括: 一預清潔腔室,其中具有一基板支撐件; 一選擇性金屬沉積腔室; 一阻障金屬沉積腔室; 一金屬沉積腔室; 一物理氣相沉積金屬沉積腔室; 一化學氣相沉積金屬沉積腔室; 視情況,一阻擋層沉積腔室,具有一可選預清潔; 視情況,一襯墊金屬沉積腔室; 視情況,一電漿腔室; 視情況,一蝕刻腔室; 一機器人,被配置為出入該預清潔腔室、該選擇性沉積腔室、該可選的阻擋層沉積腔室、該阻障金屬沉積腔室、該物理氣相沉積金屬沉積腔室、該可選的電漿腔室;及該可選的蝕刻腔室、該可選的襯墊金屬沉積腔室、該化學氣相沉積金屬沉積腔室及該物理氣相沉積金屬沉積腔室;及 一控制器,連接至該預清潔腔室、該選擇性沉積腔室、該可選的阻擋層沉積腔室、該阻障金屬沉積腔室、該物理氣相沉積金屬沉積腔室、該可選的電漿腔室;及該可選的蝕刻腔室、該可選的襯墊金屬沉積腔室、該化學氣相沉積金屬沉積腔室及該物理氣相沉積金屬沉積腔室,及該機器人,該控制器具有選自以下各者的一或更多個配置:清潔一基板、選擇性地形成一阻擋層、選擇性地沉積一襯墊、視情況形成一金屬襯墊、形成一金屬化層、視情況蝕刻該基板及視情況移除該阻擋層。
TW110136869A 2020-10-06 2021-10-04 低電阻及高可靠性金屬化模組 TW202232577A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202063087969P 2020-10-06 2020-10-06
US63/087,969 2020-10-06

Publications (1)

Publication Number Publication Date
TW202232577A true TW202232577A (zh) 2022-08-16

Family

ID=80931635

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110136869A TW202232577A (zh) 2020-10-06 2021-10-04 低電阻及高可靠性金屬化模組

Country Status (7)

Country Link
US (1) US20220108917A1 (zh)
EP (1) EP4226418A1 (zh)
JP (1) JP2023545013A (zh)
KR (1) KR20220045912A (zh)
CN (1) CN116325120A (zh)
TW (1) TW202232577A (zh)
WO (1) WO2022076277A1 (zh)

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5956608A (en) * 1996-06-20 1999-09-21 Applied Materials, Inc. Modulating surface morphology of barrier layers
US6372633B1 (en) * 1998-07-08 2002-04-16 Applied Materials, Inc. Method and apparatus for forming metal interconnects
JP4086673B2 (ja) * 2003-02-04 2008-05-14 Necエレクトロニクス株式会社 半導体装置及びその製造方法
US9659856B2 (en) * 2014-10-24 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Two step metallization formation
US10002834B2 (en) * 2015-03-11 2018-06-19 Applied Materials, Inc. Method and apparatus for protecting metal interconnect from halogen based precursors
US10453740B2 (en) * 2017-06-29 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure without barrier layer on bottom surface of via
US11398406B2 (en) * 2018-09-28 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Selective deposition of metal barrier in damascene processes
US10879107B2 (en) * 2018-11-05 2020-12-29 International Business Machines Corporation Method of forming barrier free contact for metal interconnects
US11456211B2 (en) * 2020-07-30 2022-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming interconnect structure
US11810857B2 (en) * 2020-08-25 2023-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Via for semiconductor device and method
KR20220167573A (ko) * 2021-06-14 2022-12-21 삼성전자주식회사 반도체 장치 및 이의 제조 방법

Also Published As

Publication number Publication date
CN116325120A (zh) 2023-06-23
EP4226418A1 (en) 2023-08-16
WO2022076277A1 (en) 2022-04-14
KR20220045912A (ko) 2022-04-13
US20220108917A1 (en) 2022-04-07
JP2023545013A (ja) 2023-10-26

Similar Documents

Publication Publication Date Title
US10403542B2 (en) Methods of forming self-aligned vias and air gaps
US7799671B1 (en) Interfacial layers for electromigration resistance improvement in damascene interconnects
US8372739B2 (en) Diffusion barrier for integrated circuits formed from a layer of reactive metal and method of fabrication
US8058728B2 (en) Diffusion barrier and adhesion layer for an interconnect structure
US7727883B2 (en) Method of forming a diffusion barrier and adhesion layer for an interconnect structure
US11404311B2 (en) Metallic interconnect structures with wrap around capping layers
KR100489920B1 (ko) 통합된플러그/상호접속금속부를위해선택적cvda1을사용하는인슈트캐핑된알루미늄플러그(캡)형성방법
TWI757659B (zh) 碳膜的選擇性沉積及其用途
US10840186B2 (en) Methods of forming self-aligned vias and air gaps
CN111128863A (zh) 半导体互连结构和形成半导体结构的方法
US20230132200A1 (en) Selective blocking of metal surfaces using bifunctional self-assembled monolayers
TW202232577A (zh) 低電阻及高可靠性金屬化模組
US11967523B2 (en) Self-assembled monolayer for selective deposition
US20230197508A1 (en) Self-assembled monolayer for selective deposition
US20230317516A1 (en) Metal Surface Blocking Molecules for Selective Deposition
US20230045140A1 (en) Barrier Schemes for Metallization Using Manganese and Graphene