TW202231626A - Radiation-sensitive resin composition and method for forming resist patter using same, and sulfonium salt compound and radiation-sensitive acid generator comprising same - Google Patents

Radiation-sensitive resin composition and method for forming resist patter using same, and sulfonium salt compound and radiation-sensitive acid generator comprising same Download PDF

Info

Publication number
TW202231626A
TW202231626A TW111104382A TW111104382A TW202231626A TW 202231626 A TW202231626 A TW 202231626A TW 111104382 A TW111104382 A TW 111104382A TW 111104382 A TW111104382 A TW 111104382A TW 202231626 A TW202231626 A TW 202231626A
Authority
TW
Taiwan
Prior art keywords
hydrocarbon group
monovalent
group
radiation
resin composition
Prior art date
Application number
TW111104382A
Other languages
Chinese (zh)
Inventor
根本龍一
古川剛
稲見甫
岡嵜聡司
Original Assignee
日商Jsr股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商Jsr股份有限公司 filed Critical 日商Jsr股份有限公司
Publication of TW202231626A publication Critical patent/TW202231626A/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C309/00Sulfonic acids; Halides, esters, or anhydrides thereof
    • C07C309/01Sulfonic acids
    • C07C309/02Sulfonic acids having sulfo groups bound to acyclic carbon atoms
    • C07C309/03Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton
    • C07C309/07Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton containing oxygen atoms bound to the carbon skeleton
    • C07C309/12Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton containing oxygen atoms bound to the carbon skeleton containing esterified hydroxy groups bound to the carbon skeleton
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C309/00Sulfonic acids; Halides, esters, or anhydrides thereof
    • C07C309/01Sulfonic acids
    • C07C309/02Sulfonic acids having sulfo groups bound to acyclic carbon atoms
    • C07C309/19Sulfonic acids having sulfo groups bound to acyclic carbon atoms of a saturated carbon skeleton containing rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C381/00Compounds containing carbon and sulfur and having functional groups not covered by groups C07C301/00 - C07C337/00
    • C07C381/12Sulfonium compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D307/00Heterocyclic compounds containing five-membered rings having one oxygen atom as the only ring hetero atom
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D317/00Heterocyclic compounds containing five-membered rings having two oxygen atoms as the only ring hetero atoms
    • C07D317/08Heterocyclic compounds containing five-membered rings having two oxygen atoms as the only ring hetero atoms having the hetero atoms in positions 1 and 3
    • C07D317/44Heterocyclic compounds containing five-membered rings having two oxygen atoms as the only ring hetero atoms having the hetero atoms in positions 1 and 3 ortho- or peri-condensed with carbocyclic rings or ring systems
    • C07D317/70Heterocyclic compounds containing five-membered rings having two oxygen atoms as the only ring hetero atoms having the hetero atoms in positions 1 and 3 ortho- or peri-condensed with carbocyclic rings or ring systems condensed with ring systems containing two or more relevant rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D317/00Heterocyclic compounds containing five-membered rings having two oxygen atoms as the only ring hetero atoms
    • C07D317/08Heterocyclic compounds containing five-membered rings having two oxygen atoms as the only ring hetero atoms having the hetero atoms in positions 1 and 3
    • C07D317/72Heterocyclic compounds containing five-membered rings having two oxygen atoms as the only ring hetero atoms having the hetero atoms in positions 1 and 3 spiro-condensed with carbocyclic rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D321/00Heterocyclic compounds containing rings having two oxygen atoms as the only ring hetero atoms, not provided for by groups C07D317/00 - C07D319/00
    • C07D321/02Seven-membered rings
    • C07D321/04Seven-membered rings not condensed with other rings
    • C07D321/061,3-Dioxepines; Hydrogenated 1,3-dioxepines
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D327/00Heterocyclic compounds containing rings having oxygen and sulfur atoms as the only ring hetero atoms
    • C07D327/02Heterocyclic compounds containing rings having oxygen and sulfur atoms as the only ring hetero atoms one oxygen atom and one sulfur atom
    • C07D327/06Six-membered rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D333/00Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom
    • C07D333/02Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom not condensed with other rings
    • C07D333/46Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom not condensed with other rings substituted on the ring sulfur atom
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D335/00Heterocyclic compounds containing six-membered rings having one sulfur atom as the only ring hetero atom
    • C07D335/02Heterocyclic compounds containing six-membered rings having one sulfur atom as the only ring hetero atom not condensed with other rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D493/00Heterocyclic compounds containing oxygen atoms as the only ring hetero atoms in the condensed system
    • C07D493/02Heterocyclic compounds containing oxygen atoms as the only ring hetero atoms in the condensed system in which the condensed system contains two hetero rings
    • C07D493/10Spiro-condensed systems
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F20/00Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride, ester, amide, imide or nitrile thereof
    • C08F20/02Monocarboxylic acids having less than ten carbon atoms, Derivatives thereof
    • C08F20/10Esters
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Materials For Photolithography (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)

Abstract

Provided are a method for forming a resist pattern having excellent performance including sensitivity during a light exposure process, LWR performance and CDU performance even when a next-generation light exposure technology is applied thereto; a radiation-sensitive resin composition; and others. The radiation-sensitive resin composition comprises: a sulfonium salt compound represented by formula (1) (wherein R1 represents a monovalent hydrocarbon group having a cyclic structure, in which a methylene group constituting a hydrocarbon group may be substituted by an ether bond; Rf1 and Rf2 independently represent a fluorine atom or a monovalent fluorinated hydrocarbon group; m1 represents an integer of 1 to 4, in which, when m1 is 2 to 4, some or all of a plurality of Rf1's and Rf2's are the same as or different from each other; R2 and R3 independently represent a hydrogen atom, a fluorine atom, a monovalent hydrocarbon group, or a monovalent fluorinated hydrocarbon group; m2 represents an integer of 0 to 3, in which, when m2 is 2 to 3, some or all of a plurality of R2's and R3's are the same as or different from each other; X represents a single bond or a linker containing a bivalent hetero atom; R4 to R7 independently represent a hydrogen atom, a hydroxyl group, a monovalent hydrocarbon group, or an ester group; n1 and n2 independently represent an integer of 1 to 3, in which some or all of a plurality of R4's to R7's are the same as or different from each other; R8 represents a monovalent linear hydrocarbon group, a monovalent alicyclic hydrocarbon group, a monovalent fluorinated hydrocarbon group, a halogen atom, a monovalent aromatic hydrocarbon group, or a monovalent group represented by -Y-R8' (wherein Y represents -O-, -CO-, -COO-, or -OCO-; and R8' represents a monovalent hydrocarbon group having 1 to 20 carbon atoms); and l represents an integer of 0 to 5, in which, when l is 2 to 5, some or all of a plurality of R8's are the same as or different from each other); a resin containing a structural unit having an acid-dissociable group; and a solvent.

Description

感放射線性樹脂組成物及使用其的抗蝕劑圖案的形成方法、以及鋶鹽化合物及包含鋶鹽化合物的感放射線性酸產生劑Radiation-sensitive resin composition and method for forming resist pattern using the same, and pernium salt compound and radiation-sensitive acid generator containing the same

本發明是有關於一種感放射線性樹脂組成物及使用其的抗蝕劑圖案的形成方法、以及鋶鹽化合物及包含鋶鹽化合物的感放射線性酸產生劑等。The present invention relates to a radiation-sensitive resin composition, a method for forming a resist pattern using the same, a perylene salt compound, a radiation-sensitive acid generator containing the perylene salt compound, and the like.

於半導體元件的微細的電路形成中利用使用抗蝕劑組成物的光微影技術。作為代表性的程序,例如藉由介隔遮罩圖案並利用放射線照射對抗蝕劑組成物的被膜進行曝光來產生酸,並藉由將所述酸作為觸媒的反應而在曝光部與未曝光部中產生樹脂相對於鹼系或有機系的顯影液的溶解度之差,藉此於基板上形成抗蝕劑圖案。A photolithography technique using a resist composition is used for fine circuit formation of semiconductor elements. As a typical procedure, for example, an acid is generated by exposing the film of the resist composition by irradiation with radiation through a mask pattern, and the exposed part and the unexposed part are reacted with the acid as a catalyst. A difference in the solubility of the resin with respect to an alkali-based or organic-based developer is generated during the process, whereby a resist pattern is formed on the substrate.

所述光微影技術中,利用ArF準分子雷射等短波長的放射線,或使用進而於以液狀介質充滿曝光裝置的透鏡與抗蝕劑膜之間的空間的狀態下進行曝光的液浸曝光法(液體浸沒式微影(liquid immersion lithography))來推進圖案微細化。作為下一代技術,亦正在研究使用電子束、X射線及極紫外線(Extreme Ultraviolet,EUV)等更短波長的放射線的微影。In the photolithography technique, short-wavelength radiation such as an ArF excimer laser or the like is used, or a liquid immersion immersion method is used to perform exposure in a state where the space between the lens and the resist film of the exposure device is filled with a liquid medium. Exposure method (liquid immersion lithography) to advance pattern miniaturization. As next-generation technologies, lithography using shorter wavelength radiation such as electron beams, X-rays, and extreme ultraviolet (Extreme Ultraviolet, EUV) is also being studied.

伴隨曝光技術的進展,針對作為抗蝕劑組成物的主要成分的光酸產生劑亦推進關於提高感度或解析度等的嘗試。作為具有自微米單位至次微米單位為止的圖案解析度的抗蝕劑組成物,提出有包含耐電漿蝕刻性高的羥基苯乙烯系聚合體、以及將鋶基所鍵結的碳設為二級碳或三級碳的光酸產生劑的感光性組成物(專利文獻1)。Along with the progress of exposure technology, attempts to improve sensitivity, resolution, and the like have also been advanced with respect to the photoacid generator that is the main component of the resist composition. As a resist composition having a pattern resolution ranging from a micrometer unit to a submicrometer unit, it is proposed to include a hydroxystyrene-based polymer having high plasma etching resistance, and a secondary carbon to which a perionyl group is bonded is proposed. Photosensitive composition of photoacid generator of carbon or tertiary carbon (Patent Document 1).

另外,ArF代中使用包括吸收少的脂環式結構作為保護基的樹脂來代替羥基苯乙烯系聚合體,但是,就與所述羥基苯乙烯系聚合體併用的光酸產生劑而言,於進行具有脂環式結構的樹脂的脫保護時,酸強度不充分,故作為提供對於脫保護而言具有充分的酸強度的酸的光酸產生劑,利用氟取代鋶基的近位碳的酸產生劑得到實用化(專利文獻2)。 [現有技術文獻] [專利文獻] In addition, in the ArF generation, a resin containing an alicyclic structure with little absorption as a protective group is used instead of the hydroxystyrene-based polymer, but the photoacid generator used in combination with the hydroxystyrene-based polymer is When deprotecting a resin having an alicyclic structure, the acid strength is insufficient. Therefore, as a photoacid generator that provides an acid having sufficient acid strength for deprotection, an acid in which the near-position carbon of a perylene group is substituted with fluorine is used. The generator is put into practical use (Patent Document 2). [Prior Art Literature] [Patent Literature]

[專利文獻1]日本專利特開平10-10715號公報 [專利文獻2]日本專利特開2002-214774號公報 [Patent Document 1] Japanese Patent Laid-Open No. 10-10715 [Patent Document 2] Japanese Patent Laid-Open No. 2002-214774

[發明所欲解決之課題] 近年來,在抗蝕劑圖案的微細化的進展中,要求所述解析度的進一步提高,包括曝光步驟中的感度、表示抗蝕劑圖案的線寬的偏差的線寬粗糙度(Line Width Roughness,LWR)性能、關鍵尺寸一致性(Critical Dimension Uniformity,CDU)性能等在內,要求抗蝕劑各種性能的進一步提高。進而,於電子束曝光等下一代曝光技術中亦要求同等以上的抗蝕劑各種性能。然而,利用先前的感放射線性樹脂組成物無法以充分的水準獲得全部特性。 [解決課題之手段] [The problem to be solved by the invention] In recent years, in the progress of miniaturization of resist patterns, further improvement of the resolution, including sensitivity in the exposure step, and line width roughness (Line Width Roughness) indicating the variation in the line width of the resist pattern, are required. , LWR) performance, critical dimension uniformity (Critical Dimension Uniformity, CDU) performance, etc., require further improvement of various properties of the resist. Furthermore, in the next-generation exposure technologies such as electron beam exposure, various performances of resists that are equal to or higher are required. However, with the conventional radiation-sensitive resin composition, it was not possible to obtain all the properties at a sufficient level. [Means of Solving Problems]

本發明者等人為了解決本課題而重覆努力研究,結果發現藉由使用特定結構的鋶鹽化合物,可達成所述目的,從而完成了本發明。The inventors of the present invention have made repeated efforts to solve this problem, and as a result, they have found that the object can be achieved by using a perylene salt compound having a specific structure, and completed the present invention.

即,在一實施方式中,本發明是有關於一種感放射線性樹脂組成物,其含有: 由下述式(1)表示的鋶鹽化合物(以下,亦稱為「化合物(1)」); 包含具有酸解離性基的結構單元的樹脂;以及 溶劑, [化1]

Figure 02_image004
(式中, R 1為具有環狀結構的一價烴基,且構成烴基的亞甲基可經取代為醚鍵, R f1及R f2分別獨立地為氟原子或一價氟化烴基, m 1為1~4的整數,在m 1為2~4的情況下,多個R f1及R f2的一部分或全部相同或不同, R 2及R 3分別獨立地為氫原子、氟原子、一價烴基或一價氟化烴基, m 2為0~3的整數,在m 2為2~3的情況下,多個R 2及R 3的一部分或全部相同或不同, X為單鍵或包含二價雜原子的連接基, R 4~R 7分別獨立地為氫原子、羥基、一價烴基、或者酯基, n 1及n 2分別獨立地為1~3的整數,多個R 4~R 7的一部分或全部相同或不同, R 8為一價鏈狀烴基、一價脂環式烴基、一價氟化烴基、鹵素原子、一價芳香族烴基、或-Y-R 8'所表示的一價基, (Y表示-O-、-CO-、-COO-、-OCO-,R 8'為碳數1~20的一價烴基) l為0~5的整數,在l為2~5的情況下,多個R 8的一部分或全部相同或不同)。 That is, in one embodiment, the present invention relates to a radiation-sensitive resin composition containing: a perylene salt compound represented by the following formula (1) (hereinafter, also referred to as "compound (1)"); A resin comprising a structural unit having an acid dissociable group; and a solvent, [Chem. 1]
Figure 02_image004
(in the formula, R 1 is a monovalent hydrocarbon group having a cyclic structure, and the methylene group constituting the hydrocarbon group may be substituted with an ether bond, R f1 and R f2 are independently a fluorine atom or a monovalent fluorinated hydrocarbon group, m 1 is an integer of 1 to 4, and when m 1 is 2 to 4, a part or all of a plurality of R f1 and R f2 are the same or different, and R 2 and R 3 are each independently a hydrogen atom, a fluorine atom, a monovalent Hydrocarbon group or monovalent fluorinated hydrocarbon group, m 2 is an integer of 0 to 3, when m 2 is 2 to 3, some or all of a plurality of R 2 and R 3 are the same or different, X is a single bond or contains two A linking group of a valence heteroatom, R 4 to R 7 are each independently a hydrogen atom, a hydroxyl group, a monovalent hydrocarbon group, or an ester group, n 1 and n 2 are each independently an integer of 1 to 3, and a plurality of R 4 to R A part or all of 7 are the same or different, R 8 is a monovalent chain hydrocarbon group, a monovalent alicyclic hydrocarbon group, a monovalent fluorinated hydrocarbon group, a halogen atom, a monovalent aromatic hydrocarbon group, or a monovalent represented by -YR 8' base, (Y represents -O-, -CO-, -COO-, -OCO-, R 8' is a monovalent hydrocarbon group with 1-20 carbon atoms) l is an integer of 0-5, where l is 2-5 case, some or all of the plurality of R 8 are the same or different).

該感放射線性樹脂組成物包含由所述式(1)表示的鋶鹽化合物、包含具有酸解離性基的結構單元的樹脂、以及溶劑,因此使用了該感放射線性樹脂組成物的抗蝕劑膜等的曝光步驟中的感度、LWR性能、CDU性能等均能夠發揮出優異的水準。所述效果表現的作用機理並不明確,未必根據該推測來限定本發明的申請專利範圍,但推測所述感放射線性樹脂組成物藉由包含疏水性提高了的強酸性的特定結構的鋶鹽化合物等,使抗蝕劑各種性能適當提高。The radiation-sensitive resin composition contains the periconium salt compound represented by the above-mentioned formula (1), a resin containing a structural unit having an acid dissociable group, and a solvent, and thus a resist of the radiation-sensitive resin composition is used The sensitivity, LWR performance, CDU performance, and the like in the exposure step of the film or the like can be exhibited at an excellent level. The mechanism of action by which the effect is manifested is not clear, and the scope of the patent application of the present invention is not necessarily limited based on this presumption, but it is presumed that the radiation-sensitive resin composition contains a strong acid with enhanced hydrophobicity. Compounds, etc., can appropriately improve various properties of the resist.

另外,在另一實施方式中,本發明是有關於一種抗蝕劑圖案的形成方法,其包括: 將所述感放射線性樹脂組成物直接或間接塗佈在基板上而形成抗蝕劑膜的步驟; 對所述抗蝕劑膜進行曝光的步驟;以及 對所述經曝光的抗蝕劑膜進行顯影的步驟。 In addition, in another embodiment, the present invention relates to a method for forming a resist pattern, comprising: a step of directly or indirectly coating the radiation-sensitive resin composition on a substrate to form a resist film; the step of exposing the resist film; and A step of developing the exposed resist film.

該抗蝕劑圖案的形成方法包括將包含所述式(1)所表示的鋶鹽化合物、包含具有酸解離性基的結構單元的樹脂、以及溶劑的感放射線性樹脂組成物直接或間接塗佈在基板上而形成抗蝕膜的步驟,因此曝光步驟中的感度或表示抗蝕劑圖案的線寬的偏差的線寬粗糙度(LWR)性能、CDU性能等均能夠發揮出優異的水準。所述效果顯現的作用機理尚不明確,未必由該推測來限定本發明的申請專利範圍,但推測該抗蝕劑圖案的形成方法藉由使用包含疏水性提高且強酸性的特定結構的鋶鹽化合物的感放射線性樹脂組成物等,使抗蝕劑各種性能適當提高。The method for forming a resist pattern includes directly or indirectly coating a radiation-sensitive resin composition containing the periconium salt compound represented by the formula (1), a resin containing a structural unit having an acid dissociable group, and a solvent In the step of forming a resist film on a substrate, the sensitivity in the exposure step, the line width roughness (LWR) performance indicating the variation in the line width of the resist pattern, and the CDU performance can be exhibited at an excellent level. The mechanism by which the effect appears is not yet clear, and the scope of the patent application of the present invention is not necessarily limited by this presumption, but it is presumed that the method for forming the resist pattern is performed by using a salt containing a specific structure with improved hydrophobicity and strong acidity. The radiation-sensitive resin composition of the compound and the like can appropriately improve various properties of the resist.

進而,在另一實施方式中, 本發明有關於一種下述式(1)所表示的鋶鹽化合物。 [化2]

Figure 02_image006
(式中, R 1為具有環狀結構的一價烴基,且構成烴基的亞甲基可經取代為醚鍵, R f1及R f2分別獨立地為氟原子或一價氟化烴基, m 1為1~4的整數,在m 1為2~4的情況下,多個R f1及R f2的一部分或全部相同或不同, R 2及R 3分別獨立地為氫原子、氟原子、一價烴基或一價氟化烴基, m 2為0~3的整數,在m 2為2~3的情況下,多個R 2及R 3的一部分或全部相同或不同, X為單鍵或包含二價雜原子的連接基, R 4~R 7分別獨立地為氫原子、羥基、一價烴基、或者酯基, n 1及n 2分別獨立地為1~3的整數,多個R 4~R 7的一部分或全部相同或不同, R 8為一價鏈狀烴基、一價脂環式烴基、一價氟化烴基、鹵素原子、一價芳香族烴基、或-Y-R 8'所表示的一價基, (Y表示-O-、-CO-、-COO-、-OCO-,R 8'為碳數1~20的一價烴基) l為0~5的整數,在l為2~5的情況下,多個R 8的一部分或全部相同或不同)。 Furthermore, in another embodiment, the present invention relates to a perylene salt compound represented by the following formula (1). [hua 2]
Figure 02_image006
(in the formula, R 1 is a monovalent hydrocarbon group having a cyclic structure, and the methylene group constituting the hydrocarbon group may be substituted with an ether bond, R f1 and R f2 are independently a fluorine atom or a monovalent fluorinated hydrocarbon group, m 1 is an integer of 1 to 4, and when m 1 is 2 to 4, a part or all of a plurality of R f1 and R f2 are the same or different, and R 2 and R 3 are each independently a hydrogen atom, a fluorine atom, a monovalent Hydrocarbon group or monovalent fluorinated hydrocarbon group, m 2 is an integer of 0 to 3, when m 2 is 2 to 3, some or all of a plurality of R 2 and R 3 are the same or different, X is a single bond or contains two A linking group of a valence heteroatom, R 4 to R 7 are each independently a hydrogen atom, a hydroxyl group, a monovalent hydrocarbon group, or an ester group, n 1 and n 2 are each independently an integer of 1 to 3, and a plurality of R 4 to R A part or all of 7 are the same or different, R 8 is a monovalent chain hydrocarbon group, a monovalent alicyclic hydrocarbon group, a monovalent fluorinated hydrocarbon group, a halogen atom, a monovalent aromatic hydrocarbon group, or a monovalent represented by -YR 8' base, (Y represents -O-, -CO-, -COO-, -OCO-, R 8' is a monovalent hydrocarbon group with 1-20 carbon atoms) l is an integer of 0-5, where l is 2-5 case, some or all of the plurality of R 8 are the same or different).

所述鋶鹽化合物具有所述式(1)所表示的結構,例如,藉由使用包含該鋶鹽化合物的感放射線性樹脂組成物或抗蝕劑形成方法等,曝光步驟中的感度、LWR性能、CDU性能等均能夠發揮出優異的水準。所述效果表現的作用機理並不明確,未必根據該推測來限定本發明的申請專利範圍,但推測關於於該鋶鹽化合物,藉由使用包含疏水性提高且強酸性的特定結構的鋶鹽化合物的感放射線性樹脂組成物等,例如作為較佳的感放射線性酸產生劑發揮作用,其結果,使抗蝕劑各種性能提高。The perylene salt compound has the structure represented by the above formula (1). For example, by using a radiation-sensitive resin composition or a resist formation method containing the perylene salt compound, the sensitivity and LWR performance in the exposure step are , CDU performance, etc. can play an excellent level. The mechanism of action by which the effect is exhibited is not clear, and the scope of the patent application of the present invention is not necessarily limited based on this presumption. The radiation-sensitive resin composition and the like, for example, function as a preferable radiation-sensitive acid generator, and as a result, various properties of the resist are improved.

另外,在另一實施方式中, 本發明是有關於一種感放射線性酸產生劑,包含下述式(1)所表示的鋶鹽化合物。 [化3]

Figure 02_image008
(式中, R 1為具有環狀結構的一價烴基,且構成烴基的亞甲基可經取代為醚鍵, R f1及R f2分別獨立地為氟原子或一價氟化烴基, m 1為1~4的整數,在m 1為2~4的情況下,多個R f1及R f2的一部分或全部相同或不同, R 2及R 3分別獨立地為氫原子、氟原子、一價烴基或一價氟化烴基, m 2為0~3的整數,在m 2為2~3的情況下,多個R 2及R 3的一部分或全部相同或不同, X為單鍵或包含二價雜原子的連接基, R 4~R 7分別獨立地為氫原子、羥基、一價烴基、或者酯基, n 1及n 2分別獨立地為1~3的整數,多個R 4~R 7的一部分或全部相同或不同, R 8為一價鏈狀烴基、一價脂環式烴基、一價氟化烴基、鹵素原子、一價芳香族烴基、或-Y-R 8'所表示的一價基, (Y表示-O-、-CO-、-COO-、-OCO-,R 8'為碳數1~20的一價烴基) l為0~5的整數,在l為2~5的情況下,多個R 8的一部分或全部相同或不同)。 In addition, in another embodiment, the present invention relates to a radiation-sensitive acid generator comprising a perylene salt compound represented by the following formula (1). [hua 3]
Figure 02_image008
(in the formula, R 1 is a monovalent hydrocarbon group having a cyclic structure, and the methylene group constituting the hydrocarbon group may be substituted with an ether bond, R f1 and R f2 are independently a fluorine atom or a monovalent fluorinated hydrocarbon group, m 1 is an integer of 1 to 4, and when m 1 is 2 to 4, some or all of the plurality of R f1 and R f2 are the same or different, and R 2 and R 3 are each independently a hydrogen atom, a fluorine atom, a monovalent Hydrocarbon group or monovalent fluorinated hydrocarbon group, m 2 is an integer of 0 to 3, when m 2 is 2 to 3, a part or all of a plurality of R 2 and R 3 are the same or different, X is a single bond or contains two A linking group of a valent heteroatom, R 4 to R 7 are each independently a hydrogen atom, a hydroxyl group, a monovalent hydrocarbon group, or an ester group, n 1 and n 2 are each independently an integer of 1 to 3, and a plurality of R 4 to R A part or all of 7 are the same or different, R 8 is a monovalent chain hydrocarbon group, a monovalent alicyclic hydrocarbon group, a monovalent fluorinated hydrocarbon group, a halogen atom, a monovalent aromatic hydrocarbon group, or a monovalent represented by -YR 8' base, (Y represents -O-, -CO-, -COO-, -OCO-, R 8' is a monovalent hydrocarbon group with 1-20 carbon atoms) l is an integer of 0-5, where l is 2-5 case, some or all of the plurality of R 8 are the same or different).

該感放射線性酸產生劑具有所述式(1)所表示的結構,因此例如藉由使用包含該感放射線性酸產生劑的感放射線性樹脂組成物或抗蝕劑形成方法等,曝光步驟中的感度、LWR性能、CDU性能等均能夠發揮出優異的水準。所述效果表現的作用機理並不明確,未必根據該推測來限定本發明的申請專利範圍,但推測關於所述感放射線性酸產生劑,藉由使用包含疏水性提高且強酸性的特定結構的鋶鹽化合物的感放射線性樹脂組成物等,作為較佳的感放射線性酸產生劑發揮作用,其結果,使抗蝕劑各種性能提高。The radiation-sensitive acid generator has a structure represented by the above-mentioned formula (1). Therefore, for example, by using a radiation-sensitive resin composition or a resist formation method containing the radiation-sensitive acid generator, in the exposure step Sensitivity, LWR performance, CDU performance, etc. can all play an excellent level. The mechanism of action by which the effect is exhibited is not clear, and the scope of the patent application of the present invention is not necessarily limited based on this presumption. The radiation-sensitive resin composition or the like of the perylene salt compound acts as a preferable radiation-sensitive acid generator, and as a result, improves various properties of the resist.

以下,對本發明的實施方式進行詳細說明,但本發明並不限定於該些實施方式。Hereinafter, the embodiments of the present invention will be described in detail, but the present invention is not limited to these embodiments.

<感放射線性樹脂組成物> 本實施方式的感放射線性樹脂組成物(以下,亦簡稱為「組成物」)包含樹脂(A)、鋶鹽化合物(B)(或感放射線性酸產生劑(B))、以及溶劑(D)。只要不損及本發明的效果,則所述組成物亦可包含其他任意成分。 <Radiation sensitive resin composition> The radiation-sensitive resin composition (hereinafter, also simply referred to as "composition") of the present embodiment includes a resin (A), a periconium salt compound (B) (or a radiation-sensitive acid generator (B)), and a solvent (D ). As long as the effect of this invention is not impaired, the said composition may contain other arbitrary components.

(樹脂(A)) 樹脂(A)為包含具有酸解離性基的結構單元的樹脂(以下,亦將該樹脂稱為「基礎樹脂」)。樹脂(A)為具有包含酸解離性基的結構單元(以下,亦稱為「結構單元(I)」)的聚合體的集合體。所謂「酸解離性基」是對羧基、酚性羥基、醇性羥基、磺基等所具有的氫原子進行取代的基,且是指利用酸的作用而進行解離的基。該感放射線性樹脂組成物藉由所述樹脂具有結構單元(I),圖案形成性優異。 (Resin (A)) The resin (A) is a resin containing a structural unit having an acid dissociable group (hereinafter, this resin is also referred to as a “base resin”). The resin (A) is an aggregate of polymers having a structural unit including an acid dissociable group (hereinafter, also referred to as "structural unit (I)"). The "acid-dissociable group" refers to a group that substitutes a hydrogen atom possessed by a carboxyl group, a phenolic hydroxyl group, an alcoholic hydroxyl group, a sulfo group, or the like, and refers to a group that is dissociated by the action of an acid. This radiation-sensitive resin composition is excellent in pattern formability because the resin has the structural unit (I).

除結構單元(I)以外,基礎樹脂較佳為亦具有後述的包含選自由內酯結構、環狀碳酸酯結構及磺內酯結構所組成的群組中的至少一種的結構單元(II),亦可具有結構單元(I)及結構單元(II)以外的其他結構單元。以下,對各結構單元進行說明。In addition to the structural unit (I), the base resin preferably also has a structural unit (II) including at least one selected from the group consisting of a lactone structure, a cyclic carbonate structure, and a sultone structure, which will be described later, You may have other structural units other than the structural unit (I) and the structural unit (II). Hereinafter, each structural unit will be described.

[結構單元(I)] 結構單元(I)為含有酸解離性基的結構單元。作為結構單元(I),只要包含酸解離性基則並無特別限定,例如可列舉:具有三級烷基酯部分的結構單元、具有酚性羥基的氫原子經三級烷基取代的結構的結構單元、具有縮醛鍵的結構單元等,就該感放射線性樹脂組成物的圖案形成性的提高的觀點而言,較佳為下述式(2)所表示的結構單元(以下,亦稱為「結構單元(I-1)」)。 [Structural unit (I)] The structural unit (I) is a structural unit containing an acid dissociable group. The structural unit (I) is not particularly limited as long as it contains an acid dissociable group, and examples thereof include a structural unit having a tertiary alkyl ester moiety, and a structure in which a hydrogen atom having a phenolic hydroxyl group is substituted with a tertiary alkyl group. The structural unit, the structural unit having an acetal bond, etc., are preferably a structural unit represented by the following formula (2) (hereinafter, also referred to as the is "structural unit (I-1)").

[化4]

Figure 02_image010
(式中, R 9為氫原子、氟原子、甲基或三氟甲基, R 10為碳數1~20的一價烴基, R 11及R 12分別獨立地為碳數1~10的一價鏈狀烴基或碳數3~20的一價脂環式烴基、或者R 11及R 12相互結合並與該些所鍵結的碳原子一起構成的碳數3~20的二價脂環式基)。 [hua 4]
Figure 02_image010
(in the formula, R 9 is a hydrogen atom, a fluorine atom, a methyl group or a trifluoromethyl group, R 10 is a monovalent hydrocarbon group having 1 to 20 carbon atoms, and R 11 and R 12 are independently a monovalent hydrocarbon group having 1 to 10 carbon atoms. A valent chain hydrocarbon group or a monovalent alicyclic hydrocarbon group with 3 to 20 carbon atoms, or a bivalent alicyclic hydrocarbon group with 3 to 20 carbon atoms in which R 11 and R 12 are bonded to each other and together with these bonded carbon atoms base).

所述式(4)中,作為R 10所表示的碳數1~20的一價烴基,例如可列舉甲基、乙基等。 In the above formula (4), examples of the monovalent hydrocarbon group having 1 to 20 carbon atoms represented by R 10 include a methyl group, an ethyl group, and the like.

所述式(4)中,作為R 11及R 12所表示的碳數1~10的一價鏈狀烴基,分別獨立地例如可列舉甲基、乙基等。 In the above formula (4), examples of the monovalent chain hydrocarbon group having 1 to 10 carbon atoms represented by R 11 and R 12 each independently include, for example, a methyl group, an ethyl group, and the like.

所述式(4)中,作為R 11及R 12所表示的碳數3~20的一價脂環式烴基,分別獨立地例如可列舉環戊基、環己基等。 In the above formula (4), examples of the monovalent alicyclic hydrocarbon group having 3 to 20 carbon atoms represented by R 11 and R 12 each independently include, for example, a cyclopentyl group, a cyclohexyl group, and the like.

所述式(4)中,作為R 11及R 12相互結合並與該些所鍵結的碳原子一起構成的碳數3~20的二價脂環式基,只要為自構成所述碳數的烴的碳環的同一碳原子去除兩個氫原子而成的基,則並無特別限定。 In the above formula (4), as a divalent alicyclic group having 3 to 20 carbon atoms in which R 11 and R 12 are bonded to each other and constituted together with these bonded carbon atoms, as long as it is self-constituting the above carbon atoms The group obtained by removing two hydrogen atoms from the same carbon atom of the carbocyclic ring of the hydrocarbon is not particularly limited.

作為結構單元(I-1),例如可列舉下述式(3-1)~式(3-6)所表示的結構單元(以下,亦稱為「結構單元(I-1-1)~結構單元(I-1-6)」)等。As the structural unit (I-1), for example, structural units represented by the following formulae (3-1) to (3-6) (hereinafter, also referred to as "structural unit (I-1-1) to unit (I-1-6)”), etc.

[化5]

Figure 02_image011
[hua 5]
Figure 02_image011

所述式(3-1)~式(3-6)中,R 9~R 12與所述式(2)為相同含義。i'及j'分別獨立地為0~16的整數。k'為0~1。 In the above formulas (3-1) to (3-6), R 9 to R 12 have the same meanings as in the above formula (2). i' and j' are each independently an integer of 0-16. k' is 0-1.

作為i'及j',較佳為1。作為R 10,較佳為甲基、乙基或異丙基。 As i' and j', 1 is preferable. As R 10 , methyl, ethyl or isopropyl is preferred.

基礎樹脂亦可包含一種或組合包含兩種以上的結構單元(I)。The base resin may contain one kind or two or more kinds of structural units (I) in combination.

相對於構成基礎樹脂的所有結構單元,結構單元(I)的含有比例(在包含多種的情況下為合計的含有比例)較佳為10莫耳%以上,更佳為20莫耳%以上,進而佳為30莫耳%以上,特佳為35莫耳%以上。另外,較佳為80莫耳%以下,更佳為75莫耳%以下,進而佳為70莫耳%以下,特佳為65莫耳%以下。藉由將結構單元(I)的含有比例設為所述範圍,可進一步提高該感放射線性樹脂組成物的圖案形成性。With respect to all the structural units constituting the base resin, the content ratio of the structural unit (I) (the total content ratio when multiple types are included) is preferably 10 mol % or more, more preferably 20 mol % or more, and further Preferably, it is 30 mol % or more, and particularly preferably 35 mol % or more. In addition, it is preferably 80 mol % or less, more preferably 75 mol % or less, still more preferably 70 mol % or less, and particularly preferably 65 mol % or less. By making the content ratio of a structural unit (I) into the said range, the pattern formability of this radiation sensitive resin composition can be improved further.

[結構單元(II)] 結構單元(II)為包含選自由內酯結構、環狀碳酸酯結構及磺內酯結構所組成的群組中的至少一種的結構單元。基礎樹脂藉由進而具有結構單元(II),可調整對於顯影液的溶解性,其結果,該感放射線性樹脂組成物可提高解析性等微影性能。另外,可提高由基礎樹脂所形成的抗蝕劑圖案與基板的密接性。 [Structural unit (II)] The structural unit (II) is a structural unit containing at least one selected from the group consisting of a lactone structure, a cyclic carbonate structure, and a sultone structure. By further having the structural unit (II), the base resin can adjust the solubility with respect to the developer, and as a result, the radiation-sensitive resin composition can improve lithography performance such as resolution. Moreover, the adhesiveness of the resist pattern formed with the base resin and a board|substrate can be improved.

作為結構單元(II),例如可列舉下述式(T-1)~式(T-10)所表示的結構單元等。As a structural unit (II), the structural unit etc. which are represented by following formula (T-1) - formula (T-10) are mentioned, for example.

[化6]

Figure 02_image013
Figure 02_image015
[hua 6]
Figure 02_image013
Figure 02_image015

所述式中,R L1為氫原子、氟原子、甲基或三氟甲基。R L2~R L5分別獨立地為氫原子、碳數1~4的烷基、氰基、三氟甲基、甲氧基、甲氧基羰基、羥基、羥基甲基、二甲基胺基。R L4及R L5亦可為相互結合並與該些所鍵結的碳原子一起構成的碳數3~8的二價脂環式基。L 2為單鍵或二價連結基。X為氧原子或亞甲基。k為0~3的整數。m為1~3的整數。 In the formula, R L1 is a hydrogen atom, a fluorine atom, a methyl group or a trifluoromethyl group. R L2 to R L5 are each independently a hydrogen atom, an alkyl group having 1 to 4 carbon atoms, a cyano group, a trifluoromethyl group, a methoxy group, a methoxycarbonyl group, a hydroxyl group, a hydroxymethyl group, and a dimethylamino group. R L4 and R L5 may be a divalent alicyclic group having 3 to 8 carbon atoms which are bonded to each other and constituted together with these bonded carbon atoms. L 2 is a single bond or a divalent linking group. X is an oxygen atom or a methylene group. k is an integer of 0-3. m is an integer of 1-3.

作為所述R L4及R L5相互結合並與該些所鍵結的碳原子一起構成的碳數3~8的二價脂環式基,可列舉所述式(1)中的R 8所表示的鏈狀烴基或脂環式烴基相互結合並與該些所鍵結的碳原子一起構成的碳數3~20的二價脂環式基中碳數為3~8的基。該脂環式基上的一個以上的氫原子亦可經羥基取代。 Examples of the divalent alicyclic group having 3 to 8 carbon atoms in which the R L4 and R L5 are bonded to each other and constituted together with the bonded carbon atoms include those represented by R 8 in the formula (1). Among the divalent alicyclic groups with 3 to 20 carbon atoms, the chain-like hydrocarbon groups or alicyclic hydrocarbon groups are bonded to each other and together with these bonded carbon atoms. One or more hydrogen atoms on the alicyclic group may be substituted with a hydroxyl group.

作為所述L 2所表示的二價連結基,例如可列舉:碳數1~10的二價直鏈狀或分支狀的烴基、碳數4~12的二價脂環式烴基、或者由該些烴基的一個以上與-CO-、-O-、-NH-及-S-中的至少一種基構成的基等。 Examples of the divalent linking group represented by L 2 include a divalent linear or branched hydrocarbon group having 1 to 10 carbon atoms, a divalent alicyclic hydrocarbon group having 4 to 12 carbon atoms, or a divalent alicyclic hydrocarbon group having 4 to 12 carbon atoms. One or more of these hydrocarbon groups and at least one group of -CO-, -O-, -NH- and -S-, etc.

該些中,結構單元(II)較佳為包含內酯結構的結構單元,更佳為包含降冰片烷內酯結構的結構單元,進而佳為源自(甲基)丙烯酸降冰片烷內酯-基酯的結構單元。Among these, the structural unit (II) is preferably a structural unit containing a lactone structure, more preferably a structural unit containing a norbornane lactone structure, and further preferably a (meth)acrylate-derived norbornane lactone- The structural unit of the base ester.

相對於構成基礎樹脂的所有結構單元,結構單元(II)的含有比例較佳為20莫耳%以上,更佳為25莫耳%以上,進而佳為30莫耳%以上。另外,較佳為80莫耳%以下,更佳為75莫耳%以下,進而佳為70莫耳%以下。藉由將結構單元(II)的含有比例設為所述範圍,該感放射線性樹脂組成物可進一步提高解析性等微影性能及所形成的抗蝕劑圖案與基板的密接性。The content ratio of the structural unit (II) is preferably 20 mol % or more, more preferably 25 mol % or more, and still more preferably 30 mol % or more with respect to all the structural units constituting the base resin. In addition, it is preferably 80 mol % or less, more preferably 75 mol % or less, still more preferably 70 mol % or less. By setting the content ratio of the structural unit (II) to the above-mentioned range, the radiation-sensitive resin composition can further improve the lithography performance such as resolution and the adhesion between the formed resist pattern and the substrate.

[結構單元(III)] 基礎樹脂除所述結構單元(I)及結構單元(II)以外,亦任意地具有其他結構單元。作為所述其他結構單元,例如可列舉包含極性基的結構單元(III)等(其中,相當於結構單元(II)者除外)。基礎樹脂藉由進而具有結構單元(III),可調整對於顯影液的溶解性,其結果,可提高該感放射線性樹脂組成物的解析性等微影性能。作為所述極性基,例如可列舉:羥基、羧基、氰基、硝基、磺醯胺基等。該些中,較佳為羥基、羧基,更佳為羥基。 [Structural unit (III)] The base resin optionally has other structural units in addition to the structural unit (I) and the structural unit (II). As said other structural unit, the structural unit (III) containing a polar group etc. are mentioned, for example (however, the thing corresponding to a structural unit (II) is excluded). By further having the structural unit (III), the base resin can adjust the solubility with respect to the developing solution, and as a result, can improve the lithography performance such as the analytical properties of the radiation-sensitive resin composition. As said polar group, a hydroxyl group, a carboxyl group, a cyano group, a nitro group, a sulfonamido group etc. are mentioned, for example. Among these, a hydroxyl group and a carboxyl group are preferable, and a hydroxyl group is more preferable.

作為結構單元(III),例如可列舉下述式所表示的結構單元等。As a structural unit (III), the structural unit etc. which are represented by the following formula are mentioned, for example.

[化7]

Figure 02_image017
[hua 7]
Figure 02_image017

所述式中,R A為氫原子、氟原子、甲基或三氟甲基。 In the formula, RA is a hydrogen atom, a fluorine atom, a methyl group or a trifluoromethyl group.

於所述基礎樹脂含有所述具有極性基的結構單元(III)的情況下,相對於構成基礎樹脂的所有結構單元,所述結構單元(III)的含有比例較佳為5莫耳以上%,更佳為8莫耳%以上,進而佳為10莫耳%以上。另外,較佳為40莫耳%以下,更佳為35莫耳%以下,進而佳為30莫耳%以下。藉由將結構單元(III)的含有比例設為所述範圍,可進一步提高該感放射線性樹脂組成物的解析性等微影性能。When the base resin contains the structural unit (III) having a polar group, the content of the structural unit (III) is preferably 5 mol% or more relative to all the structural units constituting the base resin, More preferably, it is 8 mol % or more, and still more preferably 10 mol % or more. In addition, it is preferably 40 mol % or less, more preferably 35 mol % or less, still more preferably 30 mol % or less. By setting the content ratio of the structural unit (III) to the above-mentioned range, the lithography performance such as the resolution of the radiation-sensitive resin composition can be further improved.

[結構單元(IV)] 作為其他結構單元,除所述具有極性基的結構單元(III)以外,基礎樹脂任意地具有源自羥基苯乙烯的結構單元或具有酚性羥基的結構單元(以下,亦將兩者一起稱為「結構單元(IV)」)。結構單元(IV)有助於耐蝕刻性的提高和曝光部與未曝光部之間的顯影液溶解性的差(溶解對比度)的提高。特別是可較佳地應用於使用藉由電子束或EUV等波長50 nm以下的放射線的曝光的圖案形成。於該情況下,樹脂較佳為一併具有結構單元(IV)以及結構單元(I)。 [Structural Unit (IV)] As other structural units, in addition to the structural unit (III) having a polar group, the base resin optionally has a structural unit derived from hydroxystyrene or a structural unit having a phenolic hydroxyl group (hereinafter, both are also referred to together). "Structural Unit (IV)"). The structural unit (IV) contributes to the improvement of etching resistance and the improvement of the difference in developer solubility (dissolution contrast) between the exposed part and the unexposed part. In particular, it can be preferably applied to pattern formation using exposure with radiation having a wavelength of 50 nm or less, such as electron beams or EUV. In this case, it is preferable that resin has a structural unit (IV) and a structural unit (I) together.

於該情況下,較佳為於聚合時以藉由鹼解離性基等保護基保護酚性羥基的狀態進行聚合,然後進行水解並脫保護,藉此獲得結構單元(IV)。作為藉由水解而提供結構單元(IV)的結構單元,較佳為由下述式(4-1)、式(4-2)表示。In this case, it is preferable to carry out the polymerization in a state in which the phenolic hydroxyl group is protected by a protecting group such as an alkali dissociable group during the polymerization, and then hydrolyze and deprotect, whereby the structural unit (IV) is obtained. The structural unit which provides the structural unit (IV) by hydrolysis is preferably represented by the following formula (4-1) and formula (4-2).

[化8]

Figure 02_image019
[hua 8]
Figure 02_image019

所述式(4-1)、式(4-2)中,R 13為氫原子、氟原子、甲基或三氟甲基。R 14為碳數1~20的一價烴基或烷氧基。作為R 14中的碳數1~20的一價烴基,可列舉結構單元(I)中的R 10中的碳數1~20的一價烴基。作為烷氧基,例如可列舉:甲氧基、乙氧基及第三丁氧基等。 In the formula (4-1) and formula (4-2), R 13 is a hydrogen atom, a fluorine atom, a methyl group or a trifluoromethyl group. R 14 is a monovalent hydrocarbon group or an alkoxy group having 1 to 20 carbon atoms. Examples of the monovalent hydrocarbon group having 1 to 20 carbon atoms in R 14 include monovalent hydrocarbon groups having 1 to 20 carbon atoms in R 10 in the structural unit (I). As an alkoxy group, a methoxy group, an ethoxy group, a 3rd butoxy group, etc. are mentioned, for example.

作為所述R 14,較佳為烷基及烷氧基,其中更佳為甲基、第三丁氧基。 As the R 14 , an alkyl group and an alkoxy group are preferable, and among them, a methyl group and a tert-butoxy group are more preferable.

於藉由波長50 nm以下的放射線的曝光用的樹脂的情況下,相對於構成樹脂的所有結構單元,結構單元(IV)的含有比例較佳為10莫耳%以上,更佳為20莫耳%以上。另外,較佳為70莫耳%以下,更佳為60莫耳%以下。In the case of a resin for exposure by radiation with a wavelength of 50 nm or less, the content ratio of the structural unit (IV) is preferably 10 mol % or more, more preferably 20 mol % with respect to all the structural units constituting the resin. %above. In addition, it is preferably 70 mol % or less, more preferably 60 mol % or less.

(樹脂(A)的合成方法) 所述樹脂(A)例如可藉由使用自由基聚合起始劑等,使提供各結構單元的單量體於適當的溶劑中進行聚合來合成。 (Synthesis method of resin (A)) The resin (A) can be synthesized by, for example, polymerizing a monomer providing each structural unit in an appropriate solvent using a radical polymerization initiator or the like.

作為所述自由基聚合起始劑,可列舉:偶氮雙異丁腈(Azobisisobutyronitrile,AIBN)、2,2'-偶氮雙(4-甲氧基-2,4-二甲基戊腈)、2,2'-偶氮雙(2-環丙基丙腈)、2,2'-偶氮雙(2,4-二甲基戊腈)、2,2'-偶氮雙異丁酸二甲酯等偶氮系自由基聚合起始劑;過氧化苯甲醯、第三丁基過氧化氫、枯烯過氧化氫等過氧化物系自由基聚合起始劑等。該些中,較佳為AIBN、2,2'-偶氮雙異丁酸二甲酯,更佳為AIBN。該些自由基聚合起始劑可單獨使用一種或將兩種以上混合使用。Examples of the radical polymerization initiator include: azobisisobutyronitrile (AIBN), 2,2'-azobis(4-methoxy-2,4-dimethylvaleronitrile) , 2,2'-azobis(2-cyclopropylpropanenitrile), 2,2'-azobis(2,4-dimethylvaleronitrile), 2,2'-azobisisobutyric acid Azo-based radical polymerization initiators such as dimethyl ester; peroxide-based radical polymerization initiators such as benzyl peroxide, tert-butyl hydroperoxide, cumene hydroperoxide, etc. Among these, AIBN and dimethyl 2,2'-azobisisobutyrate are preferable, and AIBN is more preferable. These radical polymerization initiators may be used alone or in combination of two or more.

作為所述聚合中所使用的溶劑,例如可列舉: 正戊烷、正己烷、正庚烷、正辛烷、正壬烷、正癸烷等烷烴類; 環己烷、環庚烷、環辛烷、十氫萘、降冰片烷等環烷烴類; 苯、甲苯、二甲苯、乙基苯、枯烯等芳香族烴類; 氯丁烷類、溴己烷類、二氯乙烷類、六亞甲基二溴(hexamethylene dibromide)、氯苯等鹵化烴類; 乙酸乙酯、乙酸正丁酯、乙酸異丁酯、丙酸甲酯等飽和羧酸酯類; 丙酮、甲基乙基酮、4-甲基-2-戊酮、2-庚酮等酮類; 四氫呋喃、二甲氧基乙烷類、二乙氧基乙烷類等醚類; 甲醇、乙醇、1-丙醇、2-丙醇、4-甲基-2-戊醇等醇類等。該些於聚合中所使用的溶劑可單獨一種或併用兩種以上。 Examples of the solvent used in the polymerization include: n-pentane, n-hexane, n-heptane, n-octane, n-nonane, n-decane and other alkanes; Cycloalkanes such as cyclohexane, cycloheptane, cyclooctane, decalin, norbornane; Aromatic hydrocarbons such as benzene, toluene, xylene, ethylbenzene, cumene; Halogenated hydrocarbons such as chlorobutane, bromohexane, dichloroethane, hexamethylene dibromide, and chlorobenzene; Saturated carboxylic acid esters such as ethyl acetate, n-butyl acetate, isobutyl acetate, methyl propionate; Acetone, methyl ethyl ketone, 4-methyl-2-pentanone, 2-heptanone and other ketones; Ethers such as tetrahydrofuran, dimethoxyethane, and diethoxyethane; Alcohols such as methanol, ethanol, 1-propanol, 2-propanol, 4-methyl-2-pentanol, etc. These solvents used for the polymerization may be used alone or in combination of two or more.

作為所述聚合中的反應溫度,通常為40℃~150℃,較佳為50℃~120℃。作為反應時間,通常為1小時~48小時,較佳為1小時~24小時。The reaction temperature in the polymerization is usually 40°C to 150°C, preferably 50°C to 120°C. The reaction time is usually 1 hour to 48 hours, preferably 1 hour to 24 hours.

基礎樹脂的分子量並無特別限定,藉由凝膠滲透層析法(gel permeation chromatography,GPC)所得的聚苯乙烯換算重量平均分子量(Mw)較佳為1,000以上且50,000以下,更佳為2,000以上且30,000以下,進而佳為3,000以上且15,000以下,特佳為4,000以上且12,000以下。若基礎樹脂的Mw未滿所述下限,則有時所獲得的抗蝕劑膜的耐熱性降低。若基礎樹脂的Mw超過所述上限,則有時抗蝕劑膜的顯影性降低。The molecular weight of the base resin is not particularly limited, and the polystyrene-equivalent weight average molecular weight (Mw) obtained by gel permeation chromatography (GPC) is preferably 1,000 or more and 50,000 or less, more preferably 2,000 or more. and 30,000 or less, more preferably 3,000 or more and 15,000 or less, and particularly preferably 4,000 or more and 12,000 or less. If the Mw of the base resin is less than the lower limit, the heat resistance of the obtained resist film may decrease. When Mw of a base resin exceeds the said upper limit, the developability of a resist film may fall.

基礎樹脂的Mw相對於藉由GPC的聚苯乙烯換算數量平均分子量(Mn)的比(Mw/Mn)通常為1以上且5以下,較佳為1以上且3以下,進而佳為1以上且2以下。The ratio (Mw/Mn) of Mw of the base resin to the number average molecular weight (Mn) in terms of polystyrene by GPC is usually 1 or more and 5 or less, preferably 1 or more and 3 or less, and more preferably 1 or more and 2 or less.

所述樹脂A的Mw及Mn是使用以下條件下的凝膠滲透層析法(GPC)而測定的值。The Mw and Mn of the resin A are values measured using gel permeation chromatography (GPC) under the following conditions.

GPC管柱:G2000HXL 2根、G3000HXL 1根、G4000HXL 1根(以上為東曹(Tosoh)公司製造) 管柱溫度:40℃ 溶出溶劑:四氫呋喃 流速:1.0 mL/分 試樣濃度:1.0質量% 試樣注入量:100 μL 檢測器:示差折射儀 標準物質:單分散聚苯乙烯 GPC columns: 2 G2000HXL, 1 G3000HXL, 1 G4000HXL (the above are manufactured by Tosoh Corporation) Column temperature: 40℃ Dissolution solvent: tetrahydrofuran Flow rate: 1.0 mL/min Sample concentration: 1.0% by mass Sample injection volume: 100 μL Detector: Differential Refractometer Standard material: monodisperse polystyrene

作為基礎樹脂的含有比例,相對於該感放射線性樹脂組成物的總固體成分,較佳為60質量%以上,更佳為70質量%以上,進而佳為75質量%以上。The content ratio of the base resin is preferably 60% by mass or more, more preferably 70% by mass or more, and still more preferably 75% by mass or more with respect to the total solid content of the radiation-sensitive resin composition.

(其他樹脂) 本實施形態的感放射線性樹脂組成物亦可包含氟原子的質量含有率較所述基礎樹脂更大的樹脂(以下,亦稱為「高氟含量樹脂」)作為其他樹脂。於該感放射線性樹脂組成物含有高氟含量樹脂的情況下,可相對於所述基礎樹脂而偏向存在於抗蝕劑膜的表層,其結果,可提昇液浸曝光時的抗蝕劑膜的表面的撥水性。 (other resins) The radiation-sensitive resin composition of the present embodiment may contain, as another resin, a resin having a larger mass content of fluorine atoms than the base resin (hereinafter, also referred to as "high fluorine content resin"). In the case where the radiation-sensitive resin composition contains a resin with a high fluorine content, it can be biased to exist in the surface layer of the resist film relative to the base resin, and as a result, the resistance of the resist film during immersion exposure can be improved. Water repellency of the surface.

作為高氟含量樹脂,較佳為例如具有下述式(5)所表示的結構單元(以下,亦稱為「結構單元(V)」),視需要亦可具有所述基礎樹脂中的結構單元(I)或結構單元(II)。The high fluorine content resin preferably has, for example, a structural unit represented by the following formula (5) (hereinafter, also referred to as "structural unit (V)"), and may have a structural unit in the base resin as necessary (I) or structural unit (II).

[化9]

Figure 02_image020
[Chemical 9]
Figure 02_image020

所述式(5)中,R 15為氫原子、甲基或三氟甲基。G L為單鍵、氧原子、硫原子、-COO-、-SO 2ONH-、-CONH-或-OCONH-。R 16為碳數1~20的一價氟化鏈狀烴基或碳數3~20的一價氟化脂環式烴基。 In the formula (5), R 15 is a hydrogen atom, a methyl group or a trifluoromethyl group. GL is a single bond, an oxygen atom, a sulfur atom, -COO-, -SO 2 ONH- , -CONH- or -OCONH-. R 16 is a monovalent fluorinated chain hydrocarbon group having 1 to 20 carbon atoms or a monovalent fluorinated alicyclic hydrocarbon group having 3 to 20 carbon atoms.

作為所述R 15,就提供結構單元(V)的單量體的共聚性的觀點而言,較佳為氫原子及甲基,更佳為甲基。 As said R15 , a hydrogen atom and a methyl group are preferable from a viewpoint of the copolymerizability of the monomer which provides a structural unit (V), and a methyl group is more preferable.

作為所述G L,就提供結構單元(V)的單量體的共聚性的觀點而言,較佳為單鍵及-COO-,更佳為-COO-。 As said GL, a single bond and -COO- are preferable from a viewpoint of providing the copolymerizability of the monomer of a structural unit (V), and -COO- is more preferable.

作為所述R 16所表示的碳數1~20的一價氟化鏈狀烴基,可列舉碳數1~20的直鏈或分支鏈烷基所具有的氫原子的一部分或全部經氟原子取代而成者。 As the monovalent fluorinated chain hydrocarbon group having 1 to 20 carbon atoms represented by R 16 , a part or all of the hydrogen atoms of the linear or branched alkyl group having 1 to 20 carbon atoms are substituted with fluorine atoms. become.

作為所述R 16所表示的碳數3~20的一價氟化脂環式烴基,可列舉碳數3~20的單環或多環式烴基所具有的氫原子的一部分或全部經氟原子取代而成者。 Examples of the monovalent fluorinated alicyclic hydrocarbon group having 3 to 20 carbon atoms represented by R 16 include a part or all of the hydrogen atoms contained in the monocyclic or polycyclic hydrocarbon group having 3 to 20 carbon atoms that have undergone fluorine atoms. replaced by.

作為所述R 16,較佳為氟化鏈狀烴基,更佳為氟化烷基,進而佳為2,2,2-三氟乙基、1,1,1,3,3,3-六氟丙基及5,5,5-三氟-1,1-二乙基戊基。 The R 16 is preferably a fluorinated chain hydrocarbon group, more preferably a fluorinated alkyl group, and still more preferably 2,2,2-trifluoroethyl, 1,1,1,3,3,3-hexa Fluoropropyl and 5,5,5-trifluoro-1,1-diethylpentyl.

於高氟含量樹脂具有結構單元(V)的情況下,相對於構成高氟含量樹脂的所有結構單元,結構單元(V)的含有比例較佳為30莫耳%以上,更佳為40莫耳%以上,進而佳為45莫耳%以上,特佳為50莫耳%以上。另外,較佳為95莫耳%以下,更佳為90莫耳%以下,進而佳為85莫耳%以下。藉由將結構單元(V)的含有比例設為所述範圍,可更適度地調整高氟含量樹脂的氟原子的質量含有率,進一步促進於抗蝕劑膜的表層的偏向存在化,其結果,可進一步提高液浸曝光時的抗蝕劑膜的撥水性。When the high fluorine content resin has a structural unit (V), the content ratio of the structural unit (V) is preferably 30 mol % or more, more preferably 40 mol % with respect to all the structural units constituting the high fluorine content resin % or more, more preferably 45 mol % or more, and particularly preferably 50 mol % or more. In addition, it is preferably 95 mol % or less, more preferably 90 mol % or less, and still more preferably 85 mol % or less. By setting the content ratio of the structural unit (V) to the above-mentioned range, the mass content ratio of fluorine atoms in the resin with high fluorine content can be adjusted more appropriately, and the biased existence in the surface layer of the resist film can be further promoted, as a result. , the water repellency of the resist film during liquid immersion exposure can be further improved.

高氟含量樹脂亦可與結構單元(V)一併或者代替結構單元(V)而具有下述式(f-2)所表示的含氟原子的結構單元(以下,亦稱為結構單元(VI))。藉由高氟含量樹脂具有結構單元(f-2),對於鹼性顯影液的溶解性提昇,可抑制顯影缺陷的產生。The high fluorine content resin may have a fluorine atom-containing structural unit (hereinafter, also referred to as a structural unit (VI) represented by the following formula (f-2) together with the structural unit (V) or in place of the structural unit (V). )). Since the high fluorine content resin has a structural unit (f-2), the solubility to an alkaline developer is improved, and the occurrence of development defects can be suppressed.

[化10]

Figure 02_image021
[Chemical 10]
Figure 02_image021

結構單元(VI)大致區分為具有(x)鹼可溶性基的情況、以及具有(y)藉由鹼的作用解離且對於鹼性顯影液的溶解性增大的基(以下,亦簡稱為「鹼解離性基」)的情況此兩種情況。(x)、(y)兩者共通,所述式(f-2)中,R C為氫原子、氟原子、甲基或三氟甲基。R D為單鍵、碳數1~20的(s+1)價的烴基,於該烴基的R E側的末端鍵結氧原子、硫原子、-NR dd-、羰基、-COO-或-CONH-而成的結構或該烴基所具有的氫原子的一部分經具有雜原子的有機基取代而成的結構。R dd為氫原子或碳數1~10的一價的烴基。s為1~3的整數。 The structural unit (VI) is roughly classified into those having (x) an alkali-soluble group, and those having (y) a group that is dissociated by the action of an alkali and has an increased solubility in an alkaline developer (hereinafter, also abbreviated as "alkali"). dissociative base") in both cases. Both (x) and (y) are common, and in the formula (f-2), R C is a hydrogen atom, a fluorine atom, a methyl group or a trifluoromethyl group. R D is a single bond, a (s+1)-valent hydrocarbon group having 1 to 20 carbon atoms, and an oxygen atom, a sulfur atom, -NR dd -, a carbonyl group, -COO- or - is bonded to the terminal on the R E side of the hydrocarbon group. A structure formed of CONH- or a structure in which a part of hydrogen atoms contained in the hydrocarbon group is substituted with an organic group having a hetero atom. R dd is a hydrogen atom or a monovalent hydrocarbon group having 1 to 10 carbon atoms. s is an integer of 1-3.

於結構單元(VI)具有(x)鹼可溶性基的情況下,R F為氫原子,A 1為氧原子、-COO-*或-SO 2O-*。*表示鍵結於R F的部位。W 1為單鍵、碳數1~20的烴基或二價的氟化烴基。於A 1為氧原子的情況下,W 1為於A 1所鍵結的碳原子上具有氟原子或氟烷基的氟化烴基。R E為單鍵或碳數1~20的二價的有機基。於s為2或3的情況下,多個R E、W 1、A 1及R F可分別相同,亦可不同。藉由結構單元(VI)具有(x)鹼可溶性基,可提高對於鹼性顯影液的親和性,抑制顯影缺陷。作為具有(x)鹼可溶性基的結構單元(VI),特佳為A 1為氧原子且W 1為1,1,1,3,3,3-六氟-2,2-甲烷二基的情況。 When the structural unit (VI) has (x) an alkali-soluble group, R F is a hydrogen atom, and A 1 is an oxygen atom, -COO-* or -SO 2 O-*. * Indicates the site bound to RF. W 1 is a single bond, a hydrocarbon group having 1 to 20 carbon atoms, or a divalent fluorinated hydrocarbon group. When A 1 is an oxygen atom, W 1 is a fluorinated hydrocarbon group having a fluorine atom or a fluoroalkyl group on the carbon atom to which A 1 is bonded. R E is a single bond or a divalent organic group having 1 to 20 carbon atoms. When s is 2 or 3, a plurality of RE, W 1 , A 1 and RF may be respectively the same or different. By having (x) an alkali-soluble group in the structural unit (VI), the affinity for an alkaline developer can be improved and development defects can be suppressed. The structural unit (VI) having (x) an alkali-soluble group is particularly preferably one in which A 1 is an oxygen atom and W 1 is 1,1,1,3,3,3-hexafluoro-2,2-methanediyl. Happening.

於結構單元(VI)具有(y)鹼解離性基的情況下,R F為碳數1~30的一價的有機基,A 1為氧原子、-NR aa-、-COO-*或-SO 2O-*。R aa為氫原子或碳數1~10的一價烴基。*表示鍵結於R F的部位。W 1為單鍵或碳數1~20的二價的氟化烴基。R E為單鍵或碳數1~20的二價的有機基。於A 1為-COO-*或-SO 2O-*的情況下,W 1或R F於與A 1鍵結的碳原子或與其鄰接的碳原子上具有氟原子。於A 1為氧原子的情況下,W 1、R E為單鍵,R D為於碳數1~20的烴基的R E側的末端鍵結羰基而成的結構,R F為具有氟原子的有機基。於s為2或3的情況下,多個R E、W 1、A 1及R F可分別相同,亦可不同。藉由結構單元(VI)具有(y)鹼解離性基,於鹼顯影步驟中,抗蝕劑膜表面自疏水性變化為親水性。其結果為可大幅提高對於顯影液的親和性,更有效率地抑制顯影缺陷。作為具有(y)鹼解離性基的結構單元(VI),特佳為A 1為-COO-*且R F或W 1或者該些兩者具有氟原子者。 When the structural unit (VI) has a base-dissociable group (y), R F is a monovalent organic group having 1 to 30 carbon atoms, and A 1 is an oxygen atom, -NR aa -, -COO-* or - SO 2 O-*. R aa is a hydrogen atom or a monovalent hydrocarbon group having 1 to 10 carbon atoms. * Indicates the site bound to RF. W 1 is a single bond or a divalent fluorinated hydrocarbon group having 1 to 20 carbon atoms. R E is a single bond or a divalent organic group having 1 to 20 carbon atoms. When A 1 is -COO-* or -SO 2 O-*, W 1 or R F has a fluorine atom on the carbon atom bonded to A 1 or a carbon atom adjacent thereto. When A 1 is an oxygen atom, W 1 and R E are a single bond, R D is a structure in which a carbonyl group is bonded to the terminal on the R E side of a hydrocarbon group having 1 to 20 carbon atoms, and R F is a structure having a fluorine atom organic base. When s is 2 or 3, a plurality of RE, W 1 , A 1 and RF may be respectively the same or different. Since the structural unit (VI) has (y) an alkali dissociable group, in the alkali development step, the surface of the resist film is changed from hydrophobicity to hydrophilicity. As a result, the affinity for a developer can be greatly improved, and development defects can be suppressed more efficiently. As a structural unit (VI) which has a base dissociable group (y), A 1 is -COO-*, and R F or W 1 or both of these have a fluorine atom especially preferable.

作為R C,就提供結構單元(VI)的單量體的共聚性等觀點而言,較佳為氫原子及甲基,更佳為甲基。 As R C , a hydrogen atom and a methyl group are preferable, and a methyl group is more preferable from the viewpoint of the copolymerizability of the monomer which provides the structural unit (VI).

於R E為二價的有機基的情況下,較佳為具有內酯結構的基,更佳為具有多環的內酯結構的基,進而佳為具有降冰片烷內酯結構的基。 When RE is a divalent organic group, it is preferably a group having a lactone structure, more preferably a group having a polycyclic lactone structure, and still more preferably a group having a norbornane lactone structure.

於高氟含量樹脂具有結構單元(VI)的情況下,相對於構成高氟含量樹脂的所有結構單元,結構單元(VI)的含有比例較佳為50莫耳%以上,更佳為60莫耳%以上,進而佳為70莫耳%以上。另外,較佳為95莫耳%以下,更佳為90莫耳%以下,進而佳為85莫耳%以下。藉由將結構單元(VI)的含有比例設為所述範圍,可進一步提高液浸曝光時的抗蝕劑膜的撥水性。When the high fluorine content resin has a structural unit (VI), the content ratio of the structural unit (VI) is preferably 50 mol % or more, more preferably 60 mol % with respect to all the structural units constituting the high fluorine content resin % or more, more preferably 70 mol % or more. In addition, it is preferably 95 mol % or less, more preferably 90 mol % or less, and still more preferably 85 mol % or less. By setting the content ratio of the structural unit (VI) to the above range, the water repellency of the resist film at the time of liquid immersion exposure can be further improved.

[其他結構單元] 高氟含量樹脂亦可包含下述式(6)所表示的具有脂環結構的結構單元作為所述列舉的結構單元以外的結構單元。 [化11]

Figure 02_image022
(所述式(6)中,R 為氫原子、氟原子、甲基或三氟甲基。R 為碳數3~20的一價脂環式烴基。) [Other Structural Units] The high fluorine-content resin may contain, as structural units other than those listed above, a structural unit having an alicyclic structure represented by the following formula (6). [Chemical 11]
Figure 02_image022
(In the above formula (6), R is a hydrogen atom, a fluorine atom, a methyl group or a trifluoromethyl group. R is a monovalent alicyclic hydrocarbon group having 3 to 20 carbon atoms.)

所述式(6)中,作為R 所表示的碳數3~20的一價脂環式烴基,可列舉碳數3~20的單環或多環式烴基所具有的氫原子的一部分或全部經氟原子取代而成者。 In the above formula (6), as the monovalent alicyclic hydrocarbon group having 3 to 20 carbon atoms represented by R , a part of hydrogen atoms or All are substituted by fluorine atoms.

於高氟含量樹脂包含所述具有脂環結構的結構單元的情況下,相對於構成高氟含量樹脂的所有結構單元,所述具有脂環結構的結構單元的含有比例較佳為10莫耳%以上,更佳為20莫耳%以上,進而佳為30莫耳%以上。另外,較佳為70莫耳%以下,更佳為60莫耳%以下,進而佳為50莫耳%以下。When the high fluorine content resin contains the structural unit having an alicyclic structure, the content ratio of the structural unit having an alicyclic structure is preferably 10 mol % relative to all the structural units constituting the high fluorine content resin Above, more preferably 20 mol % or more, still more preferably 30 mol % or more. In addition, it is preferably 70 mol % or less, more preferably 60 mol % or less, and still more preferably 50 mol % or less.

作為高氟含量樹脂的Mw的下限,較佳為1,000,更佳為2,000,進而佳為3,000,特佳為5,000。作為所述Mw的上限,較佳為50,000,更佳為30,000,進而佳為20,000,特佳為15,000。As a lower limit of Mw of a high fluorine content resin, 1,000 is preferable, 2,000 is more preferable, 3,000 is still more preferable, and 5,000 is especially preferable. The upper limit of the Mw is preferably 50,000, more preferably 30,000, still more preferably 20,000, and particularly preferably 15,000.

作為高氟含量樹脂的Mw/Mn的下限,通常為1,更佳為1.1。作為所述Mw/Mn的上限,通常為5,較佳為3,更佳為2,進而佳為1.9。The lower limit of Mw/Mn of the high fluorine content resin is usually 1, and more preferably 1.1. The upper limit of the Mw/Mn is usually 5, preferably 3, more preferably 2, still more preferably 1.9.

相對於所述基礎樹脂100質量份,高氟含量樹脂的含量較佳為0.1質量份以上,更佳為0.5質量份以上,進而佳為1質量份以上,特佳為1.5質量份以上。另外,較佳為15質量份以下,更佳為12質量份以下,進而佳為10質量份以下,特佳為8質量份以下。The content of the high fluorine content resin is preferably 0.1 part by mass or more, more preferably 0.5 part by mass or more, still more preferably 1 part by mass or more, and particularly preferably 1.5 part by mass or more, relative to 100 parts by mass of the base resin. Moreover, 15 mass parts or less are preferable, 12 mass parts or less are more preferable, 10 mass parts or less are still more preferable, and 8 mass parts or less are especially preferable.

該感放射線性樹脂組成物可含有一種或兩種以上的高氟含量樹脂。The radiation-sensitive resin composition may contain one kind or two or more kinds of high fluorine content resins.

(高氟含量樹脂的合成方法) 高氟含量樹脂可利用與所述基礎樹脂的合成方法相同的方法來合成。 (Synthesis method of resin with high fluorine content) The high fluorine content resin can be synthesized by the same method as the synthesis method of the base resin.

(鋶鹽化合物(B0)) 本發明中的鋶鹽化合物(B0)為下述式(1)所表示的化合物。 [化12]

Figure 02_image023
(式中, R 1為具有環狀結構的一價烴基,且構成烴基的亞甲基可經取代為醚鍵, R f1及R f2分別獨立地為氟原子或一價氟化烴基, m 1為1~4的整數,在m 1為2~4的情況下,多個R f1及R f2的一部分或全部相同或不同, R 2及R 3分別獨立地為氫原子、氟原子、一價烴基或一價氟化烴基, m 2為0~3的整數,在m 2為2~3的情況下,多個R 2及R 3的一部分或全部相同或不同, X為單鍵或包含二價雜原子的連接基, R 4~R 7分別獨立地為氫原子、羥基、一價烴基、或者酯基, n 1及n 2分別獨立地為1~3的整數,多個R 4~R 7的一部分或全部相同或不同, R 8為一價鏈狀烴基、一價脂環式烴基、一價氟化烴基、鹵素原子、一價芳香族烴基、或-Y-R 8'所表示的一價基, (Y表示-O-、-CO-、-COO-、-OCO-,R 8'為碳數1~20的一價烴基) l為0~5的整數,在l為2~5的情況下,多個R 8的一部分或全部相同或不同)。 (Perylium salt compound (B0)) The perylium salt compound (B0) in the present invention is a compound represented by the following formula (1). [Chemical 12]
Figure 02_image023
(in the formula, R 1 is a monovalent hydrocarbon group having a cyclic structure, and the methylene group constituting the hydrocarbon group may be substituted with an ether bond, R f1 and R f2 are independently a fluorine atom or a monovalent fluorinated hydrocarbon group, m 1 is an integer of 1 to 4, and when m 1 is 2 to 4, some or all of the plurality of R f1 and R f2 are the same or different, and R 2 and R 3 are each independently a hydrogen atom, a fluorine atom, a monovalent Hydrocarbon group or monovalent fluorinated hydrocarbon group, m 2 is an integer of 0 to 3, when m 2 is 2 to 3, some or all of a plurality of R 2 and R 3 are the same or different, X is a single bond or contains two A linking group of a valence heteroatom, R 4 to R 7 are each independently a hydrogen atom, a hydroxyl group, a monovalent hydrocarbon group, or an ester group, n 1 and n 2 are each independently an integer of 1 to 3, and a plurality of R 4 to R A part or all of 7 are the same or different, R 8 is a monovalent chain hydrocarbon group, a monovalent alicyclic hydrocarbon group, a monovalent fluorinated hydrocarbon group, a halogen atom, a monovalent aromatic hydrocarbon group, or a monovalent represented by -YR 8' base, (Y represents -O-, -CO-, -COO-, -OCO-, R 8' is a monovalent hydrocarbon group with 1 to 20 carbon atoms) l is an integer of 0 to 5, where l is 2 to 5 case, some or all of the plurality of R 8 are the same or different).

所述式(1)中,作為R 1所表示的具有環狀結構的一價烴基,可列舉經取代或未經取代的碳數3~40的一價脂環式烴基或碳數3~40的具有環狀結構的一價烴基,構成該些烴基的亞甲基可經取代為醚鍵。 In the above formula (1), as the monovalent hydrocarbon group having a cyclic structure represented by R 1 , a substituted or unsubstituted monovalent alicyclic hydrocarbon group having 3 to 40 carbon atoms or a monovalent alicyclic hydrocarbon group having 3 to 40 carbon atoms can be mentioned. The monovalent hydrocarbon groups having a cyclic structure, the methylene groups constituting these hydrocarbon groups may be substituted with ether bonds.

作為所述R 1所表示的脂環式烴基或具有環狀結構的烴基,例如可列舉:環丙基、環丁基、環戊基、環己基、冰片基、降冰片基、金剛烷基、蒎基、苧基(thuoyl group)、查爾基(caryl group)、莰基、環丙基甲基、環丁基甲基、環戊基甲基、環己基甲基、冰片基甲基、降冰片基甲基、及金剛烷基甲基等。 Examples of the alicyclic hydrocarbon group represented by R 1 or the hydrocarbon group having a cyclic structure include cyclopropyl, cyclobutyl, cyclopentyl, cyclohexyl, bornyl, norbornyl, adamantyl, Pinyl, limone (thuoyl group), charyl (caryl group), camphenyl, cyclopropylmethyl, cyclobutylmethyl, cyclopentylmethyl, cyclohexylmethyl, bornylmethyl, norbornyl methyl, and adamantyl methyl, etc.

另外,作為所述R 1所表示的脂環式烴基及所述具有環狀結構的烴基的取代基,例如可列舉:氟原子、氯原子、溴原子、碘原子等鹵素原子,羥基、羧基、氰基、硝基、烷基(取代環烷基或芳香族烴基的氫原子的情況)、芳基(取代烷基的氫原子的情況)、烷氧基、烷氧基羰基、烷氧基羰氧基、醯基、醯氧基等。該些中,較佳為氟原子、烷基、芳基。 Further, examples of the substituents of the alicyclic hydrocarbon group represented by R 1 and the hydrocarbon group having a cyclic structure include halogen atoms such as a fluorine atom, a chlorine atom, a bromine atom, and an iodine atom, a hydroxyl group, a carboxyl group, A cyano group, a nitro group, an alkyl group (in the case of replacing a hydrogen atom of a cycloalkyl group or an aromatic hydrocarbon group), an aryl group (in the case of substituting a hydrogen atom in an alkyl group), an alkoxy group, an alkoxycarbonyl group, an alkoxycarbonyl group oxy, acyl, acyloxy, etc. Among these, a fluorine atom, an alkyl group, and an aryl group are preferable.

作為經此種取代基取代的所述脂環式烴基或具有環狀結構的烴基,例如可列舉:4-氟環己基、4-羥基環己基、4-甲氧基環己基、4-甲氧基羰基環己基、3-羥基-1-金剛烷基、3-甲氧基羰基-1-金剛烷基、3-羥基羰基-1-金剛烷基、以及3-羥基甲基-1-金剛烷甲基等。Examples of the alicyclic hydrocarbon group or hydrocarbon group having a cyclic structure substituted with such a substituent include 4-fluorocyclohexyl, 4-hydroxycyclohexyl, 4-methoxycyclohexyl, and 4-methoxycyclohexyl. ylcarbonylcyclohexyl, 3-hydroxy-1-adamantyl, 3-methoxycarbonyl-1-adamantyl, 3-hydroxycarbonyl-1-adamantyl, and 3-hydroxymethyl-1-adamantane methyl, etc.

所述式(1)中,作為R f1及R f2所表示的一價氟化烴基,分別獨立地例如可列舉碳數1~10的一價氟化烴基。 In the above formula (1), examples of the monovalent fluorinated hydrocarbon groups represented by R f1 and R f2 each independently include, for example, monovalent fluorinated hydrocarbon groups having 1 to 10 carbon atoms.

作為所述R f1及R f2所表示的碳數1~10的一價氟化烴基,例如可列舉:碳數1~10的一價氟化鏈狀烴基、碳數3~10的一價氟化脂環式烴基等。 Examples of the monovalent fluorinated hydrocarbon group having 1 to 10 carbon atoms represented by R f1 and R f2 include monovalent fluorinated chain hydrocarbon groups having 1 to 10 carbon atoms, and monovalent fluorine having 3 to 10 carbon atoms. Alicyclic hydrocarbon groups, etc.

作為所述R f1及R f2所表示的碳數1~10的一價氟化鏈狀烴基,例如可列舉: 三氟甲基、2,2,2-三氟乙基、五氟乙基、2,2,3,3,3-五氟丙基、1,1,1,3,3,3-六氟丙基、七氟正丙基等氟化烷基; 三氟乙烯基、五氟丙烯基等氟化烯基; 氟乙炔基、三氟丙炔基等氟化炔基等。 Examples of the monovalent fluorinated chain hydrocarbon group having 1 to 10 carbon atoms represented by R f1 and R f2 include trifluoromethyl, 2,2,2-trifluoroethyl, pentafluoroethyl, Fluorinated alkyl groups such as 2,2,3,3,3-pentafluoropropyl, 1,1,1,3,3,3-hexafluoropropyl, heptafluoro-n-propyl; trifluorovinyl, pentafluoro Fluorinated alkenyl such as propenyl; fluorinated alkynyl such as fluoroethynyl, trifluoropropynyl, and the like.

作為所述R f1及R f2所表示的碳數3~10的一價氟化脂環式烴基,例如可列舉: 氟環戊基、二氟環戊基、九氟環戊基、氟環己基、二氟環己基、十一氟環己基甲基、氟降冰片基、氟金剛烷基、氟冰片基、氟異冰片基等氟化環烷基; 氟環戊烯基、九氟環己烯基等氟化環烯基等。 Examples of the monovalent fluorinated alicyclic hydrocarbon group having 3 to 10 carbon atoms represented by R f1 and R f2 include fluorocyclopentyl, difluorocyclopentyl, nonafluorocyclopentyl, and fluorocyclohexyl. , difluorocyclohexyl, undecafluorocyclohexylmethyl, fluoronorbornyl, fluoroadamantyl, fluorobornyl, fluoroisobornyl and other fluorinated cycloalkyl groups; fluorocyclopentenyl, nonafluorocyclohexene Fluorinated cycloalkenyl and the like.

作為所述R f1及R f2所表示的氟化烴基,較佳為所述碳數1~10的一價氟化鏈狀烴基,更佳為碳數1~8的一價氟化烷基,進而佳為碳數1~6的全氟烷基,特佳為碳數1~6的直鏈狀全氟烷基。 The fluorinated hydrocarbon group represented by the R f1 and R f2 is preferably the monovalent fluorinated chain hydrocarbon group having 1 to 10 carbon atoms, more preferably the monovalent fluorinated alkyl group having 1 to 8 carbon atoms, Further preferred is a perfluoroalkyl group having 1 to 6 carbon atoms, and particularly preferred is a linear perfluoroalkyl group having 1 to 6 carbon atoms.

所述式(1)中,m 1為1~4的整數,亦可為2~3。在m 1為2~4的情況下,多個R f1及R f2的一部分或全部相同或不同。 In the above formula (1), m 1 is an integer of 1 to 4, and may be 2 to 3. When m 1 is 2 to 4, some or all of the plurality of R f1 and R f2 are the same or different.

所述式(1)中,R 2及R 3分別獨立地為氫原子、氟原子、一價烴基、或一價氟化烴基。 In the above formula (1), R 2 and R 3 are each independently a hydrogen atom, a fluorine atom, a monovalent hydrocarbon group, or a monovalent fluorinated hydrocarbon group.

作為所述R 2及R 3所表示的一價烴基,可分別獨立地使用與R 1中的一價烴基相同的基。 As the monovalent hydrocarbon group represented by R 2 and R 3 , the same groups as the monovalent hydrocarbon group in R 1 can be used independently of each other.

作為所述R 2及R 3所表示的一價氟化烴基,可分別獨立地使用與R f1及R f2中的一價氟化烴基相同的基。 As the monovalent fluorinated hydrocarbon group represented by the above R 2 and R 3 , the same groups as the monovalent fluorinated hydrocarbon groups in R f1 and R f2 can be used independently of each other.

所述式(1)中,m 2為0~3的整數,亦可為1~2。在m 2為2~3的情況下,多個R 2及R 3的一部分或全部相同或不同。 In the above formula (1), m 2 is an integer of 0 to 3, and may be 1 to 2. When m 2 is 2 to 3, some or all of the plurality of R 2 and R 3 are the same or different.

所述式(1)中,X為單鍵或包含二價雜原子的連接基。In the formula (1), X is a single bond or a linking group containing a divalent heteroatom.

所述X中的所述二價雜原子例如可列舉氧原子及硫原子等。Examples of the divalent hetero atom in the X include an oxygen atom, a sulfur atom, and the like.

作為所述X所表示的包含二價雜原子的連接基,例如可列舉:-O-、-(C=O)-、-(C=O)O-、-S-、-SO 2-、以及該些的組合等。 Examples of the linking group containing a divalent hetero atom represented by the X include -O-, -(C=O)-, -(C=O)O-, -S-, -SO 2 -, and combinations of these.

所述式(1)中,R 4~R 7分別獨立地為氫原子、羥基、一價烴基或酯基。 In the above formula (1), R 4 to R 7 are each independently a hydrogen atom, a hydroxyl group, a monovalent hydrocarbon group or an ester group.

作為所述R 4~R 7所表示的一價烴基,分別獨立地可使用與R 1中的一價烴基相同的基。 As the monovalent hydrocarbon group represented by the above R 4 to R 7 , the same groups as the monovalent hydrocarbon group in R 1 can be used independently of each other.

作為所述R 4~R 7所表示的酯基,分別獨立地例如可列舉甲氧基羰基、乙氧基羰基等。 Examples of the ester groups represented by R 4 to R 7 each independently include, for example, a methoxycarbonyl group, an ethoxycarbonyl group, and the like.

所述式(1)中,n 1及n 2分別獨立地為1~3的整數,亦可為2。多個R 4~R 7的一部分或全部相同或不同。 In the above formula (1), n 1 and n 2 are each independently an integer of 1 to 3, and may be 2. Some or all of the plurality of R 4 to R 7 are the same or different.

所述式(1)中,R 8為一價鏈狀烴基、一價脂環式烴基、一價氟化烴基、鹵素原子、一價芳香族烴基、或-Y-R 8'所表示的一價基。(Y表示-O-、-CO-、-COO-、-OCO-,R 8'為碳數1~20的一價烴基。) In the formula (1), R 8 is a monovalent chain hydrocarbon group, a monovalent alicyclic hydrocarbon group, a monovalent fluorinated hydrocarbon group, a halogen atom, a monovalent aromatic hydrocarbon group, or a monovalent group represented by -YR 8' . (Y represents -O-, -CO-, -COO-, -OCO-, and R 8' is a monovalent hydrocarbon group having 1 to 20 carbon atoms.)

作為所述R 8所表示的一價鏈狀烴基,分別獨立地例如可列舉甲基、乙基等。 Examples of the monovalent chain hydrocarbon group represented by R 8 each independently include, for example, a methyl group, an ethyl group, and the like.

作為所述R 8所表示的一價脂環式烴基,分別獨立地例如可列舉環戊基、環己基等。 As the monovalent alicyclic hydrocarbon group represented by R 8 , each independently includes, for example, a cyclopentyl group, a cyclohexyl group, and the like.

作為所述R 8所表示的一價氟化烴基,分別獨立地可使用與R f1及R f2中的一價氟化烴基相同的基。 As the monovalent fluorinated hydrocarbon group represented by the above R 8 , the same groups as the monovalent fluorinated hydrocarbon groups in R f1 and R f2 can be used independently of each other.

作為所述R 8所表示的鹵素原子,可列舉氯原子、氟原子、溴原子、碘原子。 Examples of the halogen atom represented by R 8 include a chlorine atom, a fluorine atom, a bromine atom, and an iodine atom.

作為所述R 8所表示的一價芳香族烴基,例如可列舉碳數6~12的一價芳香族烴基。 Examples of the monovalent aromatic hydrocarbon group represented by R 8 include monovalent aromatic hydrocarbon groups having 6 to 12 carbon atoms.

作為所述R 8所表示的碳數6~12的一價芳香族烴基,分別獨立地例如可列舉:苯基、甲苯基、二甲苯基、萘基、蒽基等芳基,苄基、苯乙基、萘基甲基等芳烷基等。 Examples of the monovalent aromatic hydrocarbon group having 6 to 12 carbon atoms represented by R 8 each independently include, for example, aryl groups such as phenyl, tolyl, xylyl, naphthyl, and anthracenyl, benzyl, benzene, and the like. Aralkyl groups such as ethyl, naphthylmethyl, etc.

作為所述R 8所表示的烷基矽烷基,例如可列舉:三甲基矽烷基、三乙基矽烷基、第三丁基二甲基矽烷基、二乙基異丙基矽烷基、三異丙基矽烷基、二甲基己基矽烷基、第三丁基二苯基矽烷基、二甲基苯基矽烷基、三苯基矽烷基、三(三甲基矽烷基)矽烷基。 Examples of the alkylsilyl group represented by R 8 include trimethylsilyl, triethylsilyl, t-butyldimethylsilyl, diethylisopropylsilyl, triisopropylsilyl Propylsilyl, dimethylhexylsilyl, tert-butyldiphenylsilyl, dimethylphenylsilyl, triphenylsilyl, tris(trimethylsilyl)silyl.

作為所述R 8中的-Y-R 8'所表示的基,可列舉在碳數1~20的烴基上經由選自-O-、-CO-、-COO-、-OCO-的鍵結基而鍵結的基。 Examples of the group represented by -YR 8' in R 8 include a hydrocarbon group having 1 to 20 carbon atoms, which is formed by a bonding group selected from the group consisting of -O-, -CO-, -COO-, and -OCO-. bonding base.

作為所述R 8'所表示的碳數1~20的烴基,例如可列舉甲基、乙基等。 As a C1-C20 hydrocarbon group represented by said R 8' , a methyl group, an ethyl group, etc. are mentioned, for example.

所述式(1)中,l為0~5的整數,亦可為1~4。在l為2~5的情況下,多個R 8的一部分或全部相同或不同。 In the above formula (1), l is an integer of 0 to 5, and may be 1 to 4. When l is 2 to 5, some or all of the plurality of R 8 are the same or different.

所述式(1)中,較佳為R 8的至少一個相對於式中的S 的鍵結位而存在於對位。 In the above formula (1), it is preferable that at least one of R 8 is present at the para position with respect to the bonding position of S + in the formula.

作為所述化合物(1),並不限定於此,例如可列舉下述的化合物。 [化13]

Figure 02_image025
Figure 02_image027
Figure 02_image029
Figure 02_image031
As said compound (1), it is not limited to this, For example, the following compounds are mentioned. [Chemical 13]
Figure 02_image025
Figure 02_image027
Figure 02_image029
Figure 02_image031

另外,本發明中,所述樹脂組成物中的所述鋶鹽化合物(B0)的總含量相對於所述樹脂(A)100質量份較佳為0.5質量份~30質量份,可設為1質量份~25質量份,可設為1.5質量份~20質量份。另外,所述鋶鹽化合物(B0)可單獨使用,並且亦可組合兩種以上使用。In addition, in the present invention, the total content of the perylene salt compound (B0) in the resin composition is preferably 0.5 parts by mass to 30 parts by mass with respect to 100 parts by mass of the resin (A), and can be set to 1 Parts by mass to 25 parts by mass can be set to 1.5 parts by mass to 20 parts by mass. In addition, the perylene salt compound (B0) may be used alone or in combination of two or more.

(溶劑(D)) 所述感放射線性樹脂組成物含有溶劑。溶劑只要為至少能夠溶解或分散樹脂、感放射線性酸產生劑、以及視需要含有的酸擴散控制劑等的溶劑,則並無特別限定。 (Solvent (D)) The radiation-sensitive resin composition contains a solvent. The solvent is not particularly limited as long as it is a solvent capable of dissolving or dispersing at least the resin, the radiation-sensitive acid generator, and optionally, the acid diffusion control agent, and the like.

作為溶劑,例如可列舉:醇系溶劑、醚系溶劑、酮系溶劑、醯胺系溶劑、酯系溶劑、烴系溶劑等。Examples of the solvent include alcohol-based solvents, ether-based solvents, ketone-based solvents, amide-based solvents, ester-based solvents, hydrocarbon-based solvents, and the like.

作為醇系溶劑,例如可列舉: 異丙醇、4-甲基-2-戊醇、3-甲氧基丁醇、正己醇、2-乙基己醇、糠醇、環己醇、3,3,5-三甲基環己醇、二丙酮醇等碳數1~18的一元醇系溶劑; 乙二醇、1,2-丙二醇、2-甲基-2,4-戊二醇、2,5-己二醇、二乙二醇、二丙二醇、三乙二醇、三丙二醇等碳數2~18的多元醇系溶劑; 將所述多元醇系溶劑所具有的羥基的一部分醚化而成的多元醇部分醚系溶劑等。 Examples of alcohol-based solvents include: Isopropanol, 4-methyl-2-pentanol, 3-methoxybutanol, n-hexanol, 2-ethylhexanol, furfuryl alcohol, cyclohexanol, 3,3,5-trimethylcyclohexanol , Diacetone alcohol and other monohydric alcohol solvents with carbon number of 1 to 18; Ethylene glycol, 1,2-propylene glycol, 2-methyl-2,4-pentanediol, 2,5-hexanediol, diethylene glycol, dipropylene glycol, triethylene glycol, tripropylene glycol, etc. carbon number 2 ~18 polyol-based solvents; A polyhydric alcohol partial ether type solvent etc. which etherify a part of the hydroxyl group which the said polyhydric alcohol type solvent has.

作為醚系溶劑,例如可列舉: 二乙醚、二丙醚、二丁醚等二烷基醚系溶劑; 四氫呋喃、四氫吡喃等環狀醚系溶劑; 二苯基醚、苯甲醚(甲基苯基醚)等含芳香環的醚系溶劑; 將所述多元醇系溶劑所具有的羥基醚化而成的多元醇醚系溶劑等。 Examples of ether-based solvents include: Dialkyl ether solvents such as diethyl ether, dipropyl ether, and dibutyl ether; Cyclic ether solvents such as tetrahydrofuran and tetrahydropyran; Aromatic ring-containing ether solvents such as diphenyl ether and anisole (methyl phenyl ether); A polyol ether-based solvent or the like obtained by etherifying a hydroxyl group contained in the polyol-based solvent.

作為酮系溶劑,例如可列舉:丙酮、丁酮、甲基-異丁基酮等鏈狀酮系溶劑; 環戊酮、環己酮、甲基環己酮等環狀酮系溶劑; 2,4-戊二酮、丙酮基丙酮、苯乙酮等。 Examples of the ketone-based solvent include chain ketone-based solvents such as acetone, methyl ethyl ketone, and methyl-isobutyl ketone; Cyclic ketone solvents such as cyclopentanone, cyclohexanone, methyl cyclohexanone; 2,4-pentanedione, acetone acetone, acetophenone, etc.

作為醯胺系溶劑,例如可列舉:N,N'-二甲基咪唑啶酮、N-甲基吡咯啶酮等環狀醯胺系溶劑; N-甲基甲醯胺、N,N-二甲基甲醯胺、N,N-二乙基甲醯胺、乙醯胺、N-甲基乙醯胺、N,N-二甲基乙醯胺、N-甲基丙醯胺等鏈狀醯胺系溶劑等。 Examples of the amide-based solvent include cyclic amide-based solvents such as N,N'-dimethylimidazolidinone and N-methylpyrrolidone; N-methylformamide, N,N-dimethylformamide, N,N-diethylformamide, acetamide, N-methylacetamide, N,N-dimethylacetamide Chain amide solvents such as amide and N-methylpropionamide, etc.

作為酯系溶劑,例如可列舉: 乙酸正丁酯、乳酸乙酯等單羧酸酯系溶劑; 二乙二醇單正丁醚乙酸酯、丙二醇單甲醚乙酸酯、二丙二醇單甲醚乙酸酯等多元醇部分醚乙酸酯系溶劑; γ-丁內酯、戊內酯等內酯系溶劑; 碳酸二乙酯、碳酸伸乙酯、碳酸伸丙酯等碳酸酯系溶劑; 二乙酸丙二醇、乙酸甲氧基三甘醇酯、乙二酸二乙酯、乙醯乙酸乙酯、乳酸乙酯、鄰苯二甲酸二乙酯等多元羧酸二酯系溶劑。 Examples of ester-based solvents include: Monocarboxylate solvents such as n-butyl acetate and ethyl lactate; Diethylene glycol mono-n-butyl ether acetate, propylene glycol monomethyl ether acetate, dipropylene glycol monomethyl ether acetate and other polyhydric alcohol partial ether acetate solvents; Lactone solvents such as γ-butyrolactone and valerolactone; Carbonate-based solvents such as diethyl carbonate, ethylene carbonate, and propyl carbonate; Polycarboxylic acid diester-based solvents such as propylene glycol diacetate, methoxytriethylene glycol acetate, diethyl oxalate, ethyl acetate, ethyl lactate, and diethyl phthalate.

作為烴系溶劑,例如可列舉: 正己烷、環己烷、甲基環己烷等脂肪族烴系溶劑; 苯、甲苯、二異丙基苯、正戊基萘等芳香族烴系溶劑等。 Examples of hydrocarbon-based solvents include: Aliphatic hydrocarbon solvents such as n-hexane, cyclohexane and methylcyclohexane; Aromatic hydrocarbon-based solvents such as benzene, toluene, diisopropylbenzene, n-pentylnaphthalene, and the like.

該些中,較佳為酯系溶劑、酮系溶劑,更佳為多元醇部分醚乙酸酯系溶劑、環狀酮系溶劑、內酯系溶劑,進而佳為丙二醇單甲醚乙酸酯、環己酮、γ-丁內酯。該感放射線性樹脂組成物亦可含有一種或兩種以上的溶劑。Among these, ester-based solvents and ketone-based solvents are preferred, polyol partial ether acetate-based solvents, cyclic ketone-based solvents, and lactone-based solvents are more preferred, and propylene glycol monomethyl ether acetate, Cyclohexanone, gamma-butyrolactone. The radiation-sensitive resin composition may contain one or two or more kinds of solvents.

(其他任意成分) 所述感放射線性樹脂組成物除所述成分以外,亦可含有其他任意成分。作為所述其他任意成分,例如可列舉:酸擴散控制劑、交聯劑、偏向存在化促進劑、界面活性劑、含有脂環式骨架的化合物、增感劑等。該些其他任意成分可分別使用一種或併用兩種以上。 (any other ingredients) The radiation-sensitive resin composition may contain other arbitrary components in addition to the above-mentioned components. Examples of the other optional components include an acid diffusion controller, a crosslinking agent, a localization accelerator, a surfactant, an alicyclic skeleton-containing compound, a sensitizer, and the like. These other optional components may be used alone or in combination of two or more.

(酸擴散控制劑) 該感放射線性樹脂組成物視需要亦可含有酸擴散控制劑。酸擴散控制劑發揮如下效果:控制藉由曝光而由感放射線性酸產生劑產生的酸於抗蝕劑膜中的擴散現象,且抑制非曝光區域中的欠佳的化學反應。另外,所獲得的感放射線性樹脂組成物的儲存穩定性得到提昇。進而,抗蝕劑圖案的解析度進一步提昇,並且可抑制由自曝光至顯影處理為止的放置時間的變動所引起的抗蝕劑圖案的線寬變化,從而可獲得製程穩定性優異的感放射線性樹脂組成物。 (acid diffusion control agent) The radiation-sensitive resin composition may contain an acid diffusion control agent as needed. The acid diffusion control agent exerts the effects of controlling the diffusion phenomenon in the resist film of the acid generated by the radiation-sensitive acid generator by exposure, and suppressing the undesired chemical reaction in the non-exposed area. In addition, the storage stability of the obtained radiation-sensitive resin composition is improved. Furthermore, the resolution of the resist pattern is further improved, and the variation of the line width of the resist pattern caused by the variation of the standing time from exposure to development can be suppressed, so that radiation sensitivity excellent in process stability can be obtained. resin composition.

作為酸擴散控制劑,例如可列舉:下述式(7)所表示的化合物(以下,亦稱為「含氮化合物(I)」)、同一分子內具有2個氮原子的化合物(以下,亦稱為「含氮化合物(II)」)、具有3個氮原子的化合物(以下,亦稱為「含氮化合物(III)」)、含醯胺基的化合物、脲化合物、含氮雜環化合物等。Examples of the acid diffusion control agent include a compound represented by the following formula (7) (hereinafter, also referred to as "nitrogen-containing compound (I)") and a compound having two nitrogen atoms in the same molecule (hereinafter, also referred to as "nitrogen-containing compound (I)"). referred to as "nitrogen-containing compound (II)"), compound having three nitrogen atoms (hereinafter, also referred to as "nitrogen-containing compound (III)"), amide group-containing compound, urea compound, nitrogen-containing heterocyclic compound Wait.

[化14]

Figure 02_image033
[Chemical 14]
Figure 02_image033

所述式(7)中,R 22、R 23及R 24分別獨立地為氫原子、經取代或未經取代的烷基、經取代或未經取代的環烷基、經取代或未經取代的芳基或者經取代或未經取代的芳烷基。 In the formula (7), R 22 , R 23 and R 24 are each independently a hydrogen atom, a substituted or unsubstituted alkyl group, a substituted or unsubstituted cycloalkyl, substituted or unsubstituted aryl or substituted or unsubstituted aralkyl.

作為含氮化合物(I),例如可列舉:正己基胺等單烷基胺類;二-正丁基胺等二烷基胺類;三乙基胺等三烷基胺類;苯胺等芳香族胺類等。Examples of the nitrogen-containing compound (I) include monoalkylamines such as n-hexylamine; dialkylamines such as di-n-butylamine; trialkylamines such as triethylamine; and aromatics such as aniline. Amines, etc.

作為含氮化合物(II),例如可列舉:乙二胺、N,N,N',N'-四甲基乙二胺等。As a nitrogen-containing compound (II), ethylenediamine, N,N,N',N'- tetramethylethylenediamine, etc. are mentioned, for example.

作為含氮化合物(III),例如可列舉:聚乙烯亞胺、聚烯丙基胺等多胺化合物;二甲基胺基乙基丙烯醯胺等聚合體等。Examples of the nitrogen-containing compound (III) include polyamine compounds such as polyethyleneimine and polyallylamine; polymers such as dimethylaminoethylacrylamide and the like.

作為含醯胺基的化合物,例如可列舉:甲醯胺、N-甲基甲醯胺、N,N-二甲基甲醯胺、乙醯胺、N-甲基乙醯胺、N,N-二甲基乙醯胺、丙醯胺、苯甲醯胺、吡咯啶酮、N-甲基吡咯啶酮等。Examples of the amide group-containing compound include carboxamide, N-methylformamide, N,N-dimethylformamide, acetamide, N-methylacetamide, N,N - Dimethylacetamide, propionamide, benzylamide, pyrrolidone, N-methylpyrrolidone, etc.

作為脲化合物,例如可列舉:脲、甲基脲、1,1-二甲基脲、1,3-二甲基脲、1,1,3,3-四甲基脲、1,3-二苯基脲、三丁基硫脲等。As a urea compound, urea, methyl urea, 1, 1- dimethyl urea, 1, 3- dimethyl urea, 1, 1, 3, 3- tetramethyl urea, 1, 3- di- Phenyl urea, tributyl thiourea, etc.

作為含氮雜環化合物,例如可列舉:吡啶、2-甲基吡啶等吡啶類;N-丙基嗎啉、N-(十一烷基羰氧基乙基)嗎啉等嗎啉類;吡嗪、吡唑等。Examples of nitrogen-containing heterocyclic compounds include pyridines such as pyridine and 2-picoline; morpholines such as N-propylmorpholine and N-(undecylcarbonyloxyethyl)morpholine; pyridines oxazine, pyrazole, etc.

另外,作為所述含氮有機化合物,亦可使用具有酸解離性基的化合物。作為此種具有酸解離性基的含氮有機化合物,例如可列舉:N-第三丁氧基羰基哌啶、N-第三丁氧基羰基咪唑、N-第三丁氧基羰基苯並咪唑、N-第三丁氧基羰基-2-苯基苯並咪唑、N-(第三丁氧基羰基)二正辛基胺、N-(第三丁氧基羰基)二乙醇胺、N-(第三丁氧基羰基)二環己基胺、N-(第三丁氧基羰基)二苯基胺、N-第三丁氧基羰基-4-羥基哌啶、N-第三戊氧基羰基-4-羥基哌啶等。Moreover, as said nitrogen-containing organic compound, the compound which has an acid dissociable group can also be used. Examples of the nitrogen-containing organic compound having such an acid dissociable group include N-tert-butoxycarbonylpiperidine, N-tert-butoxycarbonylimidazole, and N-tert-butoxycarbonylbenzimidazole. , N-tertiary butoxycarbonyl-2-phenylbenzimidazole, N-(tertiary butoxycarbonyl) di-n-octylamine, N-(tertiary butoxycarbonyl) diethanolamine, N-( tertiary butoxycarbonyl) dicyclohexylamine, N-(tertiary butoxycarbonyl) diphenylamine, N-tertiary butoxycarbonyl-4-hydroxypiperidine, N-tertiary pentoxycarbonyl -4-Hydroxypiperidine etc.

另外,作為酸擴散控制劑,亦可較佳地使用藉由曝光而產生弱酸的光降解性鹼。作為光降解性鹼,例如可列舉包含藉由曝光而分解的感放射線性鎓陽離子與弱酸的陰離子的化合物等。光降解性鹼於曝光部中由感放射線性鎓陽離子分解而產生的質子與弱酸的陰離子產生弱酸,因此酸擴散控制性降低。Moreover, as an acid diffusion control agent, the photodegradable base which produces|generates a weak acid by exposure can also be used suitably. Examples of the photodegradable base include compounds containing a radiosensitive onium cation decomposed by exposure and an anion of a weak acid. Since the photodegradable base generates a weak acid in the exposed portion by the proton generated by the decomposition of the radiosensitive onium cation and the anion of the weak acid, the acid diffusion controllability is lowered.

作為光降解性鹼,例如可列舉下述式(8-1)所表示的鋶鹽化合物、下述式(8-2)所表示的錪鹽化合物等。As a photodegradable base, the periconium salt compound represented by following formula (8-1), the iodonium salt compound represented by following formula (8-2), etc. are mentioned, for example.

[化15]

Figure 02_image034
[Chemical 15]
Figure 02_image034

所述式(8-1)及式(8-2)中,J +為鋶陽離子,U +為錪陽離子。鋶陽離子或錪陽離子較佳為由下述式(X-1)~式(X-6)表示。E -及Q -分別獨立地為OH-、R α-COO -、R α-SO 3 -所表示的陰離子。R α為烷基、芳基或芳烷基。R α所表示的芳基或芳烷基的芳香環的氫原子亦可經羥基、氟原子、經取代或未經取代的碳數1~12的烷基或者碳數1~12的烷氧基取代。 In the above formulas (8-1) and (8-2), J + is a perionium cation, and U + is an iodonium cation. The periconium cation or the iodonium cation is preferably represented by the following formulae (X-1) to (X-6). E - and Q - are each independently an anion represented by OH-, R α -COO - and R α -SO 3 - . R α is an alkyl group, an aryl group or an aralkyl group. The hydrogen atom of the aromatic ring of the aryl group or aralkyl group represented by R α may also pass through a hydroxyl group, a fluorine atom, a substituted or unsubstituted alkyl group having 1 to 12 carbon atoms, or an alkoxy group having 1 to 12 carbon atoms. replace.

[化16]

Figure 02_image035
[Chemical 16]
Figure 02_image035

所述式(X-1)中,R a1、R a2及R a3分別獨立地為經取代或未經取代的碳數1~12的直鏈狀或分支狀的烷基、烷氧基或者烷氧基羰氧基、經取代或未經取代的碳數3~12的單環或多環的環烷基、經取代或未經取代的碳數6~12的芳香族烴基、羥基、鹵素原子、-OSO 2-R P、-SO 2-R Q或-S-R T,或者表示該些基中的兩個以上相互結合而構成的環結構。該環結構於形成骨架的碳-碳鍵間可包含O或S等雜原子。R P、R Q及R T分別獨立地為經取代或未經取代的碳數1~12的直鏈狀或分支狀的烷基、經取代或未經取代的碳數5~25的脂環式烴基或者經取代或未經取代的碳數6~12的芳香族烴基。k1、k2及k3分別獨立地為0~5的整數。於R a1~R a3以及R P、R Q及R T分別為多個的情況下,多個R a1~R a3以及R P、R Q及R T可分別相同亦可不同。 In the formula (X-1), R a1 , R a2 and R a3 are each independently a substituted or unsubstituted linear or branched alkyl group, alkoxy group or alkane having 1 to 12 carbon atoms Oxycarbonyloxy, substituted or unsubstituted monocyclic or polycyclic cycloalkyl group with 3 to 12 carbon atoms, substituted or unsubstituted aromatic hydrocarbon group with 6 to 12 carbon atoms, hydroxyl group, halogen atom , -OSO 2 -R P , -SO 2 -R Q or -SR T , or a ring structure formed by combining two or more of these groups. The ring structure may contain heteroatoms such as O or S between carbon-carbon bonds forming the skeleton. R P , R Q and R T are each independently a substituted or unsubstituted linear or branched alkyl group having 1 to 12 carbon atoms, a substituted or unsubstituted alicyclic having 5 to 25 carbon atoms A hydrocarbon group of the formula or a substituted or unsubstituted aromatic hydrocarbon group with 6 to 12 carbon atoms. k1, k2, and k3 are each independently an integer of 0-5. When there are multiple R a1 to R a3 and R P , R Q and RT respectively, the multiple R a1 to R a3 and R P , R Q and RT may be the same or different, respectively .

所述式(X-2)中,R b1為經取代或未經取代的碳數1~20的直鏈狀或分支狀的烷基或者烷氧基、經取代或未經取代的碳數2~8的醯基、或者經取代或未經取代的碳數6~8的芳香族烴基、或羥基。n k為0或1。於n k為0時,k4為0~4的整數,於n k為1時,k4為0~7的整數。於R b1為多個的情況下,多個R b1可相同亦可不同,另外,多個R b1亦可表現為相互結合而構成的環結構。R b2為經取代或未經取代的碳數1~7的直鏈狀或分支狀的烷基、或者經取代或未經取代的碳數6或7的芳香族烴基。L C為單鍵或二價連結基。k5為0~4的整數。於R b2為多個的情況下,多個R b2可相同亦可不同,另外,多個R b2亦可表現為相互結合而構成的環結構。q為0~3的整數。式中,包含S +的環結構於形成骨架的碳-碳鍵間可包含O或S等雜原子。 In the formula (X-2), R b1 is a substituted or unsubstituted linear or branched alkyl group having 1 to 20 carbon atoms or an alkoxy group, a substituted or unsubstituted carbon number 2 -8 acyl group, or a substituted or unsubstituted aromatic hydrocarbon group having 6 to 8 carbon atoms, or a hydroxyl group. n k is 0 or 1. When n k is 0, k4 is an integer of 0 to 4, and when n k is 1, k4 is an integer of 0 to 7. When there are plural R b1s , the plural R b1s may be the same or different, and the plural R b1s may express a ring structure formed by bonding with each other. R b2 is a substituted or unsubstituted linear or branched alkyl group having 1 to 7 carbon atoms, or a substituted or unsubstituted aromatic hydrocarbon group having 6 or 7 carbon atoms. L C is a single bond or a divalent linking group. k5 is an integer of 0-4. When a plurality of R b2s are present, the plurality of R b2s may be the same or different, and the plurality of R b2s may express a ring structure formed by combining with each other. q is an integer of 0-3. In the formula, the ring structure containing S + may contain heteroatoms such as O or S between the carbon-carbon bonds forming the skeleton.

所述式(X-3)中,R c1、R c2及R c3分別獨立地為經取代或未經取代的碳數1~12的直鏈狀或分支狀的烷基。 In the formula (X-3), R c1 , R c2 and R c3 are each independently a substituted or unsubstituted linear or branched alkyl group having 1 to 12 carbon atoms.

所述式(X-4)中,R g1為經取代或未經取代的碳數1~20的直鏈狀或分支狀的烷基或者烷氧基、經取代或未經取代的碳數2~8的醯基、或者經取代或未經取代的碳數6~8的芳香族烴基、或羥基。n k2為0或1。於n k2為0時,k10為0~4的整數,於n k2為1時,k10為0~7的整數。於R g1為多個的情況下,多個R g1可相同亦可不同,另外,多個R g1亦可表現為相互結合而構成的環結構。R g2及R g3分別獨立地為經取代或未經取代的碳數1~12的直鏈狀或分支狀的烷基、烷氧基或者烷氧基羰氧基、經取代或未經取代的碳數3~12的單環或多環的環烷基、經取代或未經取代的碳數6~12的芳香族烴基、羥基、鹵素原子,或者表示該些基相互結合而構成的環結構。k11及k12分別獨立地為0~4的整數。於R g2及R g3分別為多個的情況下,多個R g2及R g3可分別相同亦可不同。 In the formula (X-4), R g1 is a substituted or unsubstituted linear or branched alkyl group having 1 to 20 carbon atoms or an alkoxy group, a substituted or unsubstituted carbon number 2 -8 acyl group, or a substituted or unsubstituted aromatic hydrocarbon group having 6 to 8 carbon atoms, or a hydroxyl group. n k2 is 0 or 1. When n k2 is 0, k10 is an integer of 0 to 4, and when n k2 is 1, k10 is an integer of 0 to 7. When there are plural R g1s , the plural R g1s may be the same or different, and the plural R g1s may express a ring structure formed by combining with each other. R g2 and R g3 are each independently a substituted or unsubstituted linear or branched alkyl group having 1 to 12 carbon atoms, an alkoxy group or an alkoxycarbonyloxy group, a substituted or unsubstituted A monocyclic or polycyclic cycloalkyl group having 3 to 12 carbon atoms, a substituted or unsubstituted aromatic hydrocarbon group having 6 to 12 carbon atoms, a hydroxyl group, a halogen atom, or a ring structure formed by combining these groups with each other . k11 and k12 are each independently an integer of 0 to 4. When each of R g2 and R g3 is plural, the plural R g2 and R g3 may be the same or different, respectively.

所述式(X-5)中,R d1及R d2分別獨立地為經取代或未經取代的碳數1~12的直鏈狀或分支狀的烷基、烷氧基或者烷氧基羰基、經取代或未經取代的碳數6~12的芳香族烴基、鹵素原子、碳數1~4的鹵化烷基、硝基,或者表示該些基中的兩個以上相互結合而構成的環結構。k6及k7分別獨立地為0~5的整數。於R d1及R d2分別為多個的情況下,多個R d1及R d2可分別相同亦可不同。 In the formula (X-5), R d1 and R d2 are each independently a substituted or unsubstituted linear or branched alkyl group, alkoxy group or alkoxycarbonyl group having 1 to 12 carbon atoms , substituted or unsubstituted aromatic hydrocarbon groups with 6 to 12 carbon atoms, halogen atoms, halogenated alkyl groups with 1 to 4 carbon atoms, nitro groups, or a ring formed by combining two or more of these groups with each other structure. k6 and k7 are each independently an integer of 0-5. When each of R d1 and R d2 is plural, the plural R d1 and R d2 may be the same or different, respectively.

所述式(X-6)中,R e1及R e2分別獨立地為鹵素原子、經取代或未經取代的碳數1~12的直鏈狀或分支狀的烷基、或者經取代或未經取代的碳數6~12的芳香族烴基。k8及k9分別獨立地為0~4的整數。 In the formula (X-6), R e1 and R e2 are each independently a halogen atom, a substituted or unsubstituted linear or branched alkyl group having 1 to 12 carbon atoms, or a substituted or unsubstituted alkyl group. A substituted aromatic hydrocarbon group having 6 to 12 carbon atoms. k8 and k9 are each independently an integer of 0-4.

作為亦可取代所述各基所具有的氫原子的取代基,例如可列舉:氟原子、氯原子、溴原子、碘原子等鹵素原子,羥基、羧基、氰基、硝基、烷基(取代環烷基或芳香族烴基的氫原子的情況)、芳基(取代烷基的氫原子的情況)、烷氧基、烷氧基羰基、烷氧基羰氧基、醯基、醯氧基等。該些中,較佳為羥基、烷氧基、烷氧基羰基、烷氧基羰氧基、醯基、醯氧基,更佳為烷氧基或烷氧基羰基。Examples of substituents that may replace the hydrogen atoms possessed by the aforementioned groups include halogen atoms such as fluorine atoms, chlorine atoms, bromine atoms, and iodine atoms, hydroxyl groups, carboxyl groups, cyano groups, nitro groups, and alkyl groups (substituted by In the case of a hydrogen atom of a cycloalkyl group or an aromatic hydrocarbon group), an aryl group (in the case of a hydrogen atom substituted for an alkyl group), an alkoxy group, an alkoxycarbonyl group, an alkoxycarbonyloxy group, an aryl group, an aryloxy group, and the like . Among these, a hydroxyl group, an alkoxy group, an alkoxycarbonyl group, an alkoxycarbonyloxy group, an acyl group, and an acyloxy group are preferable, and an alkoxy group or an alkoxycarbonyl group is more preferable.

作為所述光降解性鹼,例如可列舉下述式所表示的化合物等。As said photodegradable base, the compound etc. which are represented by the following formula are mentioned, for example.

[化17]

Figure 02_image037
[Chemical 17]
Figure 02_image037

作為所述光降解性鹼,該些中,較佳為鋶鹽,更佳為三芳基鋶鹽,進而佳為三苯基鋶水楊酸鹽及三苯基鋶10-樟腦磺酸鹽。Among these, the photodegradable base is preferably a perylene salt, more preferably a triaryl perylene salt, and still more preferably a triphenyl perylene salicylate and a triphenyl perylene 10-camphorsulfonate.

相對於感放射線性酸產生劑的合計100質量份,作為酸擴散控制劑的含量的下限,較佳為2質量份,更佳為3質量份,進而佳為4質量份。作為所述含量的上限,較佳為150質量份,更佳為120質量份,進而佳為110質量份。The lower limit of the content of the acid diffusion control agent is preferably 2 parts by mass, more preferably 3 parts by mass, and still more preferably 4 parts by mass with respect to 100 parts by mass in total of the radiation-sensitive acid generator. The upper limit of the content is preferably 150 parts by mass, more preferably 120 parts by mass, and still more preferably 110 parts by mass.

藉由將酸擴散控制劑的含量設為所述範圍,可進一步提昇所述感放射線性樹脂組成物的微影性能。所述感放射線性樹脂組成物亦可含有一種或兩種以上的酸擴散控制劑。By setting the content of the acid diffusion control agent to the above-mentioned range, the lithography performance of the radiation-sensitive resin composition can be further improved. The radiation-sensitive resin composition may contain one or two or more acid diffusion control agents.

(交聯劑) 交聯劑為具有兩個以上的官能基的化合物,於總括曝光步驟後的烘烤步驟中,藉由酸觸媒反應而於聚合體成分中引起交聯反應,使聚合體成分的分子量增加,藉此使圖案曝光部對於顯影液的溶解度降低。作為所述官能基,例如可列舉:(甲基)丙烯醯基、羥基甲基、烷氧基甲基、環氧基、乙烯基醚基等。 (crosslinking agent) The cross-linking agent is a compound having two or more functional groups. In the baking step after the overall exposure step, a cross-linking reaction is induced in the polymer component through an acid catalyst reaction, so that the molecular weight of the polymer component is increased, Thereby, the solubility with respect to a developing solution of a pattern exposure part is reduced. As said functional group, a (meth)acryloyl group, a hydroxymethyl group, an alkoxymethyl group, an epoxy group, a vinyl ether group, etc. are mentioned, for example.

(偏向存在化促進劑) 偏向存在化促進劑為具有使所述高氟含量樹脂更有效率地偏向存在於抗蝕劑膜表面的效果者。藉由使所述感放射線性樹脂組成物含有該偏向存在化促進劑,可較先前減少所述高氟含量樹脂的添加量。因此,維持所述感放射線性樹脂組成物的微影性能的同時,進一步抑制成分自抗蝕劑膜向液浸介質的溶出,或者可藉由高速掃描來更高速地進行液浸曝光,結果,可提高抑制水印缺陷等源自液浸的缺陷的抗蝕劑膜表面的疏水性。作為可用作此種偏向存在化促進劑者,例如可列舉相對介電常數為30以上且200以下、一氣壓下的沸點為100℃以上的低分子化合物。作為此種化合物,具體而言,可列舉:內酯化合物、碳酸酯化合物、腈化合物、多元醇等。 (biased towards existential accelerators) The localization accelerator has the effect of making the high fluorine content resin more efficiently localized on the surface of the resist film. By making the radiation-sensitive resin composition contain the biased existence accelerator, the addition amount of the high-fluorine-content resin can be reduced compared with the conventional method. Therefore, while maintaining the lithography performance of the radiation-sensitive resin composition, the elution of components from the resist film to the liquid immersion medium can be further suppressed, or the liquid immersion exposure can be performed at a higher speed by high-speed scanning. As a result, It is possible to improve the hydrophobicity of the surface of the resist film which suppresses defects derived from liquid immersion such as watermark defects. As a thing which can be used as such a biasing accelerator, for example, a low molecular weight compound whose relative dielectric constant is 30 or more and 200 or less and whose boiling point under one atmospheric pressure is 100 degreeC or more is mentioned. As such a compound, a lactone compound, a carbonate compound, a nitrile compound, a polyhydric alcohol etc. are mentioned specifically,.

作為所述內酯化合物,例如可列舉:γ-丁內酯、戊內酯、甲羥戊酸內酯(mevalonic lactone)、降冰片烷內酯等。As said lactone compound, gamma-butyrolactone, valerolactone, mevalonic lactone, norbornane lactone etc. are mentioned, for example.

作為所述碳酸酯化合物,例如可列舉:碳酸伸丙酯、碳酸伸乙酯、碳酸伸丁酯、碳酸伸乙烯酯等。As said carbonate compound, propylene carbonate, ethylene carbonate, butylene carbonate, vinylene carbonate, etc. are mentioned, for example.

作為所述腈化合物,例如可列舉丁二腈等。As said nitrile compound, succinonitrile etc. are mentioned, for example.

作為所述多元醇,例如可列舉甘油等。As said polyhydric alcohol, glycerol etc. are mentioned, for example.

作為偏向存在化促進劑的含量的下限,相對於該感放射線性樹脂組成物中的樹脂的總量100質量份,較佳為10質量份,更佳為15質量份,進而佳為20質量份,特佳為25質量份。作為所述含量的上限,較佳為300質量份,更佳為200質量份,進而佳為100質量份,特佳為80質量份。該感放射線性樹脂組成物亦可含有一種或兩種以上的偏向存在化促進劑。The lower limit of the content of the biasing accelerator is preferably 10 parts by mass, more preferably 15 parts by mass, and still more preferably 20 parts by mass with respect to 100 parts by mass of the total resin in the radiation-sensitive resin composition. , especially preferably 25 parts by mass. The upper limit of the content is preferably 300 parts by mass, more preferably 200 parts by mass, still more preferably 100 parts by mass, and particularly preferably 80 parts by mass. The radiation-sensitive resin composition may also contain one or two or more kinds of biased existence accelerators.

(界面活性劑) 界面活性劑起到改良塗佈性、條紋(striation)、顯影性等的效果。作為界面活性劑,例如可列舉:聚氧乙烯月桂醚、聚氧乙烯硬脂醚、聚氧乙烯油烯醚、聚氧乙烯正辛基苯基醚、聚氧乙烯正壬基苯基醚、聚乙二醇二月桂酸酯、聚乙二醇二硬脂酸酯等非離子系界面活性劑;作為市售品,可列舉:KP341(信越化學工業製造)、珀利弗洛(Polyflow)No.75、珀利弗洛(Polyflow)No.95(以上由共榮社化學製造)、艾福拓(Eftop)EF301、艾福拓(Eftop)EF303、艾福拓(Eftop)EF352(以上由濤凱姆製品(Tohchem Products)製造)、美佳法(Megafac)F171、美佳法(Megafac)F173(以上由迪愛生(DIC)製造)、弗洛德(Fluorad)FC430、弗洛德(Fluorad)FC431(以上由住友3M製造)、阿薩佳(Asahi Guard)AG710、沙福隆(Surflon)S-382、沙福隆(Surflon)SC-101、沙福隆(Surflon)SC-102、沙福隆(Surflon)SC-103、沙福隆(Surflon)SC-104、沙福隆(Surflon)SC-105、沙福隆(Surflon)SC-106(以上由旭硝子工業製造)等。作為所述感放射線性樹脂組成物中的界面活性劑的含量,相對於樹脂100質量份,通常為2質量份以下。 (surfactant) The surfactant has the effect of improving coatability, striation, developability, and the like. As the surfactant, for example, polyoxyethylene lauryl ether, polyoxyethylene stearyl ether, polyoxyethylene oleyl ether, polyoxyethylene n-octylphenyl ether, polyoxyethylene n-nonylphenyl ether, polyoxyethylene n-nonylphenyl ether, polyoxyethylene Nonionic surfactants such as ethylene glycol dilaurate and polyethylene glycol distearate; commercially available products include KP341 (manufactured by Shin-Etsu Chemical Co., Ltd.), Polyflow No. 75. Polyflow No.95 (above manufactured by Gongrongsha Chemical), Eftop EF301, Eftop EF303, Eftop EF352 (above by Taokai Tohchem Products), Megafac F171, Megafac F173 (above manufactured by DIC), Fluorad FC430, Fluorad FC431 (above manufactured by Sumitomo 3M), Asahi Guard AG710, Surflon S-382, Surflon SC-101, Surflon SC-102, Surflon ) SC-103, Surflon SC-104, Surflon SC-105, Surflon SC-106 (the above are manufactured by Asahi Glass Industries), etc. The content of the surfactant in the radiation-sensitive resin composition is usually 2 parts by mass or less with respect to 100 parts by mass of the resin.

(含有脂環式骨架的化合物) 含有脂環式骨架的化合物起到改善耐乾式蝕刻性、圖案形狀、與基板的接著性等的效果。 (compounds containing alicyclic skeleton) The compound containing an alicyclic skeleton has the effect of improving dry etching resistance, pattern shape, adhesion to a substrate, and the like.

作為含有脂環式骨架的化合物,例如可列舉: 1-金剛烷羧酸、2-金剛烷酮、1-金剛烷羧酸第三丁酯等金剛烷衍生物類; 去氧膽酸第三丁酯、去氧膽酸第三丁氧基羰基甲酯、去氧膽酸2-乙氧基乙酯等去氧膽酸酯類; 石膽酸第三丁酯、石膽酸第三丁氧基羰基甲酯、石膽酸2-乙氧基乙酯等石膽酸酯類; 3-〔2-羥基-2,2-雙(三氟甲基)乙基〕四環[4.4.0.1(2,5).1(7,10)]十二烷、2-羥基-9-甲氧基羰基-5-氧代-4-氧雜-三環[4.2.1.0(3,7)]壬烷等。作為所述感放射線性樹脂組成物中的含有脂環式骨架的化合物的含量,相對於樹脂100質量份,通常為5質量份以下。 Examples of compounds containing an alicyclic skeleton include: Adamantane derivatives such as 1-adamantane carboxylic acid, 2-adamantanone, 1-adamantane carboxylic acid tert-butyl ester; Deoxycholate esters such as 3-butyl deoxycholate, 3-butoxycarbonyl deoxycholate, and 2-ethoxyethyl deoxycholate; Lithocholic acid 3-butyl ester, lithocholic acid 3-butoxycarbonyl methyl ester, lithocholic acid 2-ethoxyethyl ester and other lithocholic acid esters; 3-[2-Hydroxy-2,2-bis(trifluoromethyl)ethyl]tetracyclo[4.4.0.1(2,5).1(7,10)]dodecane, 2-hydroxy-9- Methoxycarbonyl-5-oxo-4-oxa-tricyclo[4.2.1.0(3,7)]nonane, etc. The content of the alicyclic skeleton-containing compound in the radiation-sensitive resin composition is usually 5 parts by mass or less with respect to 100 parts by mass of the resin.

(增感劑) 增感劑表現出使來源於感放射線性酸產生劑等的酸的生成量增加的作用,起到提高所述感放射線性樹脂組成物的「表觀的感度」的效果。 (sensitizer) The sensitizer has the effect of increasing the amount of acid generated from the radiation-sensitive acid generator or the like, and has the effect of improving the "apparent sensitivity" of the radiation-sensitive resin composition.

作為增感劑,例如可列舉:咔唑類、苯乙酮類、二苯甲酮類、萘類、酚類、聯乙醯、曙紅、孟加拉玫瑰紅、芘類、蒽類、啡噻嗪類等。該些增感劑可單獨使用,亦可併用兩種以上。作為所述感放射線性樹脂組成物中的增感劑的含量,相對於樹脂100質量份,通常為2質量份以下。Examples of sensitizers include: carbazoles, acetophenones, benzophenones, naphthalenes, phenols, diacetyl, eosin, rose Bengal, pyrenes, anthracenes, phenothiazine class etc. These sensitizers may be used alone or in combination of two or more. The content of the sensitizer in the radiation-sensitive resin composition is usually 2 parts by mass or less with respect to 100 parts by mass of the resin.

(組成物) 該感放射線性樹脂組成物可特別適宜用於有機溶媒顯影用途,即,在所述顯影步驟中,利用有機溶媒顯影形成負型圖案的情況。 (composition) The radiation-sensitive resin composition is particularly suitable for use in organic solvent development, that is, in the case of forming a negative pattern by organic solvent development in the development step.

<感放射線性樹脂組成物的製備方法> 所述感放射線性樹脂組成物例如可藉由以規定的比例將樹脂、所述鋶鹽化合物(或感放射線性酸產生劑)、視需要的酸擴散控制劑、高氟含量樹脂等、及溶劑混合來製備。所述感放射線性樹脂組成物較佳為於混合後,例如利用孔徑0.05 μm左右的過濾器等進行過濾。作為所述感放射線性樹脂組成物的固體成分濃度,通常為0.1質量%~50質量%,較佳為0.5質量%~30質量%,更佳為1質量%~20質量%。 <Preparation method of radiation-sensitive resin composition> The radiation-sensitive resin composition can be prepared, for example, by mixing the resin, the pernium salt compound (or the radiation-sensitive acid generator), an optional acid diffusion control agent, a high fluorine content resin, etc., and a solvent in a predetermined ratio. Mix to prepare. The radiation-sensitive resin composition is preferably filtered, for example, with a filter having a pore size of about 0.05 μm after mixing. The solid content concentration of the radiation-sensitive resin composition is usually 0.1 to 50 mass %, preferably 0.5 to 30 mass %, and more preferably 1 to 20 mass %.

<抗蝕劑圖案的形成方法> 本發明中的抗蝕劑圖案的形成方法包括: 將所述感放射線性樹脂組成物直接或間接地塗佈於基板上而形成抗蝕劑膜的步驟(以下,亦稱為「抗蝕劑膜形成步驟」); 對所述抗蝕劑膜進行曝光的步驟(以下,亦稱為「曝光步驟」);以及 對所述經曝光的抗蝕劑膜進行顯影的步驟。 <Method for forming a resist pattern> The formation method of the resist pattern in the present invention includes: A step of directly or indirectly coating the radiation-sensitive resin composition on a substrate to form a resist film (hereinafter, also referred to as "resist film forming step"); a step of exposing the resist film (hereinafter, also referred to as an "exposure step"); and A step of developing the exposed resist film.

根據所述抗蝕劑圖案的形成方法,由於使用所述感放射線性樹脂組成物,因此可形成能夠以優異的水準發揮曝光步驟中的感度、LWR性能、CDU性能的抗蝕劑圖案。以下,對各步驟進行說明。According to the method for forming a resist pattern, since the radiation-sensitive resin composition is used, a resist pattern capable of exhibiting sensitivity, LWR performance, and CDU performance in an exposure step at an excellent level can be formed. Hereinafter, each step will be described.

[抗蝕劑膜形成步驟] 於本步驟中,利用所述感放射線性樹脂組成物來形成抗蝕劑膜。更具體而言,為將所述感放射線性樹脂組成物直接或間接地塗佈於基板上來形成抗蝕劑膜的步驟。作為形成該抗蝕劑膜的基板,例如可列舉:矽晶圓、二氧化矽、經鋁包覆的晶圓等先前公知者等。另外,亦可將例如日本專利特公平6-12452號公報或日本專利特開昭59-93448號公報等中所揭示的有機系或無機系的抗反射膜形成於基板上。作為塗佈方法,例如可列舉:旋轉塗佈(旋塗)、流延塗佈、輥塗佈等。亦可於塗佈後,視需要進行預烘烤(prebake,PB)以使塗膜中的溶劑揮發。作為PB溫度,通常為60℃~140℃,較佳為80℃~120℃。作為PB時間,通常為5秒~600秒,較佳為10秒~300秒。作為所形成的抗蝕劑膜的膜厚,較佳為10 nm~1,000 nm,更佳為10 nm~500 nm。 [Resist film formation step] In this step, a resist film is formed using the radiation-sensitive resin composition. More specifically, it is a step of directly or indirectly applying the radiation-sensitive resin composition on a substrate to form a resist film. As a substrate on which the resist film is formed, for example, conventionally known ones such as silicon wafers, silicon dioxide, and aluminum-coated wafers can be mentioned. In addition, an organic or inorganic antireflection film disclosed in, for example, Japanese Patent Laid-Open No. 6-12452, Japanese Patent Laid-Open No. 59-93448, etc. may be formed on the substrate. As a coating method, spin coating (spin coating), casting coating, roll coating, etc. are mentioned, for example. After coating, prebake (PB) may be performed as necessary to volatilize the solvent in the coating film. The PB temperature is usually 60°C to 140°C, preferably 80°C to 120°C. The PB time is usually 5 seconds to 600 seconds, preferably 10 seconds to 300 seconds. The thickness of the resist film to be formed is preferably 10 nm to 1,000 nm, and more preferably 10 nm to 500 nm.

於進行液浸曝光的情況下,不管所述感放射線性樹脂組成物中的所述高氟含量樹脂等的撥水性聚合體添加劑的有無,出於避免液浸液與抗蝕劑膜的直接接觸的目的,亦可於所述形成的抗蝕劑膜上設置對液浸液而言為不溶性的液浸用保護膜。作為液浸用保護膜,亦可使用顯影步驟之前利用溶劑而剝離的溶劑剝離型保護膜(例如,參照日本專利特開2006-227632號公報)、與顯影步驟的顯影同時剝離的顯影液剝離型保護膜(例如,參照WO2005-069076號公報、WO2006-035790號公報)的任一種。其中,就產量的觀點而言,較佳為使用顯影液剝離型液浸用保護膜。In the case of liquid immersion exposure, regardless of the presence or absence of water-repellent polymer additives such as the high fluorine content resin in the radiation-sensitive resin composition, in order to avoid direct contact between the liquid immersion liquid and the resist film For the purpose of immersion, a liquid immersion protective film which is insoluble to the liquid immersion liquid may be provided on the formed resist film. As the protective film for liquid immersion, a solvent peeling type protective film that is peeled off with a solvent before the development step (for example, refer to Japanese Patent Laid-Open No. 2006-227632), and a developer peeling type that is peeled off simultaneously with the development of the developing step can also be used Any of the protective films (for example, refer to WO2005-069076 A and WO2006-035790). Among them, from the viewpoint of yield, it is preferable to use a developing solution peeling-type liquid immersion protective film.

另外,於利用波長50 nm以下的放射線進行作為下一步驟的曝光步驟的情況下,較佳為使用具有所述結構單元(I)及結構單元(IV)的樹脂作為所述組成物中的基礎樹脂。In addition, when performing the exposure step as the next step with radiation having a wavelength of 50 nm or less, it is preferable to use a resin having the structural unit (I) and the structural unit (IV) as a base in the composition resin.

[曝光步驟] 於本步驟中,介隔光罩(視情況經由水等液浸介質)對所述抗蝕劑膜形成步驟中形成的抗蝕劑膜照射放射線來進行曝光。作為用於曝光的放射線,根據目標圖案的線寬,例如可列舉:可見光線、紫外線、遠紫外線、極紫外線(EUV)、X射線、γ射線等電磁波;電子束、α射線等帶電粒子束等。該些中,較佳為遠紫外線、電子束、EUV,更佳為ArF準分子雷射光(波長193 nm)、KrF準分子雷射光(波長248 nm)、電子束、EUV,進而佳為定位為下一代曝光技術的波長50 nm以下的電子束、EUV。 [Exposure step] In this step, the resist film formed in the resist film forming step is irradiated with radiation through a photomask (via a liquid immersion medium such as water as appropriate) for exposure. Examples of radiation used for exposure include electromagnetic waves such as visible rays, ultraviolet rays, extreme ultraviolet rays, extreme ultraviolet rays (EUV), X rays, and γ rays, and charged particle beams such as electron beams and α rays, depending on the line width of the target pattern. . Among them, far-ultraviolet rays, electron beams, EUV are preferred, ArF excimer laser light (wavelength 193 nm), KrF excimer laser light (wavelength 248 nm), electron beams, EUV are more preferred, and further preferred are positioned as Electron beam and EUV with wavelengths below 50 nm for next-generation exposure technology.

於藉由液浸曝光來進行曝光的情況下,作為所使用的液浸液,例如可列舉水、氟系不活性液體等。液浸液較佳為對曝光波長為透明、且折射率的溫度係數儘可能小以將投影至膜上的光學像的變形抑制於最小限度般的液體,特別是於曝光光源為ArF準分子雷射光(波長193 nm)的情況下,於所述觀點的基礎上,就獲取的容易度、操作的容易度等方面而言,較佳為使用水。於使用水的情況下,亦可以稍許的比例添加使水的表面張力減少、且使界面活性力增大的添加劑。所述添加劑較佳為不將晶圓上的抗蝕劑膜溶解,並且對透鏡的下表面的光學塗層的影響可忽視。作為所使用的水,較佳為蒸餾水。When exposure is performed by liquid immersion exposure, as a liquid immersion liquid to be used, water, a fluorine-type inert liquid, etc. are mentioned, for example. The immersion liquid is preferably a liquid that is transparent to the exposure wavelength and has a temperature coefficient of refractive index as small as possible to minimize the distortion of the optical image projected on the film, especially when the exposure light source is an ArF excimer laser. In the case of irradiated light (wavelength of 193 nm), it is preferable to use water from the viewpoints of easiness of acquisition, easiness of handling, and the like. In the case of using water, an additive which reduces the surface tension of water and increases the interfacial active force may be added at a slight ratio. The additive preferably does not dissolve the resist film on the wafer and has a negligible effect on the optical coating on the lower surface of the lens. As the water to be used, distilled water is preferred.

較佳為於所述曝光後進行曝光後烘烤(post exposure bake,PEB),於抗蝕劑膜的經曝光的部分,利用藉由曝光而自感放射線性酸產生劑產生的酸來促進樹脂等所具有的酸解離性基的解離。藉由所述PEB,於曝光部與未曝光部產生對於顯影液的溶解性的差。作為PEB溫度,通常為50℃~180℃,較佳為80℃~130℃。作為PEB時間,通常為5秒~600秒,較佳為10秒~300秒。Preferably, a post exposure bake (PEB) is performed after the exposure, and in the exposed portion of the resist film, the acid generated by the self-inductive radiation acid generator by exposure is used to promote the resin Dissociation of acid dissociable groups, etc. Due to the PEB, the difference in solubility with respect to the developer occurs between the exposed portion and the unexposed portion. The PEB temperature is usually 50°C to 180°C, preferably 80°C to 130°C. The PEB time is usually 5 seconds to 600 seconds, preferably 10 seconds to 300 seconds.

另外,於所述曝光步驟之後,可包含對經曝光的所述抗蝕劑膜進行顯影的步驟(以下,亦稱為「顯影步驟」)。Further, after the exposure step, a step of developing the exposed resist film (hereinafter, also referred to as a “development step”) may be included.

[顯影步驟] 本步驟中,對所述曝光步驟中經曝光的抗蝕劑膜進行顯影。藉此,可形成規定的抗蝕劑圖案。一般而言於顯影後利用水或醇等淋洗液進行清洗並加以乾燥。 [Development step] In this step, the resist film exposed in the exposure step is developed. Thereby, a predetermined resist pattern can be formed. Generally, it wash|cleans with the rinse liquid, such as water or alcohol, after image development, and it is dried.

作為用於所述顯影的顯影液,於鹼顯影的情況下,例如可列舉溶解有氫氧化鈉、氫氧化鉀、碳酸鈉、矽酸鈉、偏矽酸鈉、氨水、乙基胺、正丙基胺、二乙基胺、二正丙基胺、三乙基胺、甲基二乙基胺、乙基二甲基胺、三乙醇胺、氫氧化四甲基銨(tetramethyl ammonium hydroxide,TMAH)、吡咯、哌啶、膽鹼、1,8-二氮雜雙環-[5.4.0]-7-十一烯、1,5-二氮雜雙環-[4.3.0]-5-壬烯等鹼性化合物的至少一種的鹼性水溶液等。該些中,較佳為TMAH水溶液,更佳為2.38質量%TMAH水溶液。As the developing solution used for the development, in the case of alkali development, for example, sodium hydroxide, potassium hydroxide, sodium carbonate, sodium silicate, sodium metasilicate, ammonia water, ethylamine, n-propyl amine, diethylamine, di-n-propylamine, triethylamine, methyldiethylamine, ethyldimethylamine, triethanolamine, tetramethyl ammonium hydroxide (TMAH), Bases such as pyrrole, piperidine, choline, 1,8-diazabicyclo-[5.4.0]-7-undecene, 1,5-diazabicyclo-[4.3.0]-5-nonene Alkaline aqueous solution of at least one kind of compound, etc. Among these, TMAH aqueous solution is preferable, and 2.38 mass % TMAH aqueous solution is more preferable.

另外,於有機溶劑顯影的情況下,可列舉烴系溶劑、醚系溶劑、酯系溶劑、酮系溶劑、醇系溶劑等有機溶劑,或者含有有機溶劑的溶劑。作為所述有機溶劑,例如可列舉作為所述感放射線性樹脂組成物的溶劑而列舉的溶劑的一種或兩種以上等。該些中,較佳為酯系溶劑、酮系溶劑。作為酯系溶劑,較佳為乙酸酯系溶劑,更佳為乙酸正丁酯、乙酸戊酯。作為酮系溶劑,較佳為鏈狀酮,更佳為2-庚酮。作為顯影液中的有機溶劑的含量,較佳為80質量%以上,更佳為90質量%以上,進而佳為95質量%以上,特佳為99質量%以上。作為顯影液中的有機溶劑以外的成分,例如可列舉水、矽油等。Moreover, in the case of an organic solvent image development, organic solvents, such as a hydrocarbon type solvent, an ether type solvent, an ester type solvent, a ketone type solvent, and an alcohol type solvent, or the solvent containing an organic solvent are mentioned. As the organic solvent, for example, one or two or more of the solvents listed as the solvent of the radiation-sensitive resin composition may be mentioned. Among these, ester-based solvents and ketone-based solvents are preferred. As the ester-based solvent, an acetate-based solvent is preferable, and n-butyl acetate and amyl acetate are more preferable. The ketone-based solvent is preferably a chain ketone, more preferably 2-heptanone. The content of the organic solvent in the developer is preferably 80% by mass or more, more preferably 90% by mass or more, still more preferably 95% by mass or more, and particularly preferably 99% by mass or more. As a component other than the organic solvent in a developer, water, a silicone oil, etc. are mentioned, for example.

該抗蝕劑圖案的形成方法可特別適合用於在所述顯影步驟中利用有機溶媒進行顯影而形成負型圖案的情況。This method of forming a resist pattern is particularly suitable for the case where a negative pattern is formed by developing with an organic solvent in the developing step.

作為顯影方法,例如可列舉:使基板於充滿顯影液的槽中浸漬固定時間的方法(浸漬法);藉由利用表面張力使顯影液堆積至基板表面並靜止固定時間來進行顯影的方法(覆液(puddle)法);對基板表面噴霧顯影液的方法(噴霧法);一面以固定速度掃描顯影液塗出噴嘴,一面朝以固定速度旋轉的基板上連續塗出顯影液的方法(動態分配法)等。Examples of the developing method include: a method of immersing a substrate in a tank filled with a developing solution for a fixed period of time (dipping method); a method of developing by depositing a developing solution on the surface of the substrate by utilizing surface tension and standing still for a fixed period of time (overlay method) liquid (puddle) method); the method of spraying the developer on the surface of the substrate (spray method); the method of continuously applying the developer on the substrate rotating at a fixed speed while scanning the nozzle for the developer at a fixed speed (dynamic distribution method) etc.

<基板的加工方法、金屬膜圖案的製造方法> 本發明的基板的加工方法更包括: 將藉由所述方法形成的抗蝕劑圖案製成遮罩,於基板上形成圖案的步驟。 <Processing Method of Substrate and Manufacturing Method of Metal Film Pattern> The processing method of the substrate of the present invention further comprises: The resist pattern formed by the method is used as a mask to form a pattern on the substrate.

所述基板的加工方法由於使用所述感放射線性樹脂組成物,因此能夠形成高品位的基板圖案。Since the processing method of the substrate uses the radiation-sensitive resin composition, it is possible to form a high-quality substrate pattern.

所述步驟為將藉由任一項所述的方法形成的抗蝕劑圖案製成遮罩並於基板上形成圖案的步驟,作為將抗蝕劑圖案製成遮罩並於基板上形成圖案的方法,例如可列舉:於基板上形成抗蝕劑圖案後,於無抗蝕劑的部分,藉由乾式蝕刻等的方法於基板上形成圖案的方法;形成抗蝕劑圖案後,於無抗蝕劑的部分,藉由CVD等蒸鍍基板構成成分,或藉由無電解鍍敷等的方法使金屬附著,而形成基板的一部分或全部的方法。The step is a step of masking the resist pattern formed by any one of the methods and forming a pattern on the substrate, as a step of masking the resist pattern and forming a pattern on the substrate. As a method, for example, after forming a resist pattern on a substrate, a method of forming a pattern on a substrate by a method such as dry etching in a part without resist; after forming a resist pattern, without resist The part of the agent is a method of forming a part or the whole of the substrate by evaporating the constituent components of the substrate by CVD or the like, or by attaching a metal by a method such as electroless plating.

另外,本發明的金屬膜圖案的製造方法更包括: 將藉由所述方法形成的抗蝕劑圖案製成遮罩,形成金屬膜的步驟。 In addition, the manufacturing method of the metal film pattern of the present invention further comprises: The resist pattern formed by the method is used as a mask to form a metal film.

所述金屬膜圖案的製造方法由於使用所述感放射線性樹脂組成物,因此能夠進行金屬膜圖案的加工。Since the manufacturing method of the metal film pattern uses the radiation-sensitive resin composition, the metal film pattern can be processed.

所述步驟為將藉由任一項中所述的方法形成的抗蝕劑圖案作為遮罩形成金屬膜的步驟,就將抗蝕劑圖案作為遮罩形成金屬膜的方法而言,例如可列舉在形成抗蝕劑圖案後,藉由無電解鍍敷等方法使金屬附著於無抗蝕劑的部分而形成金屬膜的方法;於金屬膜上形成抗蝕劑圖案,藉由乾式蝕刻等方法除去無抗蝕劑部分的金屬膜而形成金屬膜的方法。The step is a step of forming a metal film using the resist pattern formed by the method described in any of the above as a mask, and the method of forming a metal film using the resist pattern as a mask includes, for example. After the resist pattern is formed, a metal film is formed by attaching metal to the part without the resist by methods such as electroless plating; a resist pattern is formed on the metal film and removed by a method such as dry etching A method of forming a metal film without a metal film of a resist portion.

(感放射線性酸產生劑(B)) 本發明的感放射線性酸產生劑(B)為一種包含所述式(1)所表示的鋶鹽化合物的感放射線性酸產生劑。 (Radiation-sensitive acid generator (B)) The radiation-sensitive acid generator (B) of the present invention is a radiation-sensitive acid generator containing the periconium salt compound represented by the formula (1).

所述感放射線性酸產生劑(B)為藉由放射線的照射而產生酸的感放射線性酸產生劑。The radiation-sensitive acid generator (B) is a radiation-sensitive acid generator that generates acid by irradiation with radiation.

所述式(1)中的各結構與所述(鋶鹽化合物(B0))的一項中所敘述者相同。Each structure in the formula (1) is the same as that described in the item of the (perylium salt compound (B0)).

另外,於本發明中,所述樹脂組成物中的所述感放射線性酸產生劑(B)的總含量相對於所述樹脂(A)100質量份較佳為0.5質量份~30質量份,可設為1質量份~25質量份,可設為1.5質量份~20質量份。若所述調配量或含有比例小於所述下限,則有感度降低之虞。反之,若所述調配量或含有比例超過所述上限,則有難以形成抗蝕劑膜之虞、或抗蝕劑圖案的剖面形狀的矩形性降低之虞。另外,所述感放射線性酸產生劑(B)可單獨使用,另外亦可組合兩種以上使用。另外,只要不損及本發明的作用效果,亦可組合使用公知的感放射線性酸產生劑。In addition, in the present invention, the total content of the radiation-sensitive acid generator (B) in the resin composition is preferably 0.5 parts by mass to 30 parts by mass with respect to 100 parts by mass of the resin (A), It can be set as 1 mass part - 25 mass parts, and can be set as 1.5 mass parts - 20 mass parts. If the compounding amount or the content ratio is less than the lower limit, the sensitivity may be lowered. Conversely, when the compounding amount or the content ratio exceeds the upper limit, it may be difficult to form a resist film, or the rectangularity of the cross-sectional shape of the resist pattern may be lowered. In addition, the radiation-sensitive acid generator (B) may be used alone or in combination of two or more. Moreover, as long as the effects of the present invention are not impaired, known radiation-sensitive acid generators may be used in combination.

就有機溶媒顯影用途而言,即在所述顯影步驟中利用有機溶媒顯影而形成負型圖案的情況下,可特別適宜使用所述感放射線性酸產生劑(B)。 [實施例] The radiation-sensitive acid generator (B) can be particularly suitably used in the case of an organic solvent development application, that is, when a negative pattern is formed by development with an organic solvent in the development step. [Example]

以下,藉由實施例來具體說明本發明,但本發明並不限定於以下實施例。各種物性值的測定方法示於以下。Hereinafter, the present invention will be specifically described by way of examples, but the present invention is not limited to the following examples. The measurement methods of various physical property values are shown below.

[重量平均分子量(Mw)、數量平均分子量(Mn)及分散度(Mw/Mn)的測定] 聚合體的Mw及Mn藉由所述條件進行測定。另外,分散度(Mw/Mn)是根據Mw及Mn的測定結果來算出。 [Measurement of weight average molecular weight (Mw), number average molecular weight (Mn) and degree of dispersion (Mw/Mn)] The Mw and Mn of the polymer were measured under the above-mentioned conditions. In addition, the degree of dispersion (Mw/Mn) was calculated from the measurement results of Mw and Mn.

[ 13C-核磁共振(nuclear magnetic resonance,NMR)分析] 聚合體的 13C-NMR分析使用核磁共振裝置(日本電子(股)的「JNM-Delta400」)進行。 [ 13 C-Nuclear Magnetic Resonance (NMR) Analysis] The 13 C-NMR analysis of the polymer was carried out using a nuclear magnetic resonance apparatus (“JNM-Delta400” from Japan Electron Co., Ltd.).

<[A]樹脂及[E]高氟含量樹脂的合成> 以下示出各實施例及各比較例中的各樹脂及高氟含量樹脂的合成中使用的單量體。再者,於以下的合成例中,只要無特別說明,則質量份是指將所使用的單量體的合計質量設為100質量份時的值,莫耳%是指將所使用的單量體的合計莫耳數設為100莫耳%時的值。 <Synthesis of [A] Resin and [E] High Fluorine Content Resin> The monomers used for the synthesis of each resin and high fluorine content resin in each Example and each Comparative Example are shown below. In addition, in the following synthesis examples, unless otherwise specified, the parts by mass refer to the value when the total mass of the monomers used is 100 parts by mass, and the mole % refers to the amount of the monomers used. The total number of moles of the body is set to the value when 100 mole%.

[化18]

Figure 02_image039
[Chemical 18]
Figure 02_image039

[合成例1] (樹脂(A-1)的合成) 將單量體(M-1)、單量體(M-2)及單量體(M-13)以莫耳比率為40/15/45(莫耳%)的方式溶解於2-丁酮(200質量份)中,添加作為起始劑的偶氮雙異丁腈(Azobisisobutyronitrile,AIBN)(相對於所使用的單量體的合計100莫耳%而為3莫耳%)來製備單量體溶液。於反應容器中放入2-丁酮(100質量份),氮氣沖洗30分鐘後,將反應容器內設為80℃,攪拌的同時花費3小時滴加所述單量體溶液。將滴加開始設為聚合反應的開始時間,實施6小時聚合反應。聚合反應結束後,對聚合溶液進行水冷並冷卻至30℃以下。將經冷卻的聚合溶液投入至甲醇(2,000質量份)中,並對所析出的白色粉末進行過濾分離。利用甲醇對經過濾分離的白色粉末進行兩次清洗後,加以過濾分離,於50℃下乾燥24小時而獲得白色粉末狀的樹脂(A-1)(產率:80%)。樹脂(A-1)的Mw為8,800,Mw/Mn為1.50。另外, 13C-NMR分析的結果為源自(M-1)、(M-2)及(M-13)的各結構單元的含有比例分別為41.3莫耳%、13.8莫耳%及44.9莫耳%。 [Synthesis Example 1] (Synthesis of Resin (A-1)) Monomer (M-1), Monomer (M-2), and Monomer (M-13) were molar ratio of 40/15 2-butanone (200 parts by mass) was dissolved in 2-butanone (200 parts by mass) so as to be /45 (mol %), and azobisisobutyronitrile (AIBN) was added as a starting agent (with respect to the total amount of monomers used) 100 mol % instead of 3 mol %) to prepare a monomer solution. 2-Butanone (100 parts by mass) was placed in the reaction vessel, and after 30 minutes of nitrogen flushing, the inside of the reaction vessel was set to 80° C., and the monomer solution was added dropwise over 3 hours while stirring. The start of dropwise addition was set as the start time of the polymerization reaction, and the polymerization reaction was carried out for 6 hours. After the completion of the polymerization reaction, the polymerization solution was cooled to 30°C or lower by water-cooling. The cooled polymerization solution was put into methanol (2,000 parts by mass), and the precipitated white powder was separated by filtration. After the white powder separated by filtration was washed twice with methanol, it was separated by filtration, and dried at 50° C. for 24 hours to obtain a white powdery resin (A-1) (yield: 80%). Mw of resin (A-1) was 8,800, and Mw/Mn was 1.50. In addition, as a result of 13 C-NMR analysis, the content ratios of the respective structural units derived from (M-1), (M-2) and (M-13) were 41.3 mol %, 13.8 mol % and 44.9 mol %, respectively. Ear%.

[合成例1~合成例11] (樹脂(A-2)~樹脂(A-11)的合成) 使用下述表1所示的種類及調配比例的單量體,除此以外與合成例1同樣地合成樹脂(A-2)~樹脂(A-11)。將所獲得的樹脂的各結構單元的含有比例(莫耳%)、產率(%)及物性值(Mw及Mw/Mn)一併示於下述表1中。再者,下述表1中的「-」表示未使用相應的單量體(關於以後的表亦相同)。 [Synthesis Example 1 to Synthesis Example 11] (Synthesis of resin (A-2) to resin (A-11)) Resin (A-2) to resin (A-11) were synthesized in the same manner as in Synthesis Example 1, except that the monomers of the types and compounding ratios shown in the following Table 1 were used. The content ratio (mol %), yield (%), and physical property values (Mw and Mw/Mn) of each structural unit of the obtained resin are collectively shown in Table 1 below. In addition, "-" in the following Table 1 means that the corresponding monomer is not used (the same applies to the following tables).

[表1]    [A]聚合體 提供結構單元(I)的單量體 提供結構單元(II)的單量體 提供結構單元(III)的單量體 Mw Mw/Mn 種類 調配比例 (莫耳%) 結構單元 含有比例 (莫耳%) 種類 調配比例 (莫耳%) 結構單元 含有比例 (莫耳%) 種類 調配比例 (莫耳%) 結構單元 含有比例 (莫耳%) 合成例1 A-1 M-1 40 41.3 M-13 45 44.9 - - - 8800 1.50 M-2 15 13.8 合成例2 A-2 M-1 30 31.4 M-6 60 60.6 - - - 9000 1.44 M-2 10 8.0 合成例3 A-3 M-1 30 31.9 M-5 60 61.7 - - - 8900 1.39 M-3 10 6.4 合成例4 A-4 M-1 35 32.3 M-12 45 49.6 - - - 8000 1.56 M-3 20 18.1 合成例5 A-5 M-1 40 41.1 M-10 45 45.7 - - - 8700 1.44 M-4 15 13.2 合成例6 A-6 M-1 40 41.6 M-11 45 46.1 - - - 7700 1.51 M-4 15 12.3 合成例7 A-7 M-1 40 42.4 M-10 45 39.5 M-14 15 18.1 7800 1.59 合成例8 A-8 M-1 40 41.1 M-7 40 35.7 M-15 20 23.2 8500 1.61 合成例9 A-9 M-1 50 51.0 M-8 50 49.0 - - - 7800 1.55 合成例10 A-10 M-1 40 44.4 M-9 60 55.6 - - - 7900 1.59 合成例11 A-11 M-1 40 42.8 M-6 60 57.2 - - - 8000 1.43 [Table 1] [A] Polymer Monomers that provide structural units (I) Monomers that provide structural unit (II) Monomers that provide structural unit (III) Mw Mw/Mn type Allocation ratio (mol%) Structural unit content (mol%) type Allocation ratio (mol%) Structural unit content (mol%) type Allocation ratio (mol%) Structural unit content (mol%) Synthesis Example 1 A-1 M-1 40 41.3 M-13 45 44.9 - - - 8800 1.50 M-2 15 13.8 Synthesis Example 2 A-2 M-1 30 31.4 M-6 60 60.6 - - - 9000 1.44 M-2 10 8.0 Synthesis Example 3 A-3 M-1 30 31.9 M-5 60 61.7 - - - 8900 1.39 M-3 10 6.4 Synthesis Example 4 A-4 M-1 35 32.3 M-12 45 49.6 - - - 8000 1.56 M-3 20 18.1 Synthesis Example 5 A-5 M-1 40 41.1 M-10 45 45.7 - - - 8700 1.44 M-4 15 13.2 Synthesis Example 6 A-6 M-1 40 41.6 M-11 45 46.1 - - - 7700 1.51 M-4 15 12.3 Synthesis Example 7 A-7 M-1 40 42.4 M-10 45 39.5 M-14 15 18.1 7800 1.59 Synthesis Example 8 A-8 M-1 40 41.1 M-7 40 35.7 M-15 20 23.2 8500 1.61 Synthesis Example 9 A-9 M-1 50 51.0 M-8 50 49.0 - - - 7800 1.55 Synthesis Example 10 A-10 M-1 40 44.4 M-9 60 55.6 - - - 7900 1.59 Synthesis Example 11 A-11 M-1 40 42.8 M-6 60 57.2 - - - 8000 1.43

[合成例12] (樹脂(A-12)的合成) 使單量體(M-1)及單量體(M-18)以莫耳比率為50/50(莫耳%)的方式溶解於1-甲氧基-2-丙醇(200質量份)中,添加作為起始劑的AIBN(5莫耳%)來製備單量體溶液。於反應容器中放入1-甲氧基-2-丙醇(100質量份),氮氣沖洗30分鐘後,將反應容器內設為80℃,攪拌的同時花費3小時滴加所述單量體溶液。將滴加開始設為聚合反應的開始時間,實施6小時聚合反應。聚合反應結束後,對聚合溶液進行水冷並冷卻至30℃以下。將經冷卻的聚合溶液投入至己烷(2,000質量份)中,並對所析出的白色粉末進行過濾分離。利用己烷對經過濾分離的白色粉末進行兩次清洗後,加以過濾分離,並溶解於1-甲氧基-2-丙醇(300質量份)中。繼而,加入甲醇(500質量份)、三乙基胺(50質量份)及超純水(10質量份),於攪拌的同時於70℃下實施6小時水解反應。於反應結束後,將殘留溶媒蒸餾去除,將所獲得的固體溶解於丙酮(100質量份)中,並滴加至水(500質量份)中而使樹脂凝固。過濾分離所獲得的固體,並於50℃下乾燥13小時而獲得白色粉末狀的樹脂(A-12)(產率:79%)。樹脂(A-12)的Mw為5,200,Mw/Mn為1.60。另外, 13C-NMR分析的結果為源自(M-1)及(M-18)的各結構單元的含有比例分別為51.3莫耳%及48.7莫耳%。 [Synthesis Example 12] (Synthesis of Resin (A-12)) Monomer (M-1) and Monomer (M-18) were dissolved in a molar ratio of 50/50 (mol %). To 1-methoxy-2-propanol (200 parts by mass), AIBN (5 mol %) as a starting agent was added to prepare a single-body solution. 1-Methoxy-2-propanol (100 parts by mass) was placed in the reaction vessel, and after 30 minutes of nitrogen flushing, the inside of the reaction vessel was set to 80°C, and the monomer was added dropwise over 3 hours while stirring. solution. The start of dropwise addition was set as the start time of the polymerization reaction, and the polymerization reaction was carried out for 6 hours. After the completion of the polymerization reaction, the polymerization solution was cooled to 30°C or lower by water-cooling. The cooled polymerization solution was put into hexane (2,000 parts by mass), and the precipitated white powder was separated by filtration. After the white powder separated by filtration was washed twice with hexane, it was separated by filtration and dissolved in 1-methoxy-2-propanol (300 parts by mass). Next, methanol (500 parts by mass), triethylamine (50 parts by mass), and ultrapure water (10 parts by mass) were added, and a hydrolysis reaction was performed at 70° C. for 6 hours while stirring. After the completion of the reaction, the residual solvent was distilled off, the obtained solid was dissolved in acetone (100 parts by mass), and added dropwise to water (500 parts by mass) to solidify the resin. The obtained solid was separated by filtration, and dried at 50° C. for 13 hours to obtain a white powdery resin (A-12) (yield: 79%). Resin (A-12) had Mw of 5,200 and Mw/Mn of 1.60. In addition, as a result of 13 C-NMR analysis, the content ratios of the respective structural units derived from (M-1) and (M-18) were 51.3 mol % and 48.7 mol %, respectively.

[合成例13~合成例15] (樹脂(A-13)~樹脂(A-15)的合成) 使用下述表2所示的種類及調配比例的單量體,除此以外與合成例12同樣地合成樹脂(A-13)~樹脂(A-15)。將所獲得的樹脂的各結構單元的含有比例(莫耳%)、產率(%)及物性值(Mw及Mw/Mn)一併示於下述表2中。 [Synthesis Example 13 to Synthesis Example 15] (Synthesis of resin (A-13) to resin (A-15)) Resin (A-13) to resin (A-15) were synthesized in the same manner as in Synthesis Example 12, except that the monomers of the types and compounding ratios shown in the following Table 2 were used. The content ratio (mol %), yield (%), and physical property values (Mw and Mw/Mn) of each structural unit of the obtained resin are collectively shown in Table 2 below.

[表2]    [A]聚合體 提供結構單元(I)的單量體 提供結構單元(II)的單量體 提供結構單元(III)的單量體 Mw Mw/Mn 種類 調配比例 (莫耳%) 結構單元 含有比例 (莫耳%) 種類 調配比例 (莫耳%) 結構單元 含有比例 (莫耳%) 種類 調配比例 (莫耳%) 結構單元 含有比例 (莫耳%) 合成例12 A-12 M-1 50 51.3 - - - M-18 50 48.7 5200 1.60 合成例13 A-13 M-3 50 46.6 M-14 10 11.1 M-19 40 42.3 5600 1.55 合成例14 A-14 M-2 50 48.1 M-17 20 21.3 M-18 30 30.6 5100 1.59 合成例15 A-15 M-1 55 55.7 M-17 15 15.1 M-19 30 29.2 6100 1.50 [Table 2] [A] Polymer Monomers that provide structural units (I) Monomers that provide structural unit (II) Monomers that provide structural unit (III) Mw Mw/Mn type Allocation ratio (mol%) Structural unit content (mol%) type Allocation ratio (mol%) Structural unit content (mol%) type Allocation ratio (mol%) Structural unit content (mol%) Synthesis Example 12 A-12 M-1 50 51.3 - - - M-18 50 48.7 5200 1.60 Synthesis Example 13 A-13 M-3 50 46.6 M-14 10 11.1 M-19 40 42.3 5600 1.55 Synthesis Example 14 A-14 M-2 50 48.1 M-17 20 21.3 M-18 30 30.6 5100 1.59 Synthesis Example 15 A-15 M-1 55 55.7 M-17 15 15.1 M-19 30 29.2 6100 1.50

[合成例16] (高氟含量樹脂(E-1)的合成) 使單量體(M-1)及單量體(M-20)以莫耳比率為20/80(莫耳%)的方式溶解於2-丁酮(200質量份)中,添加作為起始劑的AIBN(4莫耳%)來製備單量體溶液。於反應容器中放入2-丁酮(100質量份),氮氣沖洗30分鐘後,將反應容器內設為80℃,攪拌的同時花費3小時滴加所述單量體溶液。將滴加開始設為聚合反應的開始時間,實施6小時聚合反應。聚合反應結束後,對聚合溶液進行水冷並冷卻至30℃以下。於將溶媒置換成乙腈(400質量份)後,加入己烷(100質量份)進行攪拌並回收乙腈層,將所述作業重覆三次。藉由將溶媒置換成丙二醇單甲醚乙酸酯,獲得高氟含量樹脂(E-1)的溶液(產率:69%)。高氟含量樹脂(E-1)的Mw為6,000,Mw/Mn為1.62。另外, 13C-NMR分析的結果為源自(M-1)及(M-20)的各結構單元的含有比例分別為19.9莫耳%及80.1莫耳%。 [Synthesis Example 16] (Synthesis of High Fluorine Content Resin (E-1)) Monomer (M-1) and Monomer (M-20) were prepared in a molar ratio of 20/80 (mol %). It was dissolved in 2-butanone (200 parts by mass), and AIBN (4 mol %) was added as a starting agent to prepare a single-body solution. 2-Butanone (100 parts by mass) was placed in the reaction vessel, and after 30 minutes of nitrogen flushing, the inside of the reaction vessel was set to 80° C., and the monomer solution was added dropwise over 3 hours while stirring. The start of dropwise addition was set as the start time of the polymerization reaction, and the polymerization reaction was carried out for 6 hours. After the completion of the polymerization reaction, the polymerization solution was cooled to 30°C or lower by water-cooling. After replacing the solvent with acetonitrile (400 parts by mass), hexane (100 parts by mass) was added and stirred, and the acetonitrile layer was recovered, and the operation was repeated three times. By replacing the solvent with propylene glycol monomethyl ether acetate, a solution (yield: 69%) of the high fluorine content resin (E-1) was obtained. The high fluorine content resin (E-1) had Mw of 6,000 and Mw/Mn of 1.62. In addition, as a result of 13 C-NMR analysis, the content ratios of the respective structural units derived from (M-1) and (M-20) were 19.9 mol % and 80.1 mol %, respectively.

[合成例17~合成例20] (高氟含量樹脂(E-2)~高氟含量樹脂(E-5)的合成) 使用下述表3所示的種類及調配比例的單量體,除此以外與合成例16同樣地合成高氟含量樹脂(E-2)~高氟含量樹脂(E-5)。將所獲得的高氟含量樹脂的各結構單元的含有比例(莫耳%)、產率(%)及物性值(Mw及Mw/Mn)一併示於下述表3中。 [Synthesis Example 17 to Synthesis Example 20] (Synthesis of high fluorine content resin (E-2) to high fluorine content resin (E-5)) The high fluorine content resin (E-2) to the high fluorine content resin (E-5) were synthesized in the same manner as in Synthesis Example 16, except that the monomers of the types and compounding ratios shown in the following Table 3 were used. The content ratio (mol %), yield (%), and physical property values (Mw and Mw/Mn) of each structural unit of the obtained high-fluorine-content resin are collectively shown in Table 3 below.

[表3]    [E]聚合體 提供結構單元(F) 的單量體 提供結構單元(I) 的單量體 提供結構單元(II) 的單量體 提供其他結構單元 的單量體 Mw Mw/Mn 種類 調配比例 (莫耳%) 結構單元 含有比例 (莫耳%) 種類 調配比例 (莫耳%) 結構單元 含有比例 (莫耳%) 種類 調配比例 (莫耳%) 結構單元 含有比例 (莫耳%) 種類 調配比例 (莫耳%) 結構單元 含有比例 (莫耳%) 合成例16 E-1 M-20 80 80.1 M-1 20 19.9 - - - - - - 6000 1.62 合成例17 E-2 M-21 80 81.9 M-1 20 18.1 - - - - - - 7200 1.77 合成例18 E-3 M-22 60 62.3 - - - - - - M-16 40 38.7 6300 1.82 合成例19 E-4 M-22 70 68.7 - - - M-14 30 31.3 - - - 6500 1.81 合成例20 E-5 M-20 60 59.2 M-2 10 10.3 M-17 30 30.5 - - - 6100 1.86 [table 3] [E] Polymer Monomers that provide building blocks (F) Monomers that provide structural units (I) Monomers that provide structural unit (II) Monomers that provide other building blocks Mw Mw/Mn type Allocation ratio (mol%) Structural unit content (mol%) type Allocation ratio (mol%) Structural unit content (mol%) type Allocation ratio (mol%) Structural unit content (mol%) type Allocation ratio (mol%) Structural unit content (mol%) Synthesis Example 16 E-1 M-20 80 80.1 M-1 20 19.9 - - - - - - 6000 1.62 Synthesis Example 17 E-2 M-21 80 81.9 M-1 20 18.1 - - - - - - 7200 1.77 Synthesis Example 18 E-3 M-22 60 62.3 - - - - - - M-16 40 38.7 6300 1.82 Synthesis Example 19 E-4 M-22 70 68.7 - - - M-14 30 31.3 - - - 6500 1.81 Synthesis Example 20 E-5 M-20 60 59.2 M-2 10 10.3 M-17 30 30.5 - - - 6100 1.86

<[B]感放射線性酸產生劑的合成> [合成例21] <化合物(B-1)的合成> 按照以下的合成流程合成化合物(B-1)。 <[B] Synthesis of radioactive acid generator> [Synthesis Example 21] <Synthesis of Compound (B-1)> Compound (B-1) was synthesized according to the following synthesis scheme.

[化19]

Figure 02_image041
[Chemical 19]
Figure 02_image041

向反應容器中加入碘20.0 mmol、第三戊基苯40.0 mmol、間氯過氧苯甲酸40.0 mmol、對甲苯磺酸一水合物40.0 mmol及氯仿100 g,在室溫下攪拌24小時。然後加水稀釋後,加入二氯甲烷進行萃取,並分離有機層。利用飽和氯化鈉水溶液清洗所獲得的有機層。利用硫酸鈉乾燥後,蒸餾除去溶媒,並利用二乙醚重新結晶精製,從而以良好的產率獲得所述式(B-1-a)所表示的鹽。To the reaction vessel were added 20.0 mmol of iodine, 40.0 mmol of tert-amylbenzene, 40.0 mmol of m-chloroperoxybenzoic acid, 40.0 mmol of p-toluenesulfonic acid monohydrate and 100 g of chloroform, and the mixture was stirred at room temperature for 24 hours. After dilution with water, dichloromethane was added for extraction, and the organic layer was separated. The obtained organic layer was washed with a saturated aqueous sodium chloride solution. After drying with sodium sulfate, the solvent was distilled off, and the salt represented by the formula (B-1-a) was obtained in good yield by recrystallization and purification with diethyl ether.

向所述式(B-1-a)所表示的鹽中加入磺酸鈉鹽化合物20.0 mmol,並加入水:二氯甲烷(1:1(質量比))的混合液,藉此製成0.5M溶液。於室溫下激烈攪拌3小時後,加入二氯甲烷來進行萃取,並分離有機層。利用硫酸鈉對所獲得的有機層進行乾燥後,將溶媒蒸餾去除,並利用管柱層析法進行精製,藉此以良好的產率獲得所述式(B-1-b)所表示的鹽。To the salt represented by the formula (B-1-a), 20.0 mmol of the sulfonic acid sodium salt compound was added, and a mixed solution of water:dichloromethane (1:1 (mass ratio)) was added to prepare 0.5 M solution. After vigorous stirring at room temperature for 3 hours, dichloromethane was added for extraction, and the organic layer was separated. After drying the obtained organic layer with sodium sulfate, the solvent was distilled off and purified by column chromatography, whereby the salt represented by the formula (B-1-b) was obtained in good yield. .

向所述式(B-1-a)所表示的鹽中加入1,4-噻噁烷20.0 mmol、醋酸銅(II)2.00 mmol及氯仿50 g,在室溫下攪拌24小時。藉由矽藻土過濾除去雜質後,蒸餾除去溶媒,利用管柱層析法精製,從而以良好的收率獲得所述式(B-1)所表示的化合物(B-1)。To the salt represented by the formula (B-1-a) were added 20.0 mmol of 1,4-thioxane, 2.00 mmol of copper(II) acetate, and 50 g of chloroform, followed by stirring at room temperature for 24 hours. After removing impurities by filtration through celite, the solvent was distilled off, and the compound (B-1) represented by the formula (B-1) was obtained in a good yield by purification by column chromatography.

[合成例22~合成例41] (化合物(B-2)~化合物(B-21)的合成) 適宜變更原料及前驅物,除此以外與合成例21同樣地合成下述式(B-2)~式(B-21)所表示的感放射線性酸產生劑。 [Synthesis Example 22 to Synthesis Example 41] (Synthesis of Compound (B-2) to Compound (B-21)) The radiation-sensitive acid generators represented by the following formulae (B-2) to (B-21) were synthesized in the same manner as in Synthesis Example 21, except that the raw materials and precursors were appropriately changed.

[化20]

Figure 02_image043
[hua 20]
Figure 02_image043

[化合物(B-1)~化合物(B-16)以外的感放射線性酸產生劑] b-1~b-19:下述式(b-1)~式(b-19)所表示的化合物(以下,有時將式(b-1)~式(b-19)所表示的化合物分別記載為「化合物(b-1)」~「化合物(b-19)」)。 [Radiation-sensitive acid generators other than compounds (B-1) to (B-16)] b-1 to b-19: compounds represented by the following formulae (b-1) to (b-19) (hereinafter, the compounds represented by formulas (b-1) to (b-19) may be referred to as They are respectively described as "compound (b-1)" to "compound (b-19)").

[化21]

Figure 02_image045
[Chemical 21]
Figure 02_image045

[[C]酸擴散控制劑] C-1~C-5:下述式(C-1)~式(C-5)所表示的化合物。 [[C] Acid Diffusion Control Agent] C-1 to C-5: compounds represented by the following formulae (C-1) to (C-5).

[化22]

Figure 02_image047
[Chemical 22]
Figure 02_image047

[[D]溶劑] D-1:丙二醇單甲醚乙酸酯 D-2:丙二醇單甲醚 D-3:γ-丁內酯 D-4:乳酸乙酯 [[D]solvent] D-1: Propylene glycol monomethyl ether acetate D-2: Propylene Glycol Monomethyl Ether D-3: γ-Butyrolactone D-4: Ethyl lactate

[ArF曝光用負型感放射線性樹脂組成物的製備] [實施例1] 混合作為[A]樹脂的(A-1)100質量份、作為[B]感放射線性酸產生劑的(B-1)12.0質量份、作為[C]酸擴散控制劑的(C-1)6.0質量份、作為[E]高氟含量樹脂的(E-1)3.0質量份(固體成分)、以及作為[D]溶劑的(D-1)/(D-2)/(D-3)的混合溶媒3,230質量份,利用孔徑0.2 μm的膜濾器進行過濾,藉此製備感放射線性樹脂組成物(J-1)。 [Preparation of Negative Radiation Sensitive Resin Composition for ArF Exposure] [Example 1] 100 parts by mass of (A-1) as [A] resin, 12.0 parts by mass of (B-1) as [B] radiation-sensitive acid generator, and (C-1) as [C] acid diffusion control agent were mixed 6.0 parts by mass, (E-1) 3.0 parts by mass (solid content) as [E] high fluorine content resin, and (D-1)/(D-2)/(D-3) as [D] solvent 3,230 parts by mass of the mixed solvent was filtered through a membrane filter with a pore size of 0.2 μm to prepare a radiation-sensitive resin composition (J-1).

[實施例2~實施例46及比較例1~比較例19] 使用下述表4所示的種類及含量的各成分,除此以外與實施例1同樣地製備感放射線性樹脂組成物(J-2)~感放射線性樹脂組成物(J-46)及感放射線性樹脂組成物(CJ-1)~感放射線性樹脂組成物(CJ-19)。 [Example 2 to Example 46 and Comparative Example 1 to Comparative Example 19] A radiation-sensitive resin composition (J-2) to a radiation-sensitive resin composition (J-46) and a radiation-sensitive resin composition (J-46) and a radiation-sensitive resin composition (J-46) and a radiation-sensitive resin composition were prepared in the same manner as in Example 1, except that each component of the type and content shown in the following Table 4 was used. Radiation resin composition (CJ-1) to radiation sensitive resin composition (CJ-19).

[表4]    感放射線性 樹脂組成物 [A]聚合體 [B]酸產生劑 [C]酸擴散控制劑 [E]聚合體 [D]有機溶劑 種類 含量 (質量份) 種類 含量 (質量份) 種類 含量 (質量份) 種類 含量 (質量份) 種類 含量 (質量份) 實施例1 J-1 A-1 100 B-1 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例2 J-2 A-1 100 B-2 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例3 J-3 A-1 100 B-3 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例4 J-4 A-1 100 B-4 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例5 J-5 A-1 100 B-5 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例6 J-6 A-1 100 B-6 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例7 J-7 A-1 100 B-7 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例8 J-8 A-1 100 B-8 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例9 J-9 A-1 100 B-9 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例10 J-10 A-1 100 B-10 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例11 J-11 A-1 100 B-11 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例12 J-12 A-1 100 B-12 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例13 J-13 A-1 100 B-13 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例14 J-14 A-1 100 B-14 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例15 J-15 A-1 100 B-15 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例16 J-16 A-1 100 B-16 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例17 J-17 A-1 100 B-17 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例18 J-18 A-1 100 B-18 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例19 J-19 A-1 100 B-19 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例20 J-20 A-1 100 B-20 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例21 J-21 A-1 100 B-21 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例22 J-22 A-2 100 B-1 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例23 J-23 A-3 100 B-1 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例24 J-24 A-4 100 B-1 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例25 J-25 A-5 100 B-1 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例26 J-26 A-6 100 B-1 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例27 J-27 A-7 100 B-1 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例28 J-28 A-8 100 B-1 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例29 J-29 A-9 100 B-1 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例30 J-30 A-10 100 B-1 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例31 J-31 A-11 100 B-1 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例32 J-32 A-1 100 B-1 12.0 C-2 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例33 J-33 A-1 100 B-1 12.0 C-3 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例34 J-34 A-1 100 B-1 12.0 C-4 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例35 J-35 A-1 100 B-1 12.0 C-5 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例36 J-36 A-1 100 B-1 12.0 C-1 6.0 E-2 3.0 D-1/D-2/D-3 2240/960/30 實施例37 J-37 A-1 100 B-1 12.0 C-1 6.0 E-3 3.0 D-1/D-2/D-3 2240/960/30 實施例38 J-38 A-1 100 B-1 12.0 C-1 6.0 E-4 3.0 D-1/D-2/D-3 2240/960/30 實施例39 J-39 A-1 100 B-1 2.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例40 J-40 A-1 100 B-1 7.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例41 J-41 A-1 100 B-1 20.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例42 J-42 A-1 100 B-1 12.0 C-1/C-4 3.0/3.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例43 J-43 A-1 100 B-1 12.0 C-4/C-5 3.0/3.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例44 J-44 A-1 100 B-1/b-1 8.0/4.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例45 J-45 A-1 100 B-1/b-2 8.0/4.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例46 J-46 A-1 100 B-1/b-3 8.0/4.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 比較例1 CJ-1 A-1 100 b-1 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 比較例2 CJ-2 A-1 100 b-2 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 比較例3 CJ-3 A-1 100 b-3 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 比較例4 CJ-4 A-1 100 b-4 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 比較例5 CJ-5 A-1 100 b-5 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 比較例6 CJ-6 A-1 100 b-6 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 比較例7 CJ-7 A-1 100 b-7 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 比較例8 CJ-8 A-1 100 b-8 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 比較例9 CJ-9 A-1 100 b-9 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 比較例10 CJ-10 A-1 100 b-10 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 比較例11 CJ-11 A-1 100 b-11 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 比較例12 CJ-12 A-1 100 b-12 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 比較例13 CJ-13 A-1 100 b-13 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 比較例14 CJ-14 A-1 100 b-14 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 比較例15 CJ-15 A-1 100 b-15 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 比較例16 CJ-16 A-1 100 b-16 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 比較例17 CJ-17 A-1 100 b-17 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 比較例18 CJ-18 A-1 100 b-18 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 比較例19 CJ-19 A-1 100 b-19 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 [Table 4] Radiation sensitive resin composition [A] Polymer [B] Acid generator [C] Acid diffusion control agent [E] Polymer [D] Organic solvent type Content (mass parts) type Content (mass parts) type Content (mass parts) type Content (mass parts) type Content (mass parts) Example 1 J-1 A-1 100 B-1 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 2 J-2 A-1 100 B-2 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 3 J-3 A-1 100 B-3 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 4 J-4 A-1 100 B-4 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 5 J-5 A-1 100 B-5 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 6 J-6 A-1 100 B-6 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 7 J-7 A-1 100 B-7 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 8 J-8 A-1 100 B-8 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 9 J-9 A-1 100 B-9 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 10 J-10 A-1 100 B-10 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 11 J-11 A-1 100 B-11 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 12 J-12 A-1 100 B-12 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 13 J-13 A-1 100 B-13 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 14 J-14 A-1 100 B-14 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 15 J-15 A-1 100 B-15 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 16 J-16 A-1 100 B-16 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 17 J-17 A-1 100 B-17 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 18 J-18 A-1 100 B-18 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 19 J-19 A-1 100 B-19 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 20 J-20 A-1 100 B-20 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 21 J-21 A-1 100 B-21 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 22 J-22 A-2 100 B-1 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 23 J-23 A-3 100 B-1 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 24 J-24 A-4 100 B-1 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 25 J-25 A-5 100 B-1 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 26 J-26 A-6 100 B-1 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 27 J-27 A-7 100 B-1 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 28 J-28 A-8 100 B-1 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 29 J-29 A-9 100 B-1 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 30 J-30 A-10 100 B-1 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 31 J-31 A-11 100 B-1 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 32 J-32 A-1 100 B-1 12.0 C-2 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 33 J-33 A-1 100 B-1 12.0 C-3 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 34 J-34 A-1 100 B-1 12.0 C-4 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 35 J-35 A-1 100 B-1 12.0 C-5 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 36 J-36 A-1 100 B-1 12.0 C-1 6.0 E-2 3.0 D-1/D-2/D-3 2240/960/30 Example 37 J-37 A-1 100 B-1 12.0 C-1 6.0 E-3 3.0 D-1/D-2/D-3 2240/960/30 Example 38 J-38 A-1 100 B-1 12.0 C-1 6.0 E-4 3.0 D-1/D-2/D-3 2240/960/30 Example 39 J-39 A-1 100 B-1 2.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 40 J-40 A-1 100 B-1 7.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 41 J-41 A-1 100 B-1 20.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 42 J-42 A-1 100 B-1 12.0 C-1/C-4 3.0/3.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 43 J-43 A-1 100 B-1 12.0 C-4/C-5 3.0/3.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 44 J-44 A-1 100 B-1/b-1 8.0/4.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 45 J-45 A-1 100 B-1/b-2 8.0/4.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 46 J-46 A-1 100 B-1/b-3 8.0/4.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Comparative Example 1 CJ-1 A-1 100 b-1 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Comparative Example 2 CJ-2 A-1 100 b-2 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Comparative Example 3 CJ-3 A-1 100 b-3 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Comparative Example 4 CJ-4 A-1 100 b-4 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Comparative Example 5 CJ-5 A-1 100 b-5 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Comparative Example 6 CJ-6 A-1 100 b-6 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Comparative Example 7 CJ-7 A-1 100 b-7 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Comparative Example 8 CJ-8 A-1 100 b-8 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Comparative Example 9 CJ-9 A-1 100 b-9 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Comparative Example 10 CJ-10 A-1 100 b-10 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Comparative Example 11 CJ-11 A-1 100 b-11 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Comparative Example 12 CJ-12 A-1 100 b-12 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Comparative Example 13 CJ-13 A-1 100 b-13 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Comparative Example 14 CJ-14 A-1 100 b-14 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Comparative Example 15 CJ-15 A-1 100 b-15 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Comparative Example 16 CJ-16 A-1 100 b-16 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Comparative Example 17 CJ-17 A-1 100 b-17 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Comparative Example 18 CJ-18 A-1 100 b-18 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Comparative Example 19 CJ-19 A-1 100 b-19 12.0 C-1 6.0 E-1 3.0 D-1/D-2/D-3 2240/960/30

<使用ArF曝光用負型感放射線性樹脂組成物的抗蝕劑圖案的形成> 使用旋塗機(東京電子(Tokyo Electron)(股)的「CLEAN TRACK ACT12」),將下層抗反射膜形成用組成物(布魯爾科技(Brewer Science)公司的「ARC66」)塗佈於12英吋的矽晶圓上後,於205℃下加熱60秒鐘,藉此形成平均厚度100 nm的下層抗反射膜。使用所述旋塗機將所述製備的ArF曝光用負型感放射線性樹脂組成物(J-63)塗佈於所述下層抗反射膜上,並於100℃下進行60秒鐘預烘烤(PB)。其後,於23℃下冷卻30秒鐘,藉此形成平均厚度90 nm的抗蝕劑膜。 <Formation of a resist pattern using a negative radiation-sensitive resin composition for ArF exposure> Using a spin coater (“CLEAN TRACK ACT12” from Tokyo Electron Co., Ltd.), a composition for forming a lower layer antireflection film (“ARC66” from Brewer Science Co., Ltd.) was applied on 12 After being deposited on a silicon wafer of 1 inch, it was heated at 205° C. for 60 seconds to form a lower anti-reflection film with an average thickness of 100 nm. Use the spin coater to coat the prepared negative radiation-sensitive resin composition (J-63) for ArF exposure on the lower anti-reflection film, and pre-bake at 100°C for 60 seconds (PB). Then, it cooled at 23 degreeC for 30 second, and formed the resist film with an average thickness of 90 nm.

其次,使用ArF準分子雷射液浸曝光裝置(ASML公司的「TWINSCAN XT-1900i」),以NA=1.35、環形(Annular)(σ=0.8/0.6)的光學條件,介隔40 nm孔、105 nm間距的遮罩圖案,對所述抗蝕劑膜進行曝光。曝光後,於100℃下進行60秒鐘曝光後烘烤(PEB)。其後,使用乙酸正丁酯作為有機溶媒顯影液,對所述抗蝕劑膜進行有機溶媒顯影,並進行乾燥,藉此形成負型的抗蝕劑圖案(40 nm孔、105 nm間距)。Next, an ArF excimer laser liquid immersion exposure device (“TWINSCAN XT-1900i” from ASML) was used, under the optical conditions of NA=1.35, Annular (σ=0.8/0.6), with a hole of 40 nm apart, The resist film was exposed to a mask pattern of 105 nm pitch. After exposure, a post-exposure bake (PEB) was performed at 100°C for 60 seconds. Thereafter, the resist film was developed with an organic solvent using n-butyl acetate as an organic solvent developer, and dried to form a negative-type resist pattern (40 nm hole, 105 nm pitch).

<評價> 針對使用所述ArF曝光用負型感放射線性樹脂組成物所形成的抗蝕劑圖案,根據下述方法評價感度、CDU性能、圖案矩形性及耐蝕刻性。將其結果示於下述表5。再者,對於抗蝕劑圖案的測長,使用掃描式電子顯微鏡(日立高新科技(Hitachi High-Technologies)(股)的「CG-5000」)。 <Evaluation> With respect to the resist pattern formed using the negative radiation-sensitive resin composition for ArF exposure, sensitivity, CDU performance, pattern squareness, and etching resistance were evaluated according to the following methods. The results are shown in Table 5 below. In addition, for the length measurement of the resist pattern, a scanning electron microscope (“CG-5000” of Hitachi High-Technologies Co., Ltd.) was used.

[感度] 於使用所述ArF曝光用負型感放射線性樹脂組成物的抗蝕劑圖案的形成中,將形成40 nm孔圖案的曝光量設為最佳曝光量,將該最佳曝光量設為感度(mJ/cm 2)。關於感度,將30 mJ/cm 2以下的情況評價為「良好」,將超過30 mJ/cm 2的情況評價為「不良」。 [Sensitivity] In the formation of the resist pattern using the negative radiation-sensitive resin composition for ArF exposure, the exposure amount for forming a 40 nm hole pattern was set as the optimum exposure amount, and the optimum exposure amount was set as the optimum exposure amount. is the sensitivity (mJ/cm 2 ). Regarding the sensitivity, the case of 30 mJ/cm 2 or less was evaluated as "good", and the case of more than 30 mJ/cm 2 was evaluated as "poor".

[CDU性能] 使用所述掃描式電子顯微鏡,自圖案上部於任意的點對合計1,800個40 nm孔、105 nm間距的抗蝕劑圖案進行測長。求出尺寸的偏差(3σ),並將其設為CDU性能(nm)。CDU的值越小,表示長週期下的孔徑的偏差越小而良好。關於CDU性能,將2.5 nm以下的情況評價為「良好」,將超過2.5 nm的情況評價為「不良」。 [CDU performance] Using the scanning electron microscope, a total of 1,800 resist patterns with holes of 40 nm and a pitch of 105 nm were measured at arbitrary points from the top of the pattern. Variation in size (3σ) was obtained and set as CDU performance (nm). The smaller the value of CDU, the smaller the deviation of the pore diameter in the long period, and the better. Regarding CDU performance, the case of 2.5 nm or less was evaluated as "good", and the case of more than 2.5 nm was evaluated as "poor".

[焦點深度] 對於在所述感度的評價中求出的最佳曝光量中經解析的抗蝕劑圖案,觀測使焦點在深度方向上發生變化時的尺寸,並測定於無橋接或殘渣的狀態下圖案尺寸進入基準的90%~110%的深度方向的裕度(margin),將該測定值設為焦點深度(nm)。其值越大,表示焦點深度越良好。關於焦點深度,可將50 nm以上的情況評價為「良好」,將未滿50 nm的情況評價為「不良」。 [Depth of Focus] The size of the resist pattern analyzed in the optimum exposure amount obtained in the evaluation of the sensitivity was observed when the focal point was changed in the depth direction, and the size of the pattern was measured when there was no bridge or residue. The depth direction margin (margin) of 90% to 110% of the reference, and the measured value is referred to as the depth of focus (nm). The larger the value, the better the depth of focus. Regarding the depth of focus, the case of 50 nm or more can be evaluated as "good", and the case of less than 50 nm can be evaluated as "poor".

[圖案矩形性] 對於照射在所述感度的評價中求出的最佳曝光量而形成的40 nm孔空間的抗蝕劑圖案,使用所述掃描型電子顯微鏡進行觀察,評價了該孔圖案的剖面形狀。對於抗蝕劑圖案的矩形性,剖面形狀中的下邊的長度與上邊的長度之比若為1以上且1.05以下則評價為「A」(極其良好),若超過1.05且1.10以下則評價為「B」(良好),若超過1.10則評價為「C」(不良)。 [pattern rectangularity] The 40 nm hole space of the resist pattern formed by irradiating the optimum exposure amount determined in the evaluation of the sensitivity was observed using the scanning electron microscope, and the cross-sectional shape of the hole pattern was evaluated. Regarding the rectangularity of the resist pattern, when the ratio of the length of the lower side to the length of the upper side in the cross-sectional shape was 1 or more and 1.05 or less, it was evaluated as "A" (extremely good), and when it exceeded 1.05 and 1.10 or less, it was evaluated as "A" (very good). B" (good), and if it exceeded 1.10, it was evaluated as "C" (bad).

[耐蝕刻性] 利用旋轉塗佈法將所述製備的抗蝕劑組成物塗佈於矽晶圓基板上。其次,於大氣環境下,於100℃下進行60秒加熱,形成平均厚度100 nm的抗蝕劑膜,而獲得於基板上形成有抗蝕劑膜的帶有抗蝕劑膜的基板。對於所獲得的帶有抗蝕劑膜的基板中的抗蝕劑膜,使用蝕刻裝置(東京電子(Tokyo Electron)公司的「塔卡翠絲(TACTRAS)」),於O 2=100 sccm、PRESS.=100 mT、HF RF=200 W、LF RF=0 W、DCS=0 V的條件下進行處理,根據抗蝕劑膜的消失所需要的時間來算出蝕刻速度(nm/分),求出相對於比較例1的蝕刻速度的比率,並設為耐蝕刻性的標準。關於耐蝕刻性,將所述比率為0.90以上且0.95以下的情況評價為「A」(極其良好),將超過0.95且1.00以下的情況評價為「B」(良好),將超過1.00的情況評價為「C」(不良)。再者,表5中的「-」表示比較例1為耐蝕刻性的評價的基準。 [Etching Resistance] The prepared resist composition was applied on a silicon wafer substrate by a spin coating method. Next, heating was performed at 100° C. for 60 seconds in an atmospheric environment to form a resist film having an average thickness of 100 nm to obtain a substrate with a resist film in which a resist film was formed on the substrate. The resist film in the obtained resist film-attached substrate was subjected to O 2 =100 sccm, PRESS using an etching apparatus (“TACTRAS” from Tokyo Electron Co., Ltd.). .=100 mT, HF RF=200 W, LF RF=0 W, DCS=0 V, and the etching rate (nm/min) was calculated from the time required for the disappearance of the resist film to obtain The ratio with respect to the etching rate of the comparative example 1 was made into the standard of etching resistance. Regarding the etching resistance, when the ratio was 0.90 or more and 0.95 or less, it was evaluated as "A" (very good), when it exceeded 0.95 and 1.00 or less, it was evaluated as "B" (good), and when it exceeded 1.00, it was evaluated as "B" (good) "C" (defective). In addition, "-" in Table 5 shows that the comparative example 1 is the reference|standard of the evaluation of etching resistance.

[顯影缺陷數] 以最佳曝光量對抗蝕劑膜進行曝光而形成40 nm的孔圖案,作為缺陷檢查用晶圓。使用缺陷檢查裝置(科磊(KLA-Tencor)公司的「KLA2810」)來測定該缺陷檢查用晶圓上的缺陷數。而且,將所述測定的缺陷分類為:經判斷為來源於抗蝕劑膜的缺陷與來源於外部的異物,算出經判斷為來源於抗蝕劑膜的缺陷的數量。對於顯影後缺陷數,於該經判斷為來源於抗蝕劑膜的缺陷的數量為50個以下的情況下評價為「良好」,於超過50個的情況下評價為「不良」。 [Number of development defects] The resist film was exposed with the optimum exposure amount to form a hole pattern of 40 nm, and it was used as a wafer for defect inspection. The number of defects on the wafer for defect inspection was measured using a defect inspection apparatus (“KLA2810” from KLA-Tencor Corporation). Then, the measured defects were classified into defects judged to be derived from the resist film and foreign matter originating from the outside, and the number of defects judged to be derived from the resist film was calculated. The number of defects after development was evaluated as "good" when the number of defects judged to be derived from the resist film was 50 or less, and "defective" when it exceeded 50.

[表5]    感放射線性 樹脂組成物 感度 (mJ/cm 2) CDU (nm) 焦點深度 (nm) 圖案 矩形性 耐蝕刻性 顯影缺陷數 (個) 實施例1 J-1 25 1.8 80 A A 30 實施例2 J-2 20 1.9 100 A A 35 實施例3 J-3 26 2.3 70 A A 34 實施例4 J-4 21 2.0 110 A A 46 實施例5 J-5 24 2.2 80 A A 29 實施例6 J-6 23 1.7 90 A A 32 實施例7 J-7 26 2.4 70 A A 30 實施例8 J-8 25 2.1 70 A A 43 實施例9 J-9 23 1.8 100 A A 40 實施例10 J-10 20 2.3 100 A A 29 實施例11 J-11 24 2.0 90 A A 22 實施例12 J-12 23 2.1 70 A A 45 實施例13 J-13 28 1.7 110 A A 34 實施例14 J-14 23 1.9 100 A A 33 實施例15 J-15 27 2.1 70 A A 27 實施例16 J-16 27 2.3 80 A A 39 實施例17 J-17 25 2.2 90 A A 20 實施例18 J-18 26 2.3 90 A A 29 實施例19 J-19 22 1.8 100 A A 13 實施例20 J-20 25 2.1 90 A A 34 實施例21 J-21 27 2.3 80 A A 22 實施例22 J-22 24 1.9 80 A A 35 實施例23 J-23 23 2.0 80 A A 32 實施例24 J-24 25 2.0 90 A A 28 實施例25 J-25 25 2.1 70 A A 29 實施例26 J-26 26 1.8 90 A A 39 實施例27 J-27 24 1.7 80 A A 26 實施例28 J-28 25 2.0 90 A A 31 實施例29 J-29 24 2.1 80 A A 30 實施例30 J-30 23 2.0 80 A A 26 實施例31 J-31 23 1.8 70 A A 32 實施例32 J-32 27 1.8 70 A A 33 實施例33 J-33 28 1.9 70 A A 31 實施例34 J-34 24 2.0 80 A A 40 實施例35 J-35 25 1.9 70 A A 32 實施例36 J-36 25 1.8 80 A A 35 實施例37 J-37 25 1.8 80 A A 43 實施例38 J-38 26 1.9 80 A A 25 實施例39 J-39 28 2.3 70 A A 40 實施例40 J-40 26 2.0 70 A A 34 實施例41 J-41 22 2.2 80 A A 38 實施例42 J-42 25 1.9 80 A A 33 實施例43 J-43 26 2.1 90 A A 30 實施例44 J-44 23 2.3 90 A A 43 實施例45 J-45 25 2.2 70 A A 44 實施例46 J-46 24 2.4 70 A A 39 比較例1 CJ-1 35 3.1 40 B - 72 比較例2 CJ-2 33 3.3 30 C C 110 比較例3 CJ-3 32 3.4 40 C C 131 比較例4 CJ-4 40 3.0 40 C B 148 比較例5 CJ-5 35 2.7 30 B C 152 比較例6 CJ-6 36 2.8 30 C C 124 比較例7 CJ-7 33 2.9 40 C C 111 比較例8 CJ-8 32 3.0 30 C C 138 比較例9 CJ-9 33 2.6 30 B C 67 比較例10 CJ-10 31 2.8 40 C C 89 比較例11 CJ-11 35 2.6 30 C C 92 比較例12 CJ-12 32 2.9 20 B C 123 比較例13 CJ-13 33 2.7 40 C C 78 比較例14 CJ-14 34 2.8 30 B C 67 比較例15 CJ-15 34 2.7 30 C B 77 比較例16 CJ-16 33 2.7 40 C C 161 比較例17 CJ-17 35 3.0 30 C B 88 比較例18 CJ-18 36 2.7 40 C C 69 比較例19 CJ-19 34 2.9 30 C C 157 [table 5] Radiation sensitive resin composition Sensitivity (mJ/cm 2 ) CDU (nm) Depth of focus (nm) pattern rectangle Etch resistance Number of developing defects (pieces) Example 1 J-1 25 1.8 80 A A 30 Example 2 J-2 20 1.9 100 A A 35 Example 3 J-3 26 2.3 70 A A 34 Example 4 J-4 twenty one 2.0 110 A A 46 Example 5 J-5 twenty four 2.2 80 A A 29 Example 6 J-6 twenty three 1.7 90 A A 32 Example 7 J-7 26 2.4 70 A A 30 Example 8 J-8 25 2.1 70 A A 43 Example 9 J-9 twenty three 1.8 100 A A 40 Example 10 J-10 20 2.3 100 A A 29 Example 11 J-11 twenty four 2.0 90 A A twenty two Example 12 J-12 twenty three 2.1 70 A A 45 Example 13 J-13 28 1.7 110 A A 34 Example 14 J-14 twenty three 1.9 100 A A 33 Example 15 J-15 27 2.1 70 A A 27 Example 16 J-16 27 2.3 80 A A 39 Example 17 J-17 25 2.2 90 A A 20 Example 18 J-18 26 2.3 90 A A 29 Example 19 J-19 twenty two 1.8 100 A A 13 Example 20 J-20 25 2.1 90 A A 34 Example 21 J-21 27 2.3 80 A A twenty two Example 22 J-22 twenty four 1.9 80 A A 35 Example 23 J-23 twenty three 2.0 80 A A 32 Example 24 J-24 25 2.0 90 A A 28 Example 25 J-25 25 2.1 70 A A 29 Example 26 J-26 26 1.8 90 A A 39 Example 27 J-27 twenty four 1.7 80 A A 26 Example 28 J-28 25 2.0 90 A A 31 Example 29 J-29 twenty four 2.1 80 A A 30 Example 30 J-30 twenty three 2.0 80 A A 26 Example 31 J-31 twenty three 1.8 70 A A 32 Example 32 J-32 27 1.8 70 A A 33 Example 33 J-33 28 1.9 70 A A 31 Example 34 J-34 twenty four 2.0 80 A A 40 Example 35 J-35 25 1.9 70 A A 32 Example 36 J-36 25 1.8 80 A A 35 Example 37 J-37 25 1.8 80 A A 43 Example 38 J-38 26 1.9 80 A A 25 Example 39 J-39 28 2.3 70 A A 40 Example 40 J-40 26 2.0 70 A A 34 Example 41 J-41 twenty two 2.2 80 A A 38 Example 42 J-42 25 1.9 80 A A 33 Example 43 J-43 26 2.1 90 A A 30 Example 44 J-44 twenty three 2.3 90 A A 43 Example 45 J-45 25 2.2 70 A A 44 Example 46 J-46 twenty four 2.4 70 A A 39 Comparative Example 1 CJ-1 35 3.1 40 B - 72 Comparative Example 2 CJ-2 33 3.3 30 C C 110 Comparative Example 3 CJ-3 32 3.4 40 C C 131 Comparative Example 4 CJ-4 40 3.0 40 C B 148 Comparative Example 5 CJ-5 35 2.7 30 B C 152 Comparative Example 6 CJ-6 36 2.8 30 C C 124 Comparative Example 7 CJ-7 33 2.9 40 C C 111 Comparative Example 8 CJ-8 32 3.0 30 C C 138 Comparative Example 9 CJ-9 33 2.6 30 B C 67 Comparative Example 10 CJ-10 31 2.8 40 C C 89 Comparative Example 11 CJ-11 35 2.6 30 C C 92 Comparative Example 12 CJ-12 32 2.9 20 B C 123 Comparative Example 13 CJ-13 33 2.7 40 C C 78 Comparative Example 14 CJ-14 34 2.8 30 B C 67 Comparative Example 15 CJ-15 34 2.7 30 C B 77 Comparative Example 16 CJ-16 33 2.7 40 C C 161 Comparative Example 17 CJ-17 35 3.0 30 C B 88 Comparative Example 18 CJ-18 36 2.7 40 C C 69 Comparative Example 19 CJ-19 34 2.9 30 C C 157

如根據表5的結果而明確般,實施例的感放射線性樹脂組成物於用於ArF曝光的情況下,感度、CDU性能、焦點深度、圖案矩形性、耐蝕刻性及顯影缺陷性能良好,相對於此,於比較例中與實施例相比,各特性差。因而,於將實施例的感放射線性樹脂組成物用於ArF曝光的情況下,可以高感度形成CDU性能及剖面形狀良好的抗蝕劑圖案。As is clear from the results in Table 5, when the radiation-sensitive resin compositions of the examples were used for ArF exposure, the sensitivity, CDU performance, depth of focus, pattern squareness, etching resistance and development defect performance were good, relatively Here, in the comparative example, each characteristic is inferior to that of the example. Therefore, when the radiation-sensitive resin composition of the Example is used for ArF exposure, a resist pattern with good CDU performance and cross-sectional shape can be formed with high sensitivity.

[極紫外線(EUV)曝光用感放射線性樹脂組成物的製備] [實施例47] 混合作為[A]樹脂的(A-12)100質量份、作為[B]感放射線性酸產生劑的(B-1)17.0質量份、作為[C]酸擴散控制劑的(C-2)10.0質量份、作為[E]高氟含量樹脂的(E-5)3.0質量份(固體成分)、以及作為[D]溶劑的(D-1)/(D-4)的混合溶媒6,110質量份,利用孔徑0.2 μm的膜濾器進行過濾,藉此製備感放射線性樹脂組成物(J-47)。 [Preparation of radiation-sensitive resin composition for extreme ultraviolet (EUV) exposure] [Example 47] 100 parts by mass of (A-12) as [A] resin, 17.0 parts by mass of (B-1) as [B] radiation-sensitive acid generator, and (C-2) as [C] acid diffusion control agent were mixed 10.0 parts by mass, 3.0 parts by mass (solid content) of (E-5) as [E] high fluorine content resin, and 6,110 parts by mass of a mixed solvent of (D-1)/(D-4) as [D] solvent , and filtered through a membrane filter with a pore size of 0.2 μm to prepare a radiation-sensitive resin composition (J-47).

[實施例48~實施例59以及比較例20~比較例27] 使用下述表6所示的種類及含量的各成分,除此以外與實施例42同樣地製備感放射線性樹脂組成物(J-48)~感放射線性樹脂組成物(J-59)及感放射線性樹脂組成物(CJ-20)~感放射線性樹脂組成物(CJ-27)。 [Example 48 to Example 59 and Comparative Example 20 to Comparative Example 27] A radiation-sensitive resin composition (J-48) to a radiation-sensitive resin composition (J-59) and a radiation-sensitive resin composition (J-59) and a radiation-sensitive resin composition (J-59) were prepared in the same manner as in Example 42, except that each component of the type and content shown in the following Table 6 was used. Radiation resin composition (CJ-20) to radiation sensitive resin composition (CJ-27).

[表6]    感放射線性 樹脂組成物 [A]聚合體 [B]酸產生劑 [C]酸擴散控制劑 [E]聚合體 [D]有機溶劑 種類 含量 (質量份) 種類 含量 (質量份) 種類 含量 (質量份) 種類 含量 (質量份) 種類 含量 (質量份) 實施例47 J-47 A-12 100 B-1 17.0 C-2 10.0 E-5 3.0 D-1/D-4 4280/1830 實施例48 J-48 A-12 100 B-2 17.0 C-2 10.0 E-5 3.0 D-1/D-4 4280/1830 實施例49 J-49 A-12 100 B-4 17.0 C-2 10.0 E-5 3.0 D-1/D-4 4280/1830 實施例50 J-50 A-12 100 B-9 17.0 C-2 10.0 E-5 3.0 D-1/D-4 4280/1830 實施例51 J-51 A-12 100 B-10 17.0 C-2 10.0 E-5 3.0 D-1/D-4 4280/1830 實施例52 J-52 A-12 100 B-11 17.0 C-2 10.0 E-5 3.0 D-1/D-4 4280/1830 實施例53 J-53 A-12 100 B-12 17.0 C-2 10.0 E-5 3.0 D-1/D-4 4280/1830 實施例54 J-54 A-12 100 B-13 17.0 C-2 10.0 E-5 3.0 D-1/D-4 4280/1830 實施例55 J-55 A-12 100 B-14 17.0 C-2 10.0 E-5 3.0 D-1/D-4 4280/1830 實施例56 J-56 A-13 100 B-1 17.0 C-2 10.0 E-5 3.0 D-1/D-4 4280/1830 實施例57 J-57 A-14 100 B-1 17.0 C-2 10.0 E-5 3.0 D-1/D-4 4280/1830 實施例58 J-58 A-15 100 B-1 17.0 C-2 10.0 E-5 3.0 D-1/D-4 4280/1830 實施例59 J-59 A-12 100 B-1 17.0 C-4 10.0 E-5 3.0 D-1/D-4 4280/1830 比較例20 CJ-20 A-12 100 b-4 17.0 C-2 10.0 E-5 3.0 D-1/D-4 4280/1830 比較例21 CJ-21 A-12 100 b-5 17.0 C-2 10.0 E-5 3.0 D-1/D-4 4280/1830 比較例22 CJ-22 A-12 100 b-6 17.0 C-2 10.0 E-5 3.0 D-1/D-4 4280/1830 比較例23 CJ-23 A-12 100 b-9 17.0 C-2 10.0 E-5 3.0 D-1/D-4 4280/1830 比較例24 CJ-24 A-12 100 b-12 17.0 C-2 10.0 E-5 3.0 D-1/D-4 4280/1830 比較例25 CJ-25 A-12 100 b-14 17.0 C-2 10.0 E-5 3.0 D-1/D-4 4280/1830 比較例26 CJ-26 A-12 100 b-15 17.0 C-2 10.0 E-5 3.0 D-1/D-4 4280/1830 比較例27 CJ-27 A-12 100 b-18 17.0 C-2 10.0 E-5 3.0 D-1/D-4 4280/1830 [Table 6] Radiation sensitive resin composition [A] Polymer [B] Acid generator [C] Acid diffusion control agent [E] Polymer [D] Organic solvent type Content (mass parts) type Content (mass parts) type Content (mass parts) type Content (mass parts) type Content (mass parts) Example 47 J-47 A-12 100 B-1 17.0 C-2 10.0 E-5 3.0 D-1/D-4 4280/1830 Example 48 J-48 A-12 100 B-2 17.0 C-2 10.0 E-5 3.0 D-1/D-4 4280/1830 Example 49 J-49 A-12 100 B-4 17.0 C-2 10.0 E-5 3.0 D-1/D-4 4280/1830 Example 50 J-50 A-12 100 B-9 17.0 C-2 10.0 E-5 3.0 D-1/D-4 4280/1830 Example 51 J-51 A-12 100 B-10 17.0 C-2 10.0 E-5 3.0 D-1/D-4 4280/1830 Example 52 J-52 A-12 100 B-11 17.0 C-2 10.0 E-5 3.0 D-1/D-4 4280/1830 Example 53 J-53 A-12 100 B-12 17.0 C-2 10.0 E-5 3.0 D-1/D-4 4280/1830 Example 54 J-54 A-12 100 B-13 17.0 C-2 10.0 E-5 3.0 D-1/D-4 4280/1830 Example 55 J-55 A-12 100 B-14 17.0 C-2 10.0 E-5 3.0 D-1/D-4 4280/1830 Example 56 J-56 A-13 100 B-1 17.0 C-2 10.0 E-5 3.0 D-1/D-4 4280/1830 Example 57 J-57 A-14 100 B-1 17.0 C-2 10.0 E-5 3.0 D-1/D-4 4280/1830 Example 58 J-58 A-15 100 B-1 17.0 C-2 10.0 E-5 3.0 D-1/D-4 4280/1830 Example 59 J-59 A-12 100 B-1 17.0 C-4 10.0 E-5 3.0 D-1/D-4 4280/1830 Comparative Example 20 CJ-20 A-12 100 b-4 17.0 C-2 10.0 E-5 3.0 D-1/D-4 4280/1830 Comparative Example 21 CJ-21 A-12 100 b-5 17.0 C-2 10.0 E-5 3.0 D-1/D-4 4280/1830 Comparative Example 22 CJ-22 A-12 100 b-6 17.0 C-2 10.0 E-5 3.0 D-1/D-4 4280/1830 Comparative Example 23 CJ-23 A-12 100 b-9 17.0 C-2 10.0 E-5 3.0 D-1/D-4 4280/1830 Comparative Example 24 CJ-24 A-12 100 b-12 17.0 C-2 10.0 E-5 3.0 D-1/D-4 4280/1830 Comparative Example 25 CJ-25 A-12 100 b-14 17.0 C-2 10.0 E-5 3.0 D-1/D-4 4280/1830 Comparative Example 26 CJ-26 A-12 100 b-15 17.0 C-2 10.0 E-5 3.0 D-1/D-4 4280/1830 Comparative Example 27 CJ-27 A-12 100 b-18 17.0 C-2 10.0 E-5 3.0 D-1/D-4 4280/1830

<使用EUV曝光用感放射線性樹脂組成物的抗蝕劑圖案的形成> 使用旋塗機(東京電子(Tokyo Electron)(股)的「CLEAN TRACK ACT12」),將下層抗反射膜形成用組成物(布魯爾科技(Brewer Science)公司的「ARC66」)塗佈於12英吋的矽晶圓上後,於205℃下加熱60秒鐘,藉此形成平均厚度105 nm的下層抗反射膜。使用所述旋塗機將所述製備的EUV曝光用感放射線性樹脂組成物塗佈於所述下層抗反射膜上,並於130℃下進行60秒鐘PB。其後,於23℃下冷卻30秒鐘,藉此形成平均厚度55 nm的抗蝕劑膜。 <Formation of resist pattern using radiation-sensitive resin composition for EUV exposure> Using a spin coater (“CLEAN TRACK ACT12” from Tokyo Electron Co., Ltd.), a composition for forming a lower layer antireflection film (“ARC66” from Brewer Science Co., Ltd.) was applied on 12 After being deposited on a silicon wafer of 1.5 inches, it was heated at 205°C for 60 seconds to form a lower anti-reflection film with an average thickness of 105 nm. The prepared radiation-sensitive resin composition for EUV exposure was coated on the lower antireflection film using the spin coater, and PB was performed at 130° C. for 60 seconds. Then, it cooled at 23 degreeC for 30 second, and formed the resist film with an average thickness of 55 nm.

其次,使用EUV曝光裝置(艾斯摩爾(ASML)公司的「NXE3300」),以NA=0.33、照明條件:常規型(Conventional) s=0.89、遮罩:imecDEFECT32FFR02對所述抗蝕劑膜進行曝光。曝光後,於120℃下進行60秒鐘PEB。其後,使用2.38質量%的TMAH水溶液作為鹼性顯影液,對所述抗蝕劑膜進行鹼顯影,於顯影後利用水進行清洗,進而進行乾燥,藉此形成正型的抗蝕劑圖案(32 nm線與空間圖案)。Next, using an EUV exposure apparatus (“NXE3300” from ASML), the resist film was exposed to NA=0.33, illumination condition: Conventional s=0.89, mask: imecDEFECT32FFR02 . After exposure, PEB was performed at 120°C for 60 seconds. Then, using 2.38 mass % TMAH aqueous solution as an alkaline developer, the resist film was subjected to alkaline development, washed with water after development, and further dried to form a positive-type resist pattern ( 32 nm line and space pattern).

<評價> 針對使用所述EUV曝光用感放射線性樹脂組成物所形成的抗蝕劑圖案,根據下述方法評價感度、LWR性能、圖案矩形性及耐蝕刻性。將其結果示於下述表7。再者,對於抗蝕劑圖案的測長,使用掃描式電子顯微鏡(日立高新科技(Hitachi High-Technologies)(股)的「CG-5000」)。 <Evaluation> With respect to the resist pattern formed using the radiation-sensitive resin composition for EUV exposure, sensitivity, LWR performance, pattern squareness, and etching resistance were evaluated according to the following methods. The results are shown in Table 7 below. In addition, for the length measurement of the resist pattern, a scanning electron microscope (“CG-5000” of Hitachi High-Technologies Co., Ltd.) was used.

[感度] 於使用所述EUV曝光用感放射線性樹脂組成物的抗蝕劑圖案的形成中,將形成32 nm線與空間圖案的曝光量設為最佳曝光量,將所述最佳曝光量設為感度(mJ/cm 2)。關於感度,將30 mJ/cm 2以下的情況評價為「良好」,將超過30 mJ/cm 2的情況評價為「不良」。 [Sensitivity] In the formation of the resist pattern using the radiation-sensitive resin composition for EUV exposure, the exposure amount for forming a 32 nm line and space pattern was set as the optimum exposure amount, and the optimum exposure amount was set as the optimum exposure amount. Let it be the sensitivity (mJ/cm 2 ). Regarding the sensitivity, the case of 30 mJ/cm 2 or less was evaluated as "good", and the case of more than 30 mJ/cm 2 was evaluated as "poor".

[LWR性能] 照射所述感度的評價中求出的最佳曝光量,以形成32 nm線與空間圖案的方式調整遮罩尺寸,而形成抗蝕劑圖案。使用所述掃描式電子顯微鏡,自圖案上部觀察所形成的抗蝕劑圖案。測定合計500處的線寬的偏差,並根據其測定值的分佈來求出3西格瑪值,將所述3西格瑪值設為LWR(nm)。LWR的值越小,表示線的偏差越小而良好。關於LWR性能,將3.0 nm以下的情況評價為「良好」,將超過3.0 nm的情況評價為「不良」。 [LWR performance] The optimum exposure amount determined in the evaluation of the sensitivity was irradiated, and the mask size was adjusted so as to form a 32 nm line-and-space pattern to form a resist pattern. Using the scanning electron microscope, the formed resist pattern was observed from the upper part of the pattern. A total of 500 line width deviations were measured, and a 3-sigma value was obtained from the distribution of the measured values, and the 3-sigma value was defined as LWR (nm). The smaller the value of LWR is, the smaller the deviation of the line is and the better. Regarding the LWR performance, the case of 3.0 nm or less was evaluated as "good", and the case of more than 3.0 nm was evaluated as "poor".

[圖案矩形性] 對於照射在所述感度的評價中求出的最佳曝光量而形成的32 nm線與空間的抗蝕劑圖案,使用所述掃描型電子顯微鏡進行觀察,評價了該線與空間圖案的剖面形狀。對於抗蝕劑圖案的矩形性,剖面形狀中的下邊的長度與上邊的長度之比若為1以上且1.05以下則評價為「A」(極其良好),若超過1.05且1.10以下則評價為「B」(良好),若超過1.10則評價為「C」(不良)。 [pattern rectangularity] The 32 nm line-and-space resist pattern formed by irradiating the optimum exposure amount obtained in the evaluation of the sensitivity was observed using the scanning electron microscope, and the cross-sectional shape of the line-and-space pattern was evaluated. . Regarding the rectangularity of the resist pattern, when the ratio of the length of the lower side to the length of the upper side in the cross-sectional shape was 1 or more and 1.05 or less, it was evaluated as "A" (extremely good), and when it exceeded 1.05 and 1.10 or less, it was evaluated as "A" (very good). B" (good), and if it exceeded 1.10, it was evaluated as "C" (bad).

[耐蝕刻性] 利用旋轉塗佈法將所述製備的抗蝕劑組成物塗佈於矽晶圓基板上。其次,於大氣環境下,於100℃下進行60秒加熱,形成平均厚度100 nm的抗蝕劑膜,而獲得於基板上形成有抗蝕劑膜的帶有抗蝕劑膜的基板。對於所獲得的帶有抗蝕劑膜的基板中的抗蝕劑膜,使用蝕刻裝置(東京電子(Tokyo Electron)公司的「塔卡翠絲(TACTRAS)」),於O 2=100 sccm、PRESS.=100 mT、HF RF=200 W、LF RF=0 W、DCS=0 V的條件下進行處理,根據抗蝕劑膜的消失所需要的時間來算出蝕刻速度(nm/分),求出相對於比較例20的蝕刻速度的比率,並設為耐蝕刻性的標準。關於耐蝕刻性,將所述比率為0.90以上且0.95以下的情況評價為「A」(極其良好),將超過0.95且1.00以下的情況評價為「B」(良好),將超過1.00的情況評價為「C」(不良)。再者,表7中的「-」表示比較例20為耐蝕刻性的評價的基準。 [Etching Resistance] The prepared resist composition was applied on a silicon wafer substrate by a spin coating method. Next, heating was performed at 100° C. for 60 seconds in an atmospheric environment to form a resist film having an average thickness of 100 nm to obtain a substrate with a resist film in which a resist film was formed on the substrate. The resist film in the obtained substrate with a resist film was subjected to O 2 =100 sccm, PRESS using an etching apparatus (“TACTRAS” from Tokyo Electron Co., Ltd.). .=100 mT, HF RF=200 W, LF RF=0 W, DCS=0 V, and the etching rate (nm/min) was calculated from the time required for the disappearance of the resist film to obtain The ratio with respect to the etching rate of the comparative example 20 was made into the standard of etching resistance. Regarding the etching resistance, when the ratio was 0.90 or more and 0.95 or less, it was evaluated as "A" (very good), when it exceeded 0.95 and 1.00 or less, it was evaluated as "B" (good), and when it exceeded 1.00, it was evaluated as "B" (good) "C" (defective). In addition, "-" in Table 7 shows that the comparative example 20 is the reference|standard of the evaluation of etching resistance.

[表7]    感放射線性 樹脂組成物 感度 (mJ/cm 2 LWR (nm) 圖案 矩形性 耐蝕刻性 實施例47 J-47 28 2.7 A A 實施例48 J-48 23 2.5 A A 實施例49 J-49 24 2.6 A A 實施例50 J-50 27 2.6 A A 實施例51 J-51 25 2.8 A A 實施例52 J-52 27 2.5 A A 實施例53 J-53 26 2.7 A A 實施例54 J-54 27 2.5 A A 實施例55 J-55 28 2.5 A A 實施例56 J-56 27 2.6 A A 實施例57 J-57 28 2.7 A A 實施例58 J-58 28 2.6 A A 實施例59 J-59 23 2.7 A A 比較例20 CJ-20 33 3.4 B - 比較例21 CJ-21 34 3.2 C C 比較例22 CJ-22 32 3.3 C C 比較例23 CJ-23 37 3.5 C C 比較例24 CJ-24 33 3.4 B C 比較例25 CJ-25 32 3.4 C B 比較例26 CJ-26 35 3.8 C B 比較例27 CJ-27 36 3.3 C C [Table 7] Radiation sensitive resin composition Sensitivity (mJ/cm 2 ) LWR (nm) pattern rectangle Etch resistance Example 47 J-47 28 2.7 A A Example 48 J-48 twenty three 2.5 A A Example 49 J-49 twenty four 2.6 A A Example 50 J-50 27 2.6 A A Example 51 J-51 25 2.8 A A Example 52 J-52 27 2.5 A A Example 53 J-53 26 2.7 A A Example 54 J-54 27 2.5 A A Example 55 J-55 28 2.5 A A Example 56 J-56 27 2.6 A A Example 57 J-57 28 2.7 A A Example 58 J-58 28 2.6 A A Example 59 J-59 twenty three 2.7 A A Comparative Example 20 CJ-20 33 3.4 B - Comparative Example 21 CJ-21 34 3.2 C C Comparative Example 22 CJ-22 32 3.3 C C Comparative Example 23 CJ-23 37 3.5 C C Comparative Example 24 CJ-24 33 3.4 B C Comparative Example 25 CJ-25 32 3.4 C B Comparative Example 26 CJ-26 35 3.8 C B Comparative Example 27 CJ-27 36 3.3 C C

如根據表7的結果而明確般,實施例的感放射線性樹脂組成物於用於EUV曝光的情況下,感度、LWR性能、圖案矩形性及耐蝕刻性良好,相對於此,於比較例中與實施例相比,各特性差。As is clear from the results in Table 7, when the radiation-sensitive resin compositions of Examples are used for EUV exposure, the sensitivity, LWR performance, pattern squareness, and etching resistance are good. Each characteristic is inferior to the Example.

[ArF曝光用正型感放射線性樹脂組成物的製備、使用所述組成物的抗蝕劑圖案的形成及評價] [實施例60] 混合作為[A]樹脂的(A-5)100質量份、作為[B]感放射線性酸產生劑的(B-2)11.0質量份、作為[C]酸擴散控制劑的(C-3)3.0質量份、作為[E]高氟含量樹脂的(E-2)3.0質量份(固體成分)、以及作為[D]溶劑的(D-1)/(D-2)/(D-3)的混合溶媒3,230質量份,利用孔徑0.2 μm的膜濾器進行過濾,藉此製備感放射線性樹脂組成物(J-60)。 [Preparation of positive radiation-sensitive resin composition for ArF exposure, formation and evaluation of resist pattern using the composition] [Example 60] 100 parts by mass of (A-5) as [A] resin, 11.0 parts by mass of (B-2) as [B] radiation-sensitive acid generator, and (C-3) as [C] acid diffusion control agent were mixed 3.0 parts by mass, (E-2) 3.0 parts by mass (solid content) as [E] high fluorine content resin, and (D-1)/(D-2)/(D-3) as [D] solvent 3,230 parts by mass of the mixed solvent was filtered through a membrane filter with a pore size of 0.2 μm to prepare a radiation-sensitive resin composition (J-60).

使用旋塗機(東京電子(Tokyo Electron)(股)的「CLEAN TRACK ACT12」),將下層抗反射膜形成用組成物(布魯爾科技(Brewer Science)公司的「ARC66」)塗佈於12英吋的矽晶圓上後,於205℃下加熱60秒鐘,藉此形成平均厚度100 nm的下層抗反射膜。使用所述旋塗機將所述製備的ArF曝光用正型感放射線性樹脂組成物(J-60)塗佈於所述下層抗反射膜上,並於100℃下進行60秒鐘預烘烤(PB)。其後,於23℃下冷卻30秒鐘,藉此形成平均厚度90 nm的抗蝕劑膜。Using a spin coater (“CLEAN TRACK ACT12” from Tokyo Electron Co., Ltd.), a composition for forming a lower layer antireflection film (“ARC66” from Brewer Science Co., Ltd.) was applied on 12 After being deposited on a silicon wafer of 1 inch, it was heated at 205° C. for 60 seconds to form a lower anti-reflection film with an average thickness of 100 nm. Use the spin coater to coat the prepared positive-type radiation-sensitive resin composition (J-60) for ArF exposure on the lower anti-reflection film, and pre-bake at 100° C. for 60 seconds (PB). Then, it cooled at 23 degreeC for 30 second, and formed the resist film with an average thickness of 90 nm.

其次,使用ArF準分子雷射液浸曝光裝置(ASML公司的「TWINSCAN XT-1900i」),以NA=1.35、環形(Annular)(σ=0.8/0.6)的光學條件,介隔40 nm孔、105 nm間距的遮罩圖案,對所述抗蝕劑膜進行曝光。曝光後,於100℃下進行60秒鐘曝光後烘烤(PEB)。其後,使用2.38質量%的TMAH水溶液作為鹼性顯影液,對所述抗蝕劑膜進行鹼顯影,於顯影後利用水進行清洗,進而進行乾燥,藉此形成正型的抗蝕劑圖案(32 nm線與空間圖案)。Next, an ArF excimer laser liquid immersion exposure device (“TWINSCAN XT-1900i” from ASML) was used, under the optical conditions of NA=1.35, Annular (σ=0.8/0.6), with a hole of 40 nm apart, The resist film was exposed to a mask pattern of 105 nm pitch. After exposure, a post-exposure bake (PEB) was performed at 100°C for 60 seconds. Then, using 2.38 mass % TMAH aqueous solution as an alkaline developer, the resist film was subjected to alkaline development, washed with water after development, and further dried to form a positive-type resist pattern ( 32 nm line and space pattern).

針對使用所述ArF曝光用正型感放射線性樹脂組成物的抗蝕劑圖案,與使用所述EUV曝光用正型感放射線性樹脂組成物的抗蝕劑圖案的評價同樣地進行評價。其結果,實施例60的感放射線性樹脂組成物即便於利用ArF曝光形成正型的抗蝕劑圖案的情況下,感度、LWR性能、圖案矩形性及耐蝕刻性亦良好。The resist pattern using the positive radiation-sensitive resin composition for ArF exposure was evaluated in the same manner as the evaluation of the resist pattern using the positive radiation-sensitive resin composition for EUV exposure. As a result, the radiation-sensitive resin composition of Example 60 was excellent in sensitivity, LWR performance, pattern squareness, and etching resistance even when a positive-type resist pattern was formed by ArF exposure.

[EUV曝光用負型感放射線性樹脂組成物的製備、使用所述組成物的抗蝕劑圖案的形成及評價] [實施例61] 混合作為[A]樹脂的(A-15)100質量份、作為[B]感放射線性酸產生劑的(B-4)15.0質量份、作為[C]酸擴散控制劑的(C-2)10.0質量份、作為[E]高氟含量樹脂的(E-5)3.0質量份(固體成分)、以及作為[D]溶劑的(D-1)/(D-4)的混合溶媒6,110質量份,利用孔徑0.2 μm的膜濾器進行過濾,藉此製備感放射線性樹脂組成物(J-61)。 [Preparation of negative radiation-sensitive resin composition for EUV exposure, formation and evaluation of resist pattern using the composition] [Example 61] 100 parts by mass of (A-15) as [A] resin, 15.0 parts by mass of (B-4) as [B] radiation-sensitive acid generator, and (C-2) as [C] acid diffusion control agent were mixed 10.0 parts by mass, 3.0 parts by mass (solid content) of (E-5) as [E] high fluorine content resin, and 6,110 parts by mass of a mixed solvent of (D-1)/(D-4) as [D] solvent , and filtered through a membrane filter with a pore size of 0.2 μm to prepare a radiation-sensitive resin composition (J-61).

使用旋塗機(東京電子(Tokyo Electron)(股)的「CLEAN TRACK ACT12」),將下層抗反射膜形成用組成物(布魯爾科技(Brewer Science)公司的「ARC66」)塗佈於12英吋的矽晶圓上後,於205℃下加熱60秒鐘,藉此形成平均厚度105 nm的下層抗反射膜。使用所述旋塗機將所述製備的EUV曝光用感放射線性樹脂組成物(J-61)塗佈於所述下層抗反射膜上,並於130℃下進行60秒鐘PB。其後,於23℃下冷卻30秒鐘,藉此形成平均厚度55 nm的抗蝕劑膜。Using a spin coater (“CLEAN TRACK ACT12” from Tokyo Electron Co., Ltd.), a composition for forming a lower layer antireflection film (“ARC66” from Brewer Science Co., Ltd.) was applied on 12 After being deposited on a silicon wafer of 1.5 inches, it was heated at 205°C for 60 seconds to form a lower anti-reflection film with an average thickness of 105 nm. The prepared radiation-sensitive resin composition for EUV exposure (J-61) was coated on the lower antireflection film using the spin coater, and PB was performed at 130° C. for 60 seconds. Then, it cooled at 23 degreeC for 30 second, and formed the resist film with an average thickness of 55 nm.

其次,使用EUV曝光裝置(艾斯摩爾(ASML)公司的「NXE3300」),以NA=0.33、照明條件:常規型(Conventional)s=0.89、遮罩:imecDEFECT32FFR02對所述抗蝕劑膜進行曝光。曝光後,於120℃下進行60秒鐘PEB。其後,使用乙酸正丁酯作為有機溶媒顯影液,對所述抗蝕劑膜進行有機溶媒顯影,並進行乾燥,藉此形成負型的抗蝕劑圖案(40 nm孔、105 nm間距)。Next, using an EUV exposure apparatus (“NXE3300” from ASML), the resist film was exposed to NA=0.33, illumination condition: Conventional s=0.89, mask: imecDEFECT32FFR02 . After exposure, PEB was performed at 120°C for 60 seconds. Thereafter, the resist film was developed with an organic solvent using n-butyl acetate as an organic solvent developer, and dried to form a negative-type resist pattern (40 nm hole, 105 nm pitch).

針對使用所述EUV曝光用負型感放射線性樹脂組成物的抗蝕劑圖案,與使用所述ArF曝光用負型感放射線性樹脂組成物的抗蝕劑圖案的評價同樣地進行評價。其結果,實施例61的感放射線性樹脂組成物即便於利用EUV曝光形成負型的抗蝕劑圖案的情況下,感度、CDU性能、焦點深度及圖案矩形性亦良好。 [產業上之可利用性] The resist pattern using the negative radiation-sensitive resin composition for EUV exposure was evaluated in the same manner as the evaluation of the resist pattern using the negative radiation-sensitive resin composition for ArF exposure. As a result, the radiation-sensitive resin composition of Example 61 was excellent in sensitivity, CDU performance, depth of focus, and pattern squareness even when a negative resist pattern was formed by EUV exposure. [Industrial Availability]

根據所述說明的感放射線性樹脂組成物及抗蝕劑圖案的形成方法,可形成相對於曝光光的感度良好、LWR性能及CDU性能優異的抗蝕劑圖案。因此,該些可較佳地用於預想今後進一步進行微細化的半導體器件的加工製程等中。According to the radiation-sensitive resin composition and the method for forming a resist pattern described above, a resist pattern having good sensitivity to exposure light and excellent LWR performance and CDU performance can be formed. Therefore, these can be preferably used in the processing and the like of semiconductor devices which are expected to be further miniaturized in the future.

none

無。none.

Figure 111104382-A0101-11-0002-2
Figure 111104382-A0101-11-0002-2

無。none.

Claims (14)

一種感放射線性樹脂組成物,含有: 下述式(1)所表示的鋶鹽化合物; 包含具有酸解離性基的結構單元的樹脂;以及 溶劑;
Figure 03_image049
(式中, R 1為具有環狀結構的一價烴基,且構成烴基的亞甲基可經取代為醚鍵, R f1及R f2分別獨立地為氟原子或一價氟化烴基, m 1為1~4的整數,在m 1為2~4的情況下,多個R f1及R f2的一部分或全部相同或不同, R 2及R 3分別獨立地為氫原子、氟原子、一價烴基或一價氟化烴基, m 2為0~3的整數,在m 2為2~3的情況下,多個R 2及R 3的一部分或全部相同或不同, X為單鍵或包含二價雜原子的連接基, R 4~R 7分別獨立地為氫原子、羥基、一價烴基、或者酯基, n 1及n 2分別獨立地為1~3的整數,多個R 4~R 7的一部分或全部相同或不同, R 8為一價鏈狀烴基、一價脂環式烴基、一價氟化烴基、鹵素原子、一價芳香族烴基、或-Y-R 8'所表示的一價基, (Y表示-O-、-CO-、-COO-、-OCO-,R 8'為碳數1~20的一價烴基) l為0~5的整數,在l為2~5的情況下,多個R 8的一部分或全部相同或不同)。
A radiation-sensitive resin composition comprising: a periconium salt compound represented by the following formula (1); a resin including a structural unit having an acid dissociable group; and a solvent;
Figure 03_image049
(in the formula, R 1 is a monovalent hydrocarbon group having a cyclic structure, and the methylene group constituting the hydrocarbon group may be substituted with an ether bond, R f1 and R f2 are independently a fluorine atom or a monovalent fluorinated hydrocarbon group, m 1 is an integer of 1 to 4, and when m 1 is 2 to 4, some or all of the plurality of R f1 and R f2 are the same or different, and R 2 and R 3 are each independently a hydrogen atom, a fluorine atom, a monovalent Hydrocarbon group or monovalent fluorinated hydrocarbon group, m 2 is an integer of 0 to 3, when m 2 is 2 to 3, a part or all of a plurality of R 2 and R 3 are the same or different, X is a single bond or contains two A linking group of a valent heteroatom, R 4 to R 7 are each independently a hydrogen atom, a hydroxyl group, a monovalent hydrocarbon group, or an ester group, n 1 and n 2 are each independently an integer of 1 to 3, and a plurality of R 4 to R A part or all of 7 are the same or different, R 8 is a monovalent chain hydrocarbon group, a monovalent alicyclic hydrocarbon group, a monovalent fluorinated hydrocarbon group, a halogen atom, a monovalent aromatic hydrocarbon group, or a monovalent represented by -YR 8' base, (Y represents -O-, -CO-, -COO-, -OCO-, R 8' is a monovalent hydrocarbon group with 1 to 20 carbon atoms) l is an integer of 0 to 5, where l is 2 to 5 case, some or all of the plurality of R 8 are the same or different).
如請求項1所述的感放射線性樹脂組成物,其中,R 1為經取代或未經取代的碳數3~40的一價脂環式烴基。 The radiation-sensitive resin composition according to claim 1, wherein R 1 is a substituted or unsubstituted monovalent alicyclic hydrocarbon group having 3 to 40 carbon atoms. 如請求項1或請求項2所述的感放射線性樹脂組成物,其中,R 8中的至少一個相對於式中的S +的鍵結位而存在於對位。 The radiation-sensitive resin composition according to claim 1 or claim 2, wherein at least one of R 8 is present at the para position with respect to the bonding position of S + in the formula. 如請求項1或請求項2所述的感放射線性樹脂組成物,其中,所述二價雜原子為氧原子或硫原子。The radiation-sensitive resin composition according to claim 1 or claim 2, wherein the divalent heteroatom is an oxygen atom or a sulfur atom. 如請求項1或請求項2所述的感放射線性樹脂組成物,其中,n 1與n 2的合計數為4或5。 The radiation-sensitive resin composition according to claim 1 or claim 2, wherein the total number of n 1 and n 2 is 4 or 5. 如請求項1或請求項2所述的感放射線性樹脂組成物,其中,所述樹脂更具有包含選自由內酯結構、環狀碳酸酯結構以及磺內酯結構所組成的群組中的至少一種的結構單元。The radiation-sensitive resin composition according to claim 1 or claim 2, wherein the resin further comprises at least one selected from the group consisting of a lactone structure, a cyclic carbonate structure and a sultone structure. a structural unit. 如請求項1或請求項2所述的感放射線性樹脂組成物,其中,所述樹脂中的具有酸解離性基的結構單元由下述式(2)表示,
Figure 03_image051
(式中, R 9為氫原子、氟原子、甲基或三氟甲基, R 10為碳數1~20的一價烴基, R 11及R 12分別獨立地為碳數1~10的一價鏈狀烴基或碳數3~20的一價脂環式烴基,或者R 11及R 12相互結合並與該些所鍵結的碳原子一起構成的碳數3~20的二價脂環式基)。
The radiation-sensitive resin composition according to claim 1 or claim 2, wherein the structural unit having an acid dissociable group in the resin is represented by the following formula (2),
Figure 03_image051
(in the formula, R 9 is a hydrogen atom, a fluorine atom, a methyl group or a trifluoromethyl group, R 10 is a monovalent hydrocarbon group having 1 to 20 carbon atoms, and R 11 and R 12 are independently a monovalent hydrocarbon group having 1 to 10 carbon atoms. A valent chain hydrocarbon group or a monovalent alicyclic hydrocarbon group with 3 to 20 carbon atoms, or a bivalent alicyclic hydrocarbon group with 3 to 20 carbon atoms in which R 11 and R 12 are bonded to each other and together with these bonded carbon atoms base).
如請求項1或請求項2所述的感放射線性樹脂組成物,其中,更包含光降解性鹼。The radiation-sensitive resin composition according to claim 1 or claim 2, further comprising a photodegradable base. 如請求項1或請求項2所述的感放射線性樹脂組成物,其用於有機溶媒顯影。The radiation-sensitive resin composition according to claim 1 or claim 2, which is used for organic solvent development. 一種抗蝕劑圖案的形成方法,包括: 將如請求項1至請求項9中任一項所述的感放射線性樹脂組成物直接或間接塗佈在基板上而形成抗蝕劑膜的步驟; 對所述抗蝕劑膜進行曝光的步驟;以及 對經曝光的所述抗蝕劑膜進行顯影的顯影步驟。 A method for forming a resist pattern, comprising: The step of directly or indirectly coating the radiation-sensitive resin composition according to any one of claim 1 to claim 9 on a substrate to form a resist film; the step of exposing the resist film; and A developing step of developing the exposed resist film. 如請求項10所述的抗蝕劑圖案的形成方法,其中,於所述顯影步驟中,利用有機溶媒進行顯影而形成負型圖案。The method for forming a resist pattern according to claim 10, wherein in the developing step, a negative pattern is formed by developing with an organic solvent. 一種鋶鹽化合物,由下述式(1)表示,
Figure 03_image052
(式中, R 1為具有環狀結構的一價烴基,且構成烴基的亞甲基可經取代為醚鍵, R f1及R f2分別獨立地為氟原子或一價氟化烴基, m 1為1~4的整數,在m 1為2~4的情況下,多個R f1及R f2的一部分或全部相同或不同, R 2及R 3分別獨立地為氫原子、氟原子、一價烴基或一價氟化烴基, m 2為0~3的整數,在m 2為2~3的情況下,多個R 2及R 3的一部分或全部相同或不同, X為單鍵或包含二價雜原子的連接基, R 4~R 7分別獨立地為氫原子、羥基、一價烴基、或者酯基, n 1及n 2分別獨立地為1~3的整數,多個R 4~R 7的一部分或全部相同或不同, R 8為一價鏈狀烴基、一價脂環式烴基、一價氟化烴基、鹵素原子、一價芳香族烴基、或-Y-R 8'所表示的一價基, (Y表示-O-、-CO-、-COO-、-OCO-,R 8'為碳數1~20的一價烴基) l為0~5的整數,在l為2~5的情況下,多個R 8的一部分或全部相同或不同)。
A perylium salt compound represented by the following formula (1),
Figure 03_image052
(in the formula, R 1 is a monovalent hydrocarbon group having a cyclic structure, and the methylene group constituting the hydrocarbon group may be substituted with an ether bond, R f1 and R f2 are independently a fluorine atom or a monovalent fluorinated hydrocarbon group, m 1 is an integer of 1 to 4, and when m 1 is 2 to 4, some or all of the plurality of R f1 and R f2 are the same or different, and R 2 and R 3 are each independently a hydrogen atom, a fluorine atom, a monovalent Hydrocarbon group or monovalent fluorinated hydrocarbon group, m 2 is an integer of 0 to 3, when m 2 is 2 to 3, a part or all of a plurality of R 2 and R 3 are the same or different, X is a single bond or contains two A linking group of a valent heteroatom, R 4 to R 7 are each independently a hydrogen atom, a hydroxyl group, a monovalent hydrocarbon group, or an ester group, n 1 and n 2 are each independently an integer of 1 to 3, and a plurality of R 4 to R A part or all of 7 are the same or different, R 8 is a monovalent chain hydrocarbon group, a monovalent alicyclic hydrocarbon group, a monovalent fluorinated hydrocarbon group, a halogen atom, a monovalent aromatic hydrocarbon group, or a monovalent represented by -YR 8' base, (Y represents -O-, -CO-, -COO-, -OCO-, R 8' is a monovalent hydrocarbon group with 1 to 20 carbon atoms) l is an integer of 0 to 5, where l is 2 to 5 case, some or all of the plurality of R 8 are the same or different).
一種感放射線性酸產生劑,包含下述式(1)所表示的鋶鹽化合物,
Figure 03_image054
(式中, R 1為具有環狀結構的一價烴基,且構成烴基的亞甲基可經取代為醚鍵, R f1及R f2分別獨立地為氟原子或一價氟化烴基, m 1為1~4的整數,在m 1為2~4的情況下,多個R f1及R f2的一部分或全部相同或不同, R 2及R 3分別獨立地為氫原子、氟原子、一價烴基或一價氟化烴基, m 2為0~3的整數,在m 2為2~3的情況下,多個R 2及R 3的一部分或全部相同或不同, X為單鍵或包含二價雜原子的連接基, R 4~R 7分別獨立地為氫原子、羥基、一價烴基、或者酯基, n 1及n 2分別獨立地為1~3的整數,多個R 4~R 7的一部分或全部相同或不同, R 8為一價鏈狀烴基、一價脂環式烴基、一價氟化烴基、鹵素原子、一價芳香族烴基、或-Y-R 8'所表示的一價基, (Y表示-O-、-CO-、-COO-、-OCO-,R 8'為碳數1~20的一價烴基) l為0~5的整數,在l為2~5的情況下,多個R 8的一部分或全部相同或不同)。
A radiation-sensitive acid generator comprising a periconium salt compound represented by the following formula (1),
Figure 03_image054
(in the formula, R 1 is a monovalent hydrocarbon group having a cyclic structure, and the methylene group constituting the hydrocarbon group may be substituted with an ether bond, R f1 and R f2 are independently a fluorine atom or a monovalent fluorinated hydrocarbon group, m 1 is an integer of 1 to 4, and when m 1 is 2 to 4, some or all of the plurality of R f1 and R f2 are the same or different, and R 2 and R 3 are each independently a hydrogen atom, a fluorine atom, a monovalent Hydrocarbon group or monovalent fluorinated hydrocarbon group, m 2 is an integer of 0 to 3, when m 2 is 2 to 3, a part or all of a plurality of R 2 and R 3 are the same or different, X is a single bond or contains two A linking group of a valent heteroatom, R 4 to R 7 are each independently a hydrogen atom, a hydroxyl group, a monovalent hydrocarbon group, or an ester group, n 1 and n 2 are each independently an integer of 1 to 3, and a plurality of R 4 to R A part or all of 7 are the same or different, R 8 is a monovalent chain hydrocarbon group, a monovalent alicyclic hydrocarbon group, a monovalent fluorinated hydrocarbon group, a halogen atom, a monovalent aromatic hydrocarbon group, or a monovalent represented by -YR 8' base, (Y represents -O-, -CO-, -COO-, -OCO-, R 8' is a monovalent hydrocarbon group with 1 to 20 carbon atoms) l is an integer of 0 to 5, where l is 2 to 5 case, some or all of the plurality of R 8 are the same or different).
如請求項13所述的感放射線性酸產生劑,其用於有機溶媒顯影。The radiation-sensitive acid generator according to claim 13, which is used for organic solvent development.
TW111104382A 2021-02-12 2022-02-07 Radiation-sensitive resin composition and method for forming resist patter using same, and sulfonium salt compound and radiation-sensitive acid generator comprising same TW202231626A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2021-020577 2021-02-12
JP2021020577 2021-02-12

Publications (1)

Publication Number Publication Date
TW202231626A true TW202231626A (en) 2022-08-16

Family

ID=82837778

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111104382A TW202231626A (en) 2021-02-12 2022-02-07 Radiation-sensitive resin composition and method for forming resist patter using same, and sulfonium salt compound and radiation-sensitive acid generator comprising same

Country Status (3)

Country Link
JP (1) JPWO2022172685A1 (en)
TW (1) TW202231626A (en)
WO (1) WO2022172685A1 (en)

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5742563B2 (en) * 2011-08-02 2015-07-01 Jsr株式会社 Photoresist composition and resist pattern forming method
JP6126878B2 (en) * 2013-03-15 2017-05-10 富士フイルム株式会社 Pattern forming method, actinic ray-sensitive or radiation-sensitive resin composition, actinic ray-sensitive or radiation-sensitive film and method for producing electronic device
WO2015159830A1 (en) * 2014-04-14 2015-10-22 富士フイルム株式会社 Active light-sensitive or radiation-sensitive resin composition, active light-sensitive or radiation-sensitive film using same, pattern formation method, production method for electronic device, and electronic device

Also Published As

Publication number Publication date
JPWO2022172685A1 (en) 2022-08-18
WO2022172685A1 (en) 2022-08-18

Similar Documents

Publication Publication Date Title
JP7360633B2 (en) Radiation-sensitive resin composition and resist pattern formation method
JP6648452B2 (en) Radiation-sensitive resin composition and method for forming resist pattern
US9760004B2 (en) Radiation-sensitive resin composition and resist pattern-forming method
US20230106095A1 (en) Radiation-sensitive resin composition and method for forming pattern
TW202220950A (en) Radiation-sensitive resin composition, and pattern formation method
TWI754756B (en) Radiation sensitive resin composition and resist pattern forming method
TW202140420A (en) Radiation-sensitive resin composition, method for forming resist pattern using same, and sulfonic acid salt compound and radiation-sensitive acid generator comprising same
JP2017181697A (en) Radiation-sensitive resin composition and method for forming resist pattern
TW202323228A (en) Radiation-sensitive resin composition, pattern formation method, method for manufacturing substrate, and compound
TW202233570A (en) Radiation-sensitive resin composition and pattern formation method
TW202219079A (en) Radiation-sensitive resin composition, pattern forming method and onium salt compound
KR20220139860A (en) Radiation-sensitive resin composition and method of forming a resist pattern
TW202126609A (en) Radiation-sensitive resin composition and method for forming pattern
TW202231626A (en) Radiation-sensitive resin composition and method for forming resist patter using same, and sulfonium salt compound and radiation-sensitive acid generator comprising same
JP2017016068A (en) Radiation-sensitive resin composition and method for forming resist pattern
TWI837313B (en) Radiation-sensitive resin composition and method for forming anti-corrosion agent pattern
TW201821548A (en) Resin composition and use thereof
TW202229368A (en) Radiation-sensitive resin composition, pattern formation method, and water repellency-improving agent
TW202146390A (en) Radiation sensitive resin composition, pattern forming method and onium salt compound
TW202235413A (en) Radiation-sensitive resin composition, pattern formation method, polymer, and compound
JP6822179B2 (en) Radiation-sensitive resin composition and resist pattern forming method
TW202134785A (en) Radiation-sensitive resin composition and method for forming resist pattern
JP6926406B2 (en) Radiation-sensitive resin composition, resist pattern forming method and compound
TW202346264A (en) Radiation-sensitive resin composition and pattern formation method
TW202221065A (en) Silicon-containing composition and method for producing semiconductor substrate