TW202229368A - Radiation-sensitive resin composition, pattern formation method, and water repellency-improving agent - Google Patents

Radiation-sensitive resin composition, pattern formation method, and water repellency-improving agent Download PDF

Info

Publication number
TW202229368A
TW202229368A TW110139404A TW110139404A TW202229368A TW 202229368 A TW202229368 A TW 202229368A TW 110139404 A TW110139404 A TW 110139404A TW 110139404 A TW110139404 A TW 110139404A TW 202229368 A TW202229368 A TW 202229368A
Authority
TW
Taiwan
Prior art keywords
group
carbon atoms
hydrocarbon group
radiation
structural unit
Prior art date
Application number
TW110139404A
Other languages
Chinese (zh)
Inventor
根本龍一
古川泰一
古川剛
稲見甫
横井寛生
内田真世
Original Assignee
日商Jsr股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商Jsr股份有限公司 filed Critical 日商Jsr股份有限公司
Publication of TW202229368A publication Critical patent/TW202229368A/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F12/00Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F12/02Monomers containing only one unsaturated aliphatic radical
    • C08F12/04Monomers containing only one unsaturated aliphatic radical containing one ring
    • C08F12/14Monomers containing only one unsaturated aliphatic radical containing one ring substituted by hetero atoms or groups containing heteroatoms
    • C08F12/22Oxygen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F20/00Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride, ester, amide, imide or nitrile thereof
    • C08F20/02Monocarboxylic acids having less than ten carbon atoms, Derivatives thereof
    • C08F20/10Esters
    • C08F20/12Esters of monohydric alcohols or phenols
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor

Landscapes

  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Organic Chemistry (AREA)
  • Emergency Medicine (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)
  • Materials For Photolithography (AREA)

Abstract

Provided are: a radiation-sensitive resin composition which exhibits good sensitivity and can form a resist pattern having high water repellency and few defects; and a resist pattern formation method and a water repellency-improving agent using same. This radiation-sensitive resin composition contains a resin A having a first structural unit containing a partial structure represented by formula (1). In formula (1), X is a divalent linking group. R1 and R2 are each independently a monovalent chain-like hydrocarbon group having 1-40 carbon atoms, a monovalent alicyclic hydrocarbon group having 3-20 carbon atoms, a monovalent aromatic hydrocarbon group having 6-12 carbon atoms, or a monovalent fluorinated hydrocarbon group having 1-40 carbon atoms, or R1 and R2 bond to each other to form a 3 to 20-membered ring structure (a) together with the carbon atom to which these are bonded. R3 is a fluorinated chain-like hydrocarbon group having 1-4 carbon atoms. ∗ denotes a position of linking to a polymer main chain. The radiation-sensitive resin composition also contains: resin B containing a structural unit having an acid-dissociable group; a radiation-sensitive acid generator; and a solvent.

Description

感放射線性樹脂組成物、圖案形成方法及撥水性改善劑Radiation-sensitive resin composition, pattern forming method, and water repellency improver

本發明是有關於一種感放射線性樹脂組成物、使用其的抗蝕劑圖案形成方法及撥水性改善劑等。The present invention relates to a radiation-sensitive resin composition, a method for forming a resist pattern using the same, a water repellency improving agent, and the like.

隨著半導體元件、液晶元件等各種電子元件結構的微細化,要求微影步驟中的抗蝕劑圖案的進一步微細化,因此,對各種感放射線性樹脂組成物進行研究。此種感放射線性樹脂組成物藉由ArF準分子雷射等遠紫外線、電子束等放射線的照射,而於曝光部生成酸,藉由該酸的觸媒作用而使曝光部與未曝光部對於顯影液的溶解速度產生差,從而於基板上形成抗蝕劑圖案。With the miniaturization of the structures of various electronic elements such as semiconductor elements and liquid crystal elements, further miniaturization of the resist pattern in the lithography step is required, and therefore, various radiation-sensitive resin compositions have been studied. Such a radiation-sensitive resin composition is irradiated with extreme ultraviolet rays such as ArF excimer lasers, and radiation such as electron beams to generate an acid in the exposed portion, and the exposed portion and the unexposed portion are made to interact with each other by the catalytic action of the acid. The dissolution rate of the developer is poor, and a resist pattern is formed on the substrate.

於此種感放射線性樹脂組成物中,例如,作為形成線寬45 nm左右的更微細的抗蝕劑圖案的方法,利用液浸曝光法(液體浸沒式微影(liquid immersion lithography))。於該方法中,以利用折射率(n)大於空氣或惰性氣體的液浸介質,例如純水、氟系惰性液體等,將曝光光程空間(透鏡與抗蝕劑膜之間)填滿的狀態進行曝光。因此,即便於增大透鏡的數值孔徑(numerical aperture,NA)的情況下,亦具有焦點深度難以下降,且可獲得高解析性的優點。In such a radiation-sensitive resin composition, for example, a liquid immersion exposure method (liquid immersion lithography) is used as a method of forming a finer resist pattern with a line width of about 45 nm. In this method, the exposure optical path space (between the lens and the resist film) is filled with a liquid immersion medium whose refractive index (n) is greater than that of air or inert gas, such as pure water, fluorine-based inert liquid, etc. state for exposure. Therefore, even when the numerical aperture (NA) of the lens is increased, the depth of focus is difficult to decrease, and high resolution can be obtained.

於液浸曝光法中使用的樹脂組成物中,為了抑制酸產生劑等自所形成的抗蝕劑膜向液浸介質的溶出,防止抗蝕劑膜性能的降低或透鏡等裝置的污染,並且使抗蝕劑膜表面的除水性良好,防止水印(watermark)的殘存,可進行高速掃描,故出於提高抗蝕劑膜表面的疏水性的目的,可使用包含含氟原子聚合體的撥水性聚合體添加劑(例如,參照專利文獻1)。但是,若提高抗蝕劑膜表面的疏水性,則對於顯影液或淋洗液的表面潤濕性降低,故存在如下情況:顯影時沈積於抗蝕劑膜表面的未曝光部的顯影殘渣的去除變得不充分,於抗蝕劑圖案中產生團點缺陷(blob defect)等缺陷。以抑制此種缺陷的產生為目的,提出有於液浸曝光時為疏水性,但於鹼顯影時發揮親水性的含氟原子聚合體,具體而言為導入有羧酸的氟烷基酯結構的聚合體(例如,參照專利文獻2),認為可藉由將此種聚合體用作撥水性聚合體添加劑,來抑制缺陷的產生。 [現有技術文獻] [專利文獻] In the resin composition used in the liquid immersion exposure method, in order to suppress the elution of acid generators and the like from the formed resist film to the liquid immersion medium, to prevent the performance of the resist film from being lowered or the contamination of devices such as lenses, and The water repellency of the resist film surface is good, the watermark can be prevented from remaining, and high-speed scanning can be performed. Therefore, for the purpose of improving the hydrophobicity of the resist film surface, a water repellent containing a fluorine atom-containing polymer can be used. Polymer additives (for example, refer to Patent Document 1). However, if the hydrophobicity of the resist film surface is increased, the surface wettability with respect to the developer solution or the rinse solution is lowered, so that there are cases in which the development residue deposited on the unexposed portion of the resist film surface during development becomes The removal becomes insufficient, and defects such as blob defects are generated in the resist pattern. For the purpose of suppressing the occurrence of such defects, a fluorine atom-containing polymer which is hydrophobic during liquid immersion exposure but exhibits hydrophilicity during alkali development, specifically a fluoroalkyl ester structure into which a carboxylic acid is introduced, has been proposed. (for example, refer to Patent Document 2), it is considered that the generation of defects can be suppressed by using such a polymer as a water-repellent polymer additive. [Prior Art Literature] [Patent Literature]

[專利文獻1]國際公開第2007/116664號 [專利文獻2]日本專利特開2010-32994號公報 [Patent Document 1] International Publication No. 2007/116664 [Patent Document 2] Japanese Patent Laid-Open No. 2010-32994

[發明所欲解決之課題][The problem to be solved by the invention]

但是,於抗蝕劑圖案的微細化進展至線寬45 nm以下的水準的當下,所述缺陷抑制性的要求水準進一步提高。另外,對於所述撥水性聚合體添加劑亦要求:可藉由提高含有其的感放射線性樹脂組成物的感度性能,而以高良率獲得高精度的圖案。但是,於所述現有的感放射線性樹脂組成物中,無法滿足該些要求。However, as the miniaturization of the resist pattern progresses to the level of the line width of 45 nm or less, the required level of the defect suppression property is further increased. In addition, the water-repellent polymer additive is also required to obtain a high-precision pattern with a high yield by improving the sensitivity performance of the radiation-sensitive resin composition containing the water-repellent polymer additive. However, in the above-mentioned conventional radiation-sensitive resin compositions, these requirements cannot be satisfied.

本發明的目的在於提供一種可形成感度良好且高撥水性及缺陷少的抗蝕劑圖案的感放射線性樹脂組成物、使用其的抗蝕劑圖案形成方法及撥水性改善劑等。 [解決課題之手段] An object of the present invention is to provide a radiation-sensitive resin composition capable of forming a resist pattern with good sensitivity, high water repellency and few defects, a resist pattern forming method, a water repellency improving agent, and the like using the same. [Means of Solving Problems]

本發明人們為解決本課題而重覆努力研究,結果發現藉由採用下述構成,可達成所述目的,從而完成了本發明。The inventors of the present invention have made repeated efforts to solve this problem, and as a result, they have found that the object can be achieved by adopting the following configuration, and have completed the present invention.

即,本發明於一實施方式中是有關於一種感放射線性樹脂組成物, 其包含具有含有下述式(1)所表示的部分結構的第一結構單元的樹脂A、 [化1]

Figure 02_image001
(式(1)中, X為二價連結基; R 1及R 2分別獨立地為碳數1~40的一價鏈狀烴基、碳數3~20的一價脂環式烴基、碳數6~12的一價芳香族烴基、碳數1~40的一價氟化烴基、或者R 1及R 2相互結合並與該些所鍵結的碳原子一起構成的環員數3~20的環結構(a); R 3為碳數1~4的氟化鏈狀烴基; *表示與聚合物主鏈部分的連結部位) 含有具有酸解離性基的結構單元的樹脂B、 感放射線性酸產生劑、及 溶劑。 That is, in one embodiment, the present invention relates to a radiation-sensitive resin composition comprising resin A having a first structural unit containing a partial structure represented by the following formula (1), [Chem. 1]
Figure 02_image001
(In formula (1), X is a divalent linking group; R 1 and R 2 are each independently a monovalent chain hydrocarbon group having 1 to 40 carbon atoms, a monovalent alicyclic hydrocarbon group having 3 to 20 carbon atoms, A monovalent aromatic hydrocarbon group of 6 to 12, a monovalent fluorinated hydrocarbon group of 1 to 40 carbon atoms, or a group of 3 to 20 ring members composed of R 1 and R 2 combined with each other and these bonded carbon atoms Ring structure (a); R 3 is a fluorinated chain hydrocarbon group having 1 to 4 carbon atoms; * represents a linking site with the main chain portion of the polymer) Resin B containing a structural unit having an acid-dissociable group, radiation-sensitive acid generator, and solvent.

本發明的感放射線性樹脂組成物由於包含所述樹脂A,故可形成感度良好且高撥水性及缺陷少的抗蝕劑圖案。所述樹脂A主要是-C(=O)OCR 1R 2R 3部位顯示出優異的撥水性與對於水的穩定性,故推測會影響抗蝕劑圖案的高撥水性及缺陷抑制。再者,未必藉由推測該作用機理來限定本發明的權利範圍。 Since the radiation-sensitive resin composition of the present invention contains the resin A, it is possible to form a resist pattern with good sensitivity, high water repellency, and few defects. The resin A is mainly -C(=O)OCR 1 R 2 R 3 and exhibits excellent water repellency and stability to water, so it is presumed that it will affect the high water repellency and defect suppression of the resist pattern. Furthermore, the scope of the right of the present invention is not necessarily limited by speculating on the mechanism of action.

於本發明中,作為有機基,例如可列舉:一價烴基、於所述烴基的碳-碳間包含二價含雜原子的基的基、利用一價含雜原子的基取代所述烴基及包含二價含雜原子的基的基中所含的氫原子的一部分或全部而成的基等。In the present invention, examples of the organic group include a monovalent hydrocarbon group, a group containing a divalent heteroatom-containing group between carbon and carbon of the hydrocarbon group, a monovalent heteroatom-containing group substituted for the hydrocarbon group, and A group including a part or all of hydrogen atoms contained in a group containing a divalent heteroatom-containing group, etc.

於本發明中,關於「烴基」,只要不對該要素賦予特別限定,則包含鏈狀烴基、脂環式烴基及芳香族烴基。所述「烴基」包含飽和烴基及不飽和烴基此兩者。所述「鏈狀烴基」是指不含環狀結構而是僅包含鏈狀結構的烴基,包含直鏈狀烴基及分支鏈狀烴基此兩者。所述「脂環式烴基」是指僅包含脂環結構作為環結構而不包含芳香環結構的烴基,包含單環的脂環式烴基及多環的脂環式烴基此兩者。其中,無需僅包含脂環結構,亦可於其一部分中包含鏈狀結構。所述「芳香族烴基」是指包含芳香環結構作為環結構的烴基。其中,無需僅包含芳香環結構,亦可於其一部分中包含鏈狀結構或脂環結構。In the present invention, the "hydrocarbon group" includes a chain hydrocarbon group, an alicyclic hydrocarbon group, and an aromatic hydrocarbon group unless the element is particularly limited. The "hydrocarbon group" includes both a saturated hydrocarbon group and an unsaturated hydrocarbon group. The "chain hydrocarbon group" refers to a hydrocarbon group that does not contain a cyclic structure but only includes a chain structure, and includes both a straight-chain hydrocarbon group and a branched-chain hydrocarbon group. The "alicyclic hydrocarbon group" refers to a hydrocarbon group including only an alicyclic structure as a ring structure but not an aromatic ring structure, and includes both a monocyclic alicyclic hydrocarbon group and a polycyclic alicyclic hydrocarbon group. However, it is not necessary to include only an alicyclic structure, and a chain structure may be included in a part thereof. The "aromatic hydrocarbon group" refers to a hydrocarbon group containing an aromatic ring structure as a ring structure. However, it is not necessary to include only an aromatic ring structure, and a chain structure or an alicyclic structure may be included in a part thereof.

另一方面,本發明於另一實施方式中是有關於一種抗蝕劑圖案的形成方法,其包括: 將所述感放射線性樹脂組成物直接或間接地塗佈於基板上來形成抗蝕劑膜的步驟; 藉由液浸曝光來對所述抗蝕劑膜進行曝光的步驟;以及 對所述經曝光的抗蝕劑膜進行顯影的步驟。 On the other hand, in another embodiment, the present invention relates to a method for forming a resist pattern, which includes: a step of directly or indirectly coating the radiation-sensitive resin composition on a substrate to form a resist film; exposing the resist film by immersion exposure; and A step of developing the exposed resist film.

本發明的抗蝕劑圖案的形成方法由於包括使用所述感放射線性樹脂組成物的步驟,故可獲得感度良好且高撥水性及缺陷少的抗蝕劑圖案。Since the method for forming a resist pattern of the present invention includes the step of using the radiation-sensitive resin composition, a resist pattern with good sensitivity, high water repellency, and few defects can be obtained.

另一方面,本發明於另一實施方式中是有關於一種撥水性改善劑, 其包含具有下述式(2)所表示的第一結構單元的樹脂A。 [化2]

Figure 02_image003
(式(2)中, X為二價連結基; R為氫原子、氟原子、或者未經取代或經鹵素原子或烷氧基取代的一價烴基; R 1及R 2分別獨立地為碳數1~40的一價鏈狀烴基、碳數3~20的一價脂環式烴基、碳數6~12的一價芳香族烴基、或者R 1及R 2相互結合並與該些所鍵結的碳原子一起構成的環員數3~20的環結構(a); R 3為碳數1~4的氟化鏈狀烴基) On the other hand, in another embodiment, the present invention relates to a water repellency improving agent comprising resin A having a first structural unit represented by the following formula (2). [hua 2]
Figure 02_image003
(In formula (2), X is a divalent linking group; R is a hydrogen atom, a fluorine atom, or a monovalent hydrocarbon group unsubstituted or substituted by a halogen atom or an alkoxy group; R 1 and R 2 are independently carbon A monovalent chain hydrocarbon group having 1 to 40 carbon atoms, a monovalent alicyclic hydrocarbon group having 3 to 20 carbon atoms, a monovalent aromatic hydrocarbon group having 6 to 12 carbon atoms, or R 1 and R 2 are bonded to each other and to these A ring structure with 3 to 20 ring members formed by the carbon atoms of the knot together (a); R 3 is a fluorinated chain hydrocarbon group with 1 to 4 carbon atoms)

本發明的撥水性改善劑藉由包含所述樹脂A而可簡便賦予、提高或改善抗蝕劑膜等的撥水性。The water repellency improver of the present invention can easily impart, increase or improve the water repellency of a resist film or the like by including the resin A.

以下,對本發明的實施方式進行詳細說明,但本發明並不限定於該些實施方式。Hereinafter, the embodiments of the present invention will be described in detail, but the present invention is not limited to these embodiments.

<感放射線性樹脂組成物> 本實施方式的感放射線性樹脂組成物(以下,亦簡稱為「組成物」)包含規定的樹脂A、樹脂B、感放射線性酸產生劑及溶劑。只要不損及本發明的效果,則該組成物亦可包含其他任意成分。感放射線性樹脂組成物藉由包含規定的樹脂A而可形成感度良好且高撥水性及缺陷少的抗蝕劑圖案。 <Radiation sensitive resin composition> The radiation-sensitive resin composition (hereinafter, also simply referred to as "composition") of the present embodiment includes predetermined resin A, resin B, a radiation-sensitive acid generator, and a solvent. As long as the effect of this invention is not impaired, this composition may contain other arbitrary components. By containing the predetermined resin A, the radiation-sensitive resin composition can form a resist pattern with good sensitivity, high water repellency, and few defects.

(樹脂A) 樹脂A為具有包含所述式(1)所表示的部分結構的第一結構單元的樹脂A。 (Resin A) Resin A is resin A which has the 1st structural unit containing the partial structure represented by the said formula (1).

所述式(1)中,作為所述X所表示的二價連結基,例如可列舉:碳數1~20的二價烴基、碳數1~20的二價氟化烴基、該些基中的一個以上的碳原子經-O-、-CO-、-COO-、-CONR'-、-S-、-CS-、-COS、-CSO-等二價基取代而成的基等。R'為氫原子或碳數1~10的一價烴基。In the above formula (1), the divalent linking group represented by the X includes, for example, a divalent hydrocarbon group having 1 to 20 carbon atoms, a divalent fluorinated hydrocarbon group having 1 to 20 carbon atoms, and among these groups. One or more carbon atoms are substituted by divalent groups such as -O-, -CO-, -COO-, -CONR'-, -S-, -CS-, -COS, -CSO-, etc. R' is a hydrogen atom or a monovalent hydrocarbon group having 1 to 10 carbon atoms.

所述式(1)中,作為所述R 1及R 2所表示的碳數1~40的鏈狀烴基,分別獨立地可列舉碳數1~40的直鏈或分支鏈飽和烴基、或者碳數1~40的直鏈或分支鏈不飽和烴基。 In the above formula (1), as the chain hydrocarbon group having 1 to 40 carbon atoms represented by the above R 1 and R 2 , a linear or branched saturated hydrocarbon group having 1 to 40 carbon atoms, or a carbon A straight or branched chain unsaturated hydrocarbon group of 1 to 40.

所述式(1)中,作為所述R 1及R 2所表示的碳數3~20的一價脂環式烴基,分別獨立地例如可列舉:環丙基、環丁基、環戊基、環己基等。 In the above formula (1), as the monovalent alicyclic hydrocarbon group having 3 to 20 carbon atoms represented by the above R 1 and R 2 , each independently includes, for example, cyclopropyl, cyclobutyl, and cyclopentyl. , cyclohexyl, etc.

所述式(1)中,作為所述R 1及R 2所表示的碳數6~12的一價芳香族烴基,分別獨立地例如可列舉:苯基、甲苯基、二甲苯基、萘基、蒽基等芳基;苄基、苯乙基、萘基甲基等芳烷基等。 In the above formula (1), as the monovalent aromatic hydrocarbon group having 6 to 12 carbon atoms represented by the above R 1 and R 2 , each independently includes, for example, a phenyl group, a tolyl group, a xylyl group, and a naphthyl group. , anthracenyl and other aryl groups; benzyl, phenethyl, naphthylmethyl and other aralkyl groups, etc.

所述式(1)中,作為所述R 1及R 2所表示的碳數1~40的一價氟化烴基,分別獨立地例如可列舉:碳數1~40的一價氟化鏈狀烴基、碳數3~40的一價氟化脂環式烴基等。 In the above formula (1), as the monovalent fluorinated hydrocarbon group having 1 to 40 carbon atoms represented by the above R 1 and R 2 , each independently includes, for example, a monovalent fluorinated chain having 1 to 40 carbon atoms. A hydrocarbon group, a monovalent fluorinated alicyclic hydrocarbon group having 3 to 40 carbon atoms, and the like.

所述式(1)中,作為所述R 1及R 2相互結合並與該些所鍵結的碳原子一起構成的環員數3~20的環結構(a),例如可列舉所述碳數的脂環式烴或脂環式烴中的一個以上的碳原子經-O-、-CO-、-COO-、-CONR'-、-S-、-CS-、-COS、-CSO-等二價基取代而成的結構的同一碳原子上去除2個氫原子所得的基等。 In the above formula (1), as the ring structure (a) having 3 to 20 ring members in which the above R 1 and R 2 are bonded to each other and constituted together with the carbon atoms to which they are bonded, for example, the carbon atoms described above can be exemplified. Number of alicyclic hydrocarbons or one or more carbon atoms in alicyclic hydrocarbons via -O-, -CO-, -COO-, -CONR'-, -S-, -CS-, -COS, -CSO- A group obtained by removing two hydrogen atoms from the same carbon atom in a structure substituted by an equivalent divalent group, etc.

所述式(1)中,所述R 3所表示的碳數1~4的氟化鏈狀烴基,例如可列舉碳數1~4的一價氟化鏈狀烴基、碳數3~4的一價氟化脂環式烴基等。 In the above formula (1), the fluorinated chain hydrocarbon group having 1 to 4 carbon atoms represented by R 3 includes, for example, a monovalent fluorinated chain hydrocarbon group having 1 to 4 carbon atoms, and a fluorinated chain hydrocarbon group having 3 to 4 carbon atoms. Monovalent fluorinated alicyclic hydrocarbon group, etc.

作為所述碳數1~4的一價氟化鏈狀烴基,例如可列舉: 三氟甲基、2,2,2-三氟乙基、五氟乙基、2,2,3,3,3-五氟丙基、1,1,1,3,3,3-六氟丙基、七氟正丙基等氟化烷基; 三氟乙烯基、五氟丙烯基等氟化烯基; 氟乙炔基、三氟丙炔基等氟化炔基等。 Examples of the monovalent fluorinated chain hydrocarbon group having 1 to 4 carbon atoms include: Trifluoromethyl, 2,2,2-trifluoroethyl, pentafluoroethyl, 2,2,3,3,3-pentafluoropropyl, 1,1,1,3,3,3-hexafluoro Fluorinated alkyl groups such as propyl and heptafluoro-n-propyl; Fluorinated alkenyl such as trifluorovinyl and pentafluoropropenyl; Fluorinated alkynyl groups such as fluoroethynyl, trifluoropropynyl, and the like.

作為所述碳數3~4的一價氟化脂環式烴基,例如可列舉氟環丁基等氟化環烷基等。Examples of the monovalent fluorinated alicyclic hydrocarbon group having 3 to 4 carbon atoms include fluorinated cycloalkyl groups such as fluorocyclobutyl.

所述式(1)中,所述*表示與聚合物主鏈部分的連結部位,較佳為共價鍵。In the above formula (1), the * represents a linking site with the main chain portion of the polymer, and is preferably a covalent bond.

所述第一結構單元(以下,亦稱為「結構單元(1)」)較佳為下述式(2)所表示的結構單元。 [化3]

Figure 02_image003
(式(2)中, X及R 1~R 3與式(1)相同; R為氫原子、氟原子、或者未經取代或經鹵素原子或烷氧基取代的一價烴基) The first structural unit (hereinafter, also referred to as "structural unit (1)") is preferably a structural unit represented by the following formula (2). [hua 3]
Figure 02_image003
(In formula (2), X and R 1 to R 3 are the same as those in formula (1); R is a hydrogen atom, a fluorine atom, or an unsubstituted or substituted monovalent hydrocarbon group with a halogen atom or an alkoxy group)

所述式(2)中,作為所述R所表示的未經取代或經鹵素原子或烷氧基取代的一價烴基,就包含所述第一結構單元的單量體的共聚性的觀點而言,較佳為氫原子、甲基,更佳為甲基。In the above-mentioned formula (2), the unsubstituted or halogen atom- or alkoxy-substituted monovalent hydrocarbon group represented by the above R is defined from the viewpoint of the copolymerizability of the monomer containing the first structural unit. In other words, a hydrogen atom and a methyl group are preferable, and a methyl group is more preferable.

所述第一結構單元較佳為所述式(2)中的X為下述式(2-1)所表示的基。 [化4]

Figure 02_image005
(式(2-1)中, Z 1及Z 2分別獨立地為氫原子、氟原子、一價烴基、一價氟化烴基、一價烴基或一價氟化烴基中的一個以上的碳原子經*-O-*、*-CO-*、*-COO-*或*-OCO-*所表示的連結基取代而成的基(其中,所述連結基中的*為與碳原子的鍵結鍵)、或者Z 1及Z 2相互結合並與該些所鍵結的碳原子一起構成的環員數3~20的環結構; L為單鍵或二價有機基; n為1~5的整數) It is preferable that X in the said 1st structural unit is the group represented by following formula (2-1) in the said formula (2). [hua 4]
Figure 02_image005
(In formula (2-1), Z 1 and Z 2 are each independently one or more carbon atoms selected from a hydrogen atom, a fluorine atom, a monovalent hydrocarbon group, a monovalent fluorinated hydrocarbon group, a monovalent hydrocarbon group, or a monovalent fluorinated hydrocarbon group. A group substituted with a linking group represented by *-O-*, *-CO-*, *-COO-* or *-OCO-* (wherein, * in the linking group is a bond with a carbon atom bond), or Z 1 and Z 2 are combined with each other and form a ring structure with 3-20 ring members together with these bonded carbon atoms; L is a single bond or a divalent organic group; n is 1-5 integer)

所述式(2-1)中,作為Z 1及Z 2所表示的一價烴基,分別獨立地例如可列舉甲基、乙基等。 In the above formula (2-1), examples of the monovalent hydrocarbon groups represented by Z 1 and Z 2 each independently include, for example, a methyl group, an ethyl group, and the like.

所述式(2-1)中,作為Z 1及Z 2所表示的一價氟化烴基,分別獨立地例如可列舉碳數1~10的一價氟化烴基。 In the above formula (2-1), examples of the monovalent fluorinated hydrocarbon groups represented by Z 1 and Z 2 each independently include, for example, monovalent fluorinated hydrocarbon groups having 1 to 10 carbon atoms.

所述式(2-1)中,作為所述Z 1及Z 2所表示的碳數1~10的一價氟化烴基,例如可列舉碳數1~10的一價氟化鏈狀烴基、碳數3~10的一價氟化脂環式烴基等。 In the above formula (2-1), examples of the monovalent fluorinated hydrocarbon group having 1 to 10 carbon atoms represented by the above Z 1 and Z 2 include, for example, a monovalent fluorinated chain hydrocarbon group having 1 to 10 carbon atoms, A monovalent fluorinated alicyclic hydrocarbon group having 3 to 10 carbon atoms, etc.

作為所述碳數1~10的一價氟化鏈狀烴基,例如可列舉: 三氟甲基、2,2,2-三氟乙基、五氟乙基、2,2,3,3,3-五氟丙基、1,1,1,3,3,3-六氟丙基、七氟正丙基等氟化烷基; 三氟乙烯基、五氟丙烯基等氟化烯基; 氟乙炔基、三氟丙炔基等氟化炔基等。 Examples of the monovalent fluorinated chain hydrocarbon group having 1 to 10 carbon atoms include: Trifluoromethyl, 2,2,2-trifluoroethyl, pentafluoroethyl, 2,2,3,3,3-pentafluoropropyl, 1,1,1,3,3,3-hexafluoro Fluorinated alkyl groups such as propyl and heptafluoro-n-propyl; Fluorinated alkenyl such as trifluorovinyl and pentafluoropropenyl; Fluorinated alkynyl groups such as fluoroethynyl, trifluoropropynyl, and the like.

作為所述碳數3~10的一價氟化脂環式烴基,例如可列舉: 氟環戊基、二氟環戊基、九氟環戊基、氟環己基、二氟環己基、十一氟環己基甲基、氟降冰片基、氟金剛烷基、氟冰片基、氟異冰片基等氟化環烷基; 氟環戊烯基、九氟環己烯基等氟化環烯基等。 Examples of the monovalent fluorinated alicyclic hydrocarbon group having 3 to 10 carbon atoms include: Fluorocyclopentyl, difluorocyclopentyl, nonafluorocyclopentyl, fluorocyclohexyl, difluorocyclohexyl, undecafluorocyclohexylmethyl, fluoronorbornyl, fluoroadamantyl, fluorobornyl, fluoroiso Fluorinated cycloalkyl groups such as bornyl; Fluorinated cycloalkenyl such as fluorocyclopentenyl, nonafluorocyclohexenyl, etc.

作為所述氟化烴基,較佳為所述碳數1~10的一價氟化鏈狀烴基,更佳為碳數1~8的一價氟化烷基,進而佳為碳數1~6的全氟烷基,特佳為碳數1~6的直鏈狀全氟烷基。The fluorinated hydrocarbon group is preferably the monovalent fluorinated chain hydrocarbon group having 1 to 10 carbon atoms, more preferably a monovalent fluorinated alkyl group having 1 to 8 carbon atoms, and still more preferably a monovalent fluorinated alkyl group having 1 to 6 carbon atoms. The perfluoroalkyl group is particularly preferably a linear perfluoroalkyl group having 1 to 6 carbon atoms.

所述式(2-1)中,作為Z 1及Z 2所表示的一價氟化烴基中的一個以上的碳原子經*-O-*所表示的連結基取代而成的基,分別獨立地例如可列舉甲氧基甲基等。 In the above formula (2-1), as groups in which one or more carbon atoms in the monovalent fluorinated hydrocarbon groups represented by Z 1 and Z 2 are substituted with a linking group represented by *-O-*, each independently Examples include methoxymethyl and the like.

所述式(2-1)中,作為Z 1及Z 2所表示的一價氟化烴基中的一個以上的碳原子經*-CO-*所表示的連結基取代而成的基,分別獨立地例如可列舉乙醯基等。 In the above formula (2-1), as a group in which one or more carbon atoms in the monovalent fluorinated hydrocarbon groups represented by Z 1 and Z 2 are substituted with a linking group represented by *-CO-*, each independently For example, acetyl group and the like can be mentioned.

所述式(2-1)中,作為Z 1及Z 2所表示的一價氟化烴基中的一個以上的碳原子經*-COO-*所表示的連結基取代而成的基,分別獨立地例如可列舉甲氧基羰基、乙氧基羰基等。 In the above formula (2-1), as a group in which one or more carbon atoms in the monovalent fluorinated hydrocarbon groups represented by Z 1 and Z 2 are substituted by a linking group represented by *-COO-*, each independently Examples include methoxycarbonyl, ethoxycarbonyl and the like.

所述式(2-1)中,作為Z 1及Z 2所表示的一價氟化烴基中的一個以上的碳原子經*-OCO-*所表示的連結基取代而成的基,分別獨立地例如可列舉碳酸甲酯基等。 In the above formula (2-1), as groups in which one or more carbon atoms in the monovalent fluorinated hydrocarbon groups represented by Z 1 and Z 2 are substituted with a linking group represented by *-OCO-*, each independently Examples include methyl carbonate and the like.

所述式(2-1)中,作為所述Z 1及Z 2相互結合並與該些所鍵結的碳原子一起構成的環員數3~20的環結構(b),例如若為自構成所述碳數的烴的碳環的同一碳原子上去除2個氫原子而成的基,則並無特別限定。 In the above formula (2-1), as the ring structure (b) with 3 to 20 ring members formed by the bonding of the Z 1 and Z 2 to each other and the carbon atoms to which these bonded carbon atoms are formed, for example, if it is a self- The group obtained by removing two hydrogen atoms from the same carbon atom of the carbocyclic ring of the hydrocarbon having the above-mentioned number of carbon atoms is not particularly limited.

所述式(2-1)中,作為L所表示的二價有機基,例如可列舉經取代或未經取代的、碳數1~30的二價烴基、於該烴基的碳-碳鍵間具有-O-、-S-、-CO-、-COO-、-NR 20-、-CONR 20-等的二價基(R 20為氫原子或一價烴基;以下相同)、二價雜環基等。 In the above formula (2-1), the divalent organic group represented by L includes, for example, a substituted or unsubstituted divalent hydrocarbon group having 1 to 30 carbon atoms, and a carbon-carbon bond between the hydrocarbon group. Divalent groups having -O-, -S-, -CO-, -COO-, -NR 20 -, -CONR 20 -, etc. (R 20 is a hydrogen atom or a monovalent hydrocarbon group; the same applies hereinafter), divalent heterocycles Base et al.

所述式(2-1)中,n為1~5的整數,較佳為2~3。In the above formula (2-1), n is an integer of 1 to 5, preferably 2 to 3.

作為第一結構單元,例如可列舉下述式(F-1)~式(F-34)所表示的結構單元(以下,亦稱為「結構單元(1-1)~結構單元(1-34)」)等。Examples of the first structural unit include structural units represented by the following formulae (F-1) to (F-34) (hereinafter, also referred to as “structural units (1-1) to structural units (1-34). )")Wait.

[化5]

Figure 02_image007
Figure 02_image009
Figure 02_image011
Figure 02_image013
[hua 5]
Figure 02_image007
Figure 02_image009
Figure 02_image011
Figure 02_image013

相對於構成所述樹脂A的所有結構單元,所述樹脂A中的第一結構單元的含有比例例如較佳為10莫耳%以上,更佳為20莫耳%以上。另外,可為100莫耳%,較佳為90莫耳%以下,更佳為80莫耳%以下。The content ratio of the first structural unit in the resin A is, for example, preferably 10 mol % or more, more preferably 20 mol % or more, with respect to all the structural units constituting the resin A. In addition, it may be 100 mol %, preferably 90 mol % or less, more preferably 80 mol % or less.

所述樹脂A可更具有下述式(3)所表示的第二結構單元(以下,亦稱為「結構單元(2)」)。 [化6]

Figure 02_image015
(式(3)中, R 4為氫原子、氟原子、甲基或三氟甲基; R 5為碳數1~20的一價烴基; R 6及R 7分別獨立地為碳數1~10的一價鏈狀烴基或碳數3~20的一價脂環式烴基、或者R 6及R 7相互結合並與該些所鍵結的碳原子一起構成的碳數3~20的二價脂環式基) The resin A may further have a second structural unit represented by the following formula (3) (hereinafter, also referred to as "structural unit (2)"). [hua 6]
Figure 02_image015
(In formula (3), R 4 is a hydrogen atom, a fluorine atom, a methyl group or a trifluoromethyl group; R 5 is a monovalent hydrocarbon group having 1 to 20 carbon atoms; R 6 and R 7 are each independently a carbon number of 1 to 20. A monovalent chain hydrocarbon group of 10 or a monovalent alicyclic hydrocarbon group of 3 to 20 carbon atoms, or a divalent carbon number of 3 to 20 composed of R 6 and R 7 combined with each other and these bonded carbon atoms alicyclic base)

所述式(3)中,作為R 5所表示的碳數1~20的一價烴基,例如可列舉甲基、乙基等。 In the above formula (3), examples of the monovalent hydrocarbon group having 1 to 20 carbon atoms represented by R 5 include a methyl group, an ethyl group, and the like.

所述式(3)中,作為R 6及R 7所表示的碳數1~10的一價鏈狀烴基,分別獨立地例如可列舉甲基、乙基等。 In the above formula (3), examples of the monovalent chain hydrocarbon group having 1 to 10 carbon atoms represented by R 6 and R 7 each independently include, for example, a methyl group, an ethyl group, and the like.

所述式(3)中,作為R 6及R 7所表示的碳數3~20的一價脂環式烴基,分別獨立地例如可列舉環戊基、環己基等。 In the above formula (3), examples of the monovalent alicyclic hydrocarbon group having 3 to 20 carbon atoms represented by R 6 and R 7 each independently include, for example, a cyclopentyl group, a cyclohexyl group, and the like.

所述式(3)中,作為R 6及R 7相互結合並與該些所鍵結的碳原子一起構成的碳數3~20的二價脂環式基,若為自構成所述碳數的烴的碳環的同一碳原子上去除2個氫原子而成的基,則並無特別限定。 In the above formula (3), as a bivalent alicyclic group having 3 to 20 carbon atoms in which R 6 and R 7 are bonded to each other and constituted together with these bonded carbon atoms, if it is self-constituting the above carbon atoms The group obtained by removing two hydrogen atoms from the same carbon atom of the carbocyclic ring of the hydrocarbon is not particularly limited.

作為第二結構單元,例如可列舉下述式(2-1)~式(2-6)所表示的結構單元(以下,亦稱為「結構單元(2-1)~結構單元(2-6)」)等。Examples of the second structural unit include structural units represented by the following formulae (2-1) to (2-6) (hereinafter, also referred to as “structural units (2-1) to structural units (2-6). )")Wait.

[化7]

Figure 02_image017
[hua 7]
Figure 02_image017

所述式(2-1)~式(2-6)中,R 4~R 7與所述式(2)為相同含義。i及j分別獨立地為0~16的整數。k為0~1。 In the above formulas (2-1) to (2-6), R 4 to R 7 have the same meanings as in the above formula (2). i and j are each independently an integer of 0 to 16. k is 0-1.

作為i及j,較佳為1。作為R 5,較佳為甲基、乙基或異丙基。 As i and j, 1 is preferable. As R 5 , methyl, ethyl or isopropyl is preferred.

所述樹脂A亦可包含一種或組合包含兩種以上的結構單元(2)。The resin A may contain one kind or two or more kinds of structural units (2) in combination.

相對於構成所述樹脂A的所有結構單元,包含第二結構單元時的所述樹脂A中的第二結構單元的含有比例例如較佳為1莫耳%以上,更佳為5莫耳%以上。另外,較佳為70莫耳%以下,更佳為60莫耳%以下。With respect to all the structural units constituting the resin A, the content ratio of the second structural unit in the resin A when the second structural unit is included is, for example, preferably 1 mol % or more, more preferably 5 mol % or more. . In addition, it is preferably 70 mol % or less, more preferably 60 mol % or less.

(樹脂A的合成方法) 所述樹脂A例如可藉由使用自由基聚合起始劑等,使提供各結構單元的單量體於適當的溶劑中進行聚合來合成。 (Synthesis method of resin A) The resin A can be synthesized by, for example, polymerizing a monomer providing each structural unit in an appropriate solvent using a radical polymerization initiator or the like.

作為所述自由基聚合起始劑,可列舉:偶氮雙異丁腈(Azobisisobutyronitrile,AIBN)、2,2'-偶氮雙(4-甲氧基-2,4-二甲基戊腈)、2,2'-偶氮雙(2-環丙基丙腈)、2,2'-偶氮雙(2,4-二甲基戊腈)、2,2'-偶氮雙異丁酸二甲酯等偶氮系自由基起始劑;過氧化苯甲醯、第三丁基過氧化氫、枯烯過氧化氫等過氧化物系自由基起始劑等。該些中,較佳為AIBN、2,2'-偶氮雙異丁酸二甲酯,更佳為AIBN。該些自由基起始劑可單獨使用一種或混合使用兩種以上。Examples of the radical polymerization initiator include: azobisisobutyronitrile (AIBN), 2,2'-azobis(4-methoxy-2,4-dimethylvaleronitrile) , 2,2'-azobis(2-cyclopropylpropanenitrile), 2,2'-azobis(2,4-dimethylvaleronitrile), 2,2'-azobisisobutyric acid Azo radical initiators such as dimethyl ester; peroxide radical initiators such as benzyl peroxide, tert-butyl hydroperoxide, cumene hydroperoxide, etc. Among these, AIBN and dimethyl 2,2'-azobisisobutyrate are preferable, and AIBN is more preferable. These radical initiators may be used alone or in combination of two or more.

作為所述聚合中所使用的溶劑,例如可列舉: 正戊烷、正己烷、正庚烷、正辛烷、正壬烷、正癸烷等烷烴類; 環己烷、環庚烷、環辛烷、十氫萘、降冰片烷等環烷烴類; 苯、甲苯、二甲苯、乙基苯、枯烯等芳香族烴類; 氯丁烷類、溴己烷類、二氯乙烷類、六亞甲基二溴(hexamethylene dibromide)、氯苯等鹵化烴類; 乙酸乙酯、乙酸正丁酯、乙酸異丁酯、丙酸甲酯等飽和羧酸酯類; 丙酮、甲基乙基酮、4-甲基-2-戊酮、2-庚酮等酮類; 四氫呋喃、二甲氧基乙烷類、二乙氧基乙烷類等醚類; 甲醇、乙醇、1-丙醇、2-丙醇、4-甲基-2-戊醇等醇類等。該些於聚合中所使用的溶劑可單獨一種或併用兩種以上。 Examples of the solvent used in the polymerization include: n-pentane, n-hexane, n-heptane, n-octane, n-nonane, n-decane and other alkanes; Cycloalkanes such as cyclohexane, cycloheptane, cyclooctane, decalin, norbornane; Aromatic hydrocarbons such as benzene, toluene, xylene, ethylbenzene, cumene; Halogenated hydrocarbons such as chlorobutane, bromohexane, dichloroethane, hexamethylene dibromide, and chlorobenzene; Saturated carboxylic acid esters such as ethyl acetate, n-butyl acetate, isobutyl acetate, methyl propionate; Acetone, methyl ethyl ketone, 4-methyl-2-pentanone, 2-heptanone and other ketones; Ethers such as tetrahydrofuran, dimethoxyethane, and diethoxyethane; Alcohols such as methanol, ethanol, 1-propanol, 2-propanol, 4-methyl-2-pentanol, etc. These solvents used for the polymerization may be used alone or in combination of two or more.

作為所述聚合中的反應溫度,通常為40℃~150℃,較佳為50℃~120℃。作為反應時間,通常為1小時~48小時,較佳為1小時~24小時。The reaction temperature in the polymerization is usually 40°C to 150°C, preferably 50°C to 120°C. The reaction time is usually 1 hour to 48 hours, preferably 1 hour to 24 hours.

所述樹脂A的分子量並無特別限定,藉由凝膠滲透層析法(gel permeation chromatography,GPC)所得的聚苯乙烯換算重量平均分子量(Mw)較佳為1,000以上、50,000以下,更佳為2,000以上、30,000以下,進而佳為3,000以上、15,000以下,特佳為4,000以上、12,000以下。若基礎樹脂的Mw未滿所述下限,則存在所獲得的抗蝕劑膜的耐熱性降低的情況。若基礎樹脂的Mw超過所述上限,則存在抗蝕劑膜的顯影性降低的情況。The molecular weight of the resin A is not particularly limited, and the polystyrene-equivalent weight average molecular weight (Mw) obtained by gel permeation chromatography (GPC) is preferably 1,000 or more and 50,000 or less, more preferably 2,000 or more and 30,000 or less, more preferably 3,000 or more and 15,000 or less, and particularly preferably 4,000 or more and 12,000 or less. If the Mw of the base resin is less than the lower limit, the heat resistance of the obtained resist film may decrease. When Mw of a base resin exceeds the said upper limit, the developability of a resist film may fall.

所述樹脂A的Mw相對於藉由GPC所得的聚苯乙烯換算數量平均分子量(Mn)的比(Mw/Mn)通常為1以上、5以下,較佳為1以上、3以下,進而佳為1以上、2以下。The ratio (Mw/Mn) of Mw of the resin A to the polystyrene conversion number average molecular weight (Mn) obtained by GPC is usually 1 or more and 5 or less, preferably 1 or more and 3 or less, and more preferably 1 or more and 2 or less.

所述樹脂A的Mw及Mn是使用以下條件下的凝膠滲透層析法(GPC)而測定的值。The Mw and Mn of the resin A are values measured using gel permeation chromatography (GPC) under the following conditions.

GPC管柱:G2000HXL 2根、G3000HXL 1根、G4000HXL 1根(以上為東曹(Tosoh)公司製造) 管柱溫度:40℃ 溶出溶劑:四氫呋喃 流速:1.0 mL/分鐘 試樣濃度:1.0質量% 試樣注入量:100 μL 檢測器:示差折射計 標準物質:單分散聚苯乙烯 GPC columns: 2 G2000HXL, 1 G3000HXL, 1 G4000HXL (the above are manufactured by Tosoh Corporation) Column temperature: 40℃ Dissolution solvent: tetrahydrofuran Flow rate: 1.0 mL/min Sample concentration: 1.0% by mass Sample injection volume: 100 μL Detector: Differential Refractometer Standard material: monodisperse polystyrene

相對於下述基礎樹脂100質量份,樹脂A的含量較佳為0.1質量份以上,更佳為0.5質量份以上,進而佳為1質量份以上,特佳為1.5質量份以上。另外,較佳為15質量份以下,更佳為12質量份以下,進而佳為10質量份以下,特佳為8質量份以下。The content of resin A is preferably 0.1 part by mass or more, more preferably 0.5 part by mass or more, still more preferably 1 part by mass or more, and particularly preferably 1.5 part by mass or more with respect to 100 parts by mass of the following base resin. Moreover, 15 mass parts or less are preferable, 12 mass parts or less are more preferable, 10 mass parts or less are still more preferable, and 8 mass parts or less are especially preferable.

(樹脂B) 樹脂B為含有具有酸解離性基的結構單元的樹脂(以下,亦將該樹脂稱為「基礎樹脂」)。樹脂B為具有包含酸解離性基的結構單元(以下,亦稱為「結構單元(I)」)的聚合體的集合體。所謂「酸解離性基」是指對羧基、酚性羥基、醇性羥基、磺基等所具有的氫原子進行取代的基,且為藉由酸的作用而進行解離的基。該感放射線性樹脂組成物藉由所述樹脂具有結構單元(I),而圖案形成性優異。 (Resin B) The resin B is a resin containing a structural unit having an acid dissociable group (hereinafter, this resin is also referred to as a "base resin"). Resin B is an aggregate of polymers having a structural unit containing an acid dissociable group (hereinafter, also referred to as "structural unit (I)"). The "acid-dissociable group" refers to a group that substitutes a hydrogen atom contained in a carboxyl group, a phenolic hydroxyl group, an alcoholic hydroxyl group, a sulfo group, and the like, and is a group that is dissociated by the action of an acid. This radiation-sensitive resin composition is excellent in pattern formability because the resin has the structural unit (I).

基礎樹脂較佳為除結構單元(I)以外,亦具有後述的包含選自由內酯結構、環狀碳酸酯結構及磺內酯結構所組成的群組中的至少一種的結構單元(II),亦可具有結構單元(I)及結構單元(II)以外的其他結構單元。以下,對各結構單元進行說明。The base resin preferably has, in addition to the structural unit (I), a structural unit (II) including at least one selected from the group consisting of a lactone structure, a cyclic carbonate structure, and a sultone structure, which will be described later, You may have other structural units other than the structural unit (I) and the structural unit (II). Hereinafter, each structural unit will be described.

[結構單元(I)] 結構單元(I)為包含酸解離性基的結構單元。作為結構單元(I),只要包含酸解離性基,則並無特別限定,例如可列舉具有三級烷基酯部分的結構單元、具有酚性羥基的氫原子經三級烷基取代的結構的結構單元、具有縮醛鍵的結構單元等,就提高該感放射線性樹脂組成物的圖案形成性的觀點而言,較佳為下述式(4)所表示的結構單元(以下,亦稱為「結構單元(I-1)」)。 [Structural unit (I)] The structural unit (I) is a structural unit containing an acid dissociable group. The structural unit (I) is not particularly limited as long as it contains an acid dissociable group, and examples thereof include a structural unit having a tertiary alkyl ester moiety, and a structure in which a hydrogen atom having a phenolic hydroxyl group is substituted with a tertiary alkyl group. A structural unit, a structural unit having an acetal bond, or the like, is preferably a structural unit represented by the following formula (4) (hereinafter, also referred to as the "Structural Unit (I-1)").

[化8]

Figure 02_image019
(式(4)中, R 8為氫原子、氟原子、甲基或三氟甲基; R 9為碳數1~20的一價烴基; R 10及R 11分別獨立地為碳數1~10的一價鏈狀烴基或碳數3~20的一價脂環式烴基、或者R 10及R 11相互結合並與該些所鍵結的碳原子一起構成的碳數3~20的二價脂環式基) [hua 8]
Figure 02_image019
(In the formula (4), R 8 is a hydrogen atom, a fluorine atom, a methyl group or a trifluoromethyl group; R 9 is a monovalent hydrocarbon group having 1 to 20 carbon atoms; R 10 and R 11 are independently each of which has a carbon number of 1 to 20. A monovalent chain hydrocarbon group of 10 or a monovalent alicyclic hydrocarbon group of 3 to 20 carbon atoms, or a divalent carbon number of 3 to 20 in which R 10 and R 11 are bonded to each other and together with these bonded carbon atoms alicyclic base)

所述式(4)中,作為R 9所表示的碳數1~20的一價烴基,例如可列舉甲基、乙基等。 In the above formula (4), examples of the monovalent hydrocarbon group having 1 to 20 carbon atoms represented by R 9 include a methyl group, an ethyl group, and the like.

所述式(4)中,作為R 10及R 11所表示的碳數1~10的一價鏈狀烴基,分別獨立地例如可列舉甲基、乙基等。 In the above formula (4), examples of the monovalent chain hydrocarbon group having 1 to 10 carbon atoms represented by R 10 and R 11 each independently include, for example, a methyl group, an ethyl group, and the like.

所述式(4)中,作為R 10及R 11所表示的碳數3~20的一價脂環式烴基,分別獨立地例如可列舉環戊基、環己基等。 In the above formula (4), examples of the monovalent alicyclic hydrocarbon group having 3 to 20 carbon atoms represented by R 10 and R 11 each independently include, for example, a cyclopentyl group, a cyclohexyl group, and the like.

所述式(4)中,作為R 10及R 11相互結合並與該些所鍵結的碳原子一起構成的碳數3~20的二價脂環式基,若為自構成所述碳數的烴的碳環的同一碳原子上去除2個氫原子而成的基,則並無特別限定。 In the above formula (4), as a bivalent alicyclic group having 3 to 20 carbon atoms in which R 10 and R 11 are bonded to each other and constituted together with these bonded carbon atoms, if they are self-constituting the above carbon atoms The group obtained by removing two hydrogen atoms from the same carbon atom of the carbocyclic ring of the hydrocarbon is not particularly limited.

作為結構單元(I-1),例如可列舉下述式(4-1)~式(4-6)所表示的結構單元(以下,亦稱為「結構單元(I-1-1)~結構單元(I-1-6)」)等。As the structural unit (I-1), for example, structural units represented by the following formulae (4-1) to (4-6) (hereinafter, also referred to as “structural unit (I-1-1) to unit (I-1-6)”), etc.

[化9]

Figure 02_image021
[Chemical 9]
Figure 02_image021

所述式(4-1)~式(4-6)中,R 8~R 11與所述式(4)為相同含義。i'及j'分別獨立地為0~16的整數。k'為0~1。 In the above formulas (4-1) to (4-6), R 8 to R 11 have the same meanings as in the above formula (4). i' and j' are each independently an integer of 0-16. k' is 0-1.

作為i'及j',較佳為1。作為R 9,較佳為甲基、乙基或異丙基。 As i' and j', 1 is preferable. As R 9 , methyl, ethyl or isopropyl is preferred.

基礎樹脂亦可包含一種或組合包含兩種以上的結構單元(I)。The base resin may contain one kind or two or more kinds of structural units (I) in combination.

相對於構成基礎樹脂的所有結構單元,結構單元(I)的含有比例(於包含多種的情況下為合計的含有比例)較佳為10莫耳%以上,更佳為20莫耳%以上,進而佳為30莫耳%以上,特佳為35莫耳%以上。另外,較佳為80莫耳%以下,更佳為75莫耳%以下,進而佳為70莫耳%以下,特佳為65莫耳%以下。藉由將結構單元(I)的含有比例設為所述範圍,可進一步提高該感放射線性樹脂組成物的圖案形成性。With respect to all the structural units constituting the base resin, the content ratio of the structural unit (I) (in the case of including a plurality of types, the total content ratio) is preferably 10 mol % or more, more preferably 20 mol % or more, and further Preferably, it is 30 mol % or more, and particularly preferably 35 mol % or more. In addition, it is preferably 80 mol % or less, more preferably 75 mol % or less, still more preferably 70 mol % or less, and particularly preferably 65 mol % or less. By making the content ratio of a structural unit (I) into the said range, the pattern formability of this radiation sensitive resin composition can be improved further.

[結構單元(II)] 結構單元(II)為包含選自由內酯結構、環狀碳酸酯結構及磺內酯結構所組成的群組中的至少一種的結構單元。基礎樹脂藉由進而具有結構單元(II),可調整對於顯影液的溶解性,其結果,可提高該感放射線性樹脂組成物的解析性等微影性能。另外,可提高由基礎樹脂所形成的抗蝕劑圖案與基板的密接性。 [Structural unit (II)] The structural unit (II) is a structural unit containing at least one selected from the group consisting of a lactone structure, a cyclic carbonate structure, and a sultone structure. By further having the structural unit (II), the base resin can adjust the solubility with respect to the developing solution, and as a result, can improve the lithography performance such as the analytical properties of the radiation-sensitive resin composition. Moreover, the adhesiveness of the resist pattern formed with the base resin and a board|substrate can be improved.

作為結構單元(II),例如可列舉下述式(T-1)~式(T-10)所表示的結構單元等。As a structural unit (II), the structural unit etc. which are represented by following formula (T-1) - formula (T-10) are mentioned, for example.

[化10]

Figure 02_image023
[Chemical 10]
Figure 02_image023

所述式中,R L1為氫原子、氟原子、甲基或三氟甲基。R L2~R L5分別獨立地為氫原子、碳數1~4的烷基、氰基、三氟甲基、甲氧基、甲氧基羰基、羥基、羥基甲基、二甲基胺基。R L4及R L5亦可為相互結合並與該些所鍵結的碳原子一起構成的碳數3~8的二價脂環式基。L 2為單鍵或二價連結基。X為氧原子或亞甲基。k為0~3的整數。m為1~3的整數。 In the formula, R L1 is a hydrogen atom, a fluorine atom, a methyl group or a trifluoromethyl group. R L2 to R L5 are each independently a hydrogen atom, an alkyl group having 1 to 4 carbon atoms, a cyano group, a trifluoromethyl group, a methoxy group, a methoxycarbonyl group, a hydroxyl group, a hydroxymethyl group, and a dimethylamino group. R L4 and R L5 may be a divalent alicyclic group having 3 to 8 carbon atoms which are bonded to each other and constituted together with these bonded carbon atoms. L 2 is a single bond or a divalent linking group. X is an oxygen atom or a methylene group. k is an integer of 0-3. m is an integer of 1-3.

作為所述R L4及R L5相互結合並與該些所鍵結的碳原子一起構成的碳數3~8的二價脂環式基,可列舉所述式(1)中的R 1及R 2所表示的鏈狀烴基或脂環式烴基相互結合並與該些所鍵結的碳原子一起構成的碳數3~20的二價脂環式基中碳數為3~8的基。該脂環式基上的一個以上的氫原子亦可經羥基取代。 Examples of the divalent alicyclic group having 3 to 8 carbon atoms in which the R L4 and R L5 are bonded to each other and constituted together with the bonded carbon atoms include R 1 and R in the formula (1) above. The chain hydrocarbon group or alicyclic hydrocarbon group represented by 2 is a group having 3 to 8 carbon atoms among the divalent alicyclic groups having 3 to 20 carbon atoms in which the chain hydrocarbon group or the alicyclic hydrocarbon group is bonded to each other and constituted together with the bonded carbon atoms. One or more hydrogen atoms on the alicyclic group may be substituted with a hydroxyl group.

作為所述L 2所表示的二價連結基,例如可列舉:碳數1~10的二價直鏈狀或分支狀的烴基、碳數4~12的二價脂環式烴基、或者由該些烴基的一個以上與-CO-、-O-、-NH-及-S-中的至少一種基構成的基等。 Examples of the divalent linking group represented by L 2 include a divalent linear or branched hydrocarbon group having 1 to 10 carbon atoms, a divalent alicyclic hydrocarbon group having 4 to 12 carbon atoms, or a divalent alicyclic hydrocarbon group having 4 to 12 carbon atoms. One or more of these hydrocarbon groups and at least one group of -CO-, -O-, -NH- and -S-, etc.

作為結構單元(II),該些中較佳為包含內酯結構的結構單元,更佳為包含降冰片烷內酯結構的結構單元,進而佳為源自(甲基)丙烯酸降冰片烷內酯-基酯的結構單元。As the structural unit (II), among these, a structural unit containing a lactone structure is preferable, a structural unit containing a norbornane lactone structure is more preferable, and a norbornane lactone derived (meth)acrylate is further preferable. - Structural unit of base ester.

相對於構成基礎樹脂的所有結構單元,結構單元(II)的含有比例較佳為20莫耳%以上,更佳為25莫耳%以上,進而佳為30莫耳%以上。另外,較佳為80莫耳%以下,更佳為75莫耳%以下,進而佳為70莫耳%以下。藉由將結構單元(II)的含有比例設為所述範圍,可進一步提高該感放射線性樹脂組成物的解析性等微影性能及所形成的抗蝕劑圖案與基板的密接性。The content ratio of the structural unit (II) is preferably 20 mol % or more, more preferably 25 mol % or more, and still more preferably 30 mol % or more with respect to all the structural units constituting the base resin. In addition, it is preferably 80 mol % or less, more preferably 75 mol % or less, still more preferably 70 mol % or less. By making the content ratio of a structural unit (II) into the said range, lithography performance, such as the analytical property of this radiation sensitive resin composition, and the adhesiveness of the formed resist pattern and a board|substrate can be improved further.

[結構單元(III)] 基礎樹脂除所述結構單元(I)及結構單元(II)以外,亦任意地具有其他結構單元。作為所述其他結構單元,例如可列舉包含極性基的結構單元(III)等(其中,相當於結構單元(II)者除外)。基礎樹脂藉由進而具有結構單元(III),可調整對於顯影液的溶解性,其結果,可提高該感放射線性樹脂組成物的解析性等微影性能。作為所述極性基,例如可列舉:羥基、羧基、氰基、硝基、磺醯胺基等。該些中,較佳為羥基、羧基,更佳為羥基。 [Structural unit (III)] The base resin optionally has other structural units in addition to the structural unit (I) and the structural unit (II). As said other structural unit, the structural unit (III) containing a polar group etc. are mentioned, for example (however, the thing corresponding to a structural unit (II) is excluded). By further having the structural unit (III), the base resin can adjust the solubility with respect to the developing solution, and as a result, can improve the lithography performance such as the analytical properties of the radiation-sensitive resin composition. As said polar group, a hydroxyl group, a carboxyl group, a cyano group, a nitro group, a sulfonamido group etc. are mentioned, for example. Among these, a hydroxyl group and a carboxyl group are preferable, and a hydroxyl group is more preferable.

作為結構單元(III),例如可列舉下述式所表示的結構單元等。As a structural unit (III), the structural unit etc. which are represented by the following formula are mentioned, for example.

[化11]

Figure 02_image025
[Chemical 11]
Figure 02_image025

所述式中,R A為氫原子、氟原子、甲基或三氟甲基。 In the formula, RA is a hydrogen atom, a fluorine atom, a methyl group or a trifluoromethyl group.

於所述基礎樹脂含有所述具有極性基的結構單元(III)的情況下,相對於構成基礎樹脂的所有結構單元,所述結構單元(III)的含有比例較佳為5莫耳%以上,更佳為8莫耳%以上,進而佳為10莫耳%以上。另外,較佳為40莫耳%以下,更佳為35莫耳%以下,進而佳為30莫耳%以下。藉由將結構單元(III)的含有比例設為所述範圍,可進一步提高該感放射線性樹脂組成物的解析性等微影性能。When the base resin contains the structural unit (III) having a polar group, the content of the structural unit (III) is preferably 5 mol % or more relative to all the structural units constituting the base resin, More preferably, it is 8 mol % or more, and still more preferably 10 mol % or more. In addition, it is preferably 40 mol % or less, more preferably 35 mol % or less, still more preferably 30 mol % or less. By setting the content ratio of the structural unit (III) to the above-mentioned range, the lithography performance such as the resolution of the radiation-sensitive resin composition can be further improved.

[結構單元(IV)] 作為其他結構單元,除所述具有極性基的結構單元(III)以外,基礎樹脂任意地具有源自羥基苯乙烯的結構單元或具有酚性羥基的結構單元(以下,亦將兩者一起稱為「結構單元(IV)」)。結構單元(IV)有助於耐蝕刻性的提高和曝光部與未曝光部之間的顯影液溶解性的差(溶解對比度)的提高。特別是可較佳地應用於使用藉由電子束或EUV等波長50 nm以下的放射線的曝光的圖案形成。於該情況下,樹脂較佳為一併具有結構單元(IV)以及結構單元(I)。 [Structural Unit (IV)] As other structural units, in addition to the structural unit (III) having a polar group, the base resin optionally has a structural unit derived from hydroxystyrene or a structural unit having a phenolic hydroxyl group (hereinafter, both are also referred to together). "Structural Unit (IV)"). The structural unit (IV) contributes to the improvement of etching resistance and the improvement of the difference in developer solubility (dissolution contrast) between the exposed part and the unexposed part. In particular, it can be preferably applied to pattern formation using exposure with radiation having a wavelength of 50 nm or less, such as electron beams or EUV. In this case, it is preferable that resin has a structural unit (IV) and a structural unit (I) together.

於該情況下,較佳為於聚合時以藉由鹼解離性基等保護基保護酚性羥基的狀態進行聚合,然後進行水解並脫保護,藉此獲得結構單元(IV)。作為藉由水解而提供結構單元(IV)的結構單元,較佳為由下述式(6-1)、式(6-2)表示。In this case, it is preferable to carry out the polymerization in a state in which the phenolic hydroxyl group is protected by a protecting group such as an alkali dissociable group during the polymerization, and then hydrolyze and deprotect, whereby the structural unit (IV) is obtained. The structural unit which provides the structural unit (IV) by hydrolysis is preferably represented by the following formula (6-1) and formula (6-2).

[化12]

Figure 02_image027
[Chemical 12]
Figure 02_image027

所述式(6-1)、式(6-2)中,R 13為氫原子、氟原子、甲基或三氟甲基。R 14為碳數1~20的一價烴基或烷氧基。作為R 14中的碳數1~20的一價烴基,可列舉結構單元(I)中的R 8中的碳數1~20的一價烴基。作為烷氧基,例如可列舉:甲氧基、乙氧基及第三丁氧基等。 In the formula (6-1) and formula (6-2), R 13 is a hydrogen atom, a fluorine atom, a methyl group or a trifluoromethyl group. R 14 is a monovalent hydrocarbon group or an alkoxy group having 1 to 20 carbon atoms. Examples of the monovalent hydrocarbon group having 1 to 20 carbon atoms in R 14 include the monovalent hydrocarbon group having 1 to 20 carbon atoms in R 8 in the structural unit (I). As an alkoxy group, a methoxy group, an ethoxy group, a 3rd butoxy group, etc. are mentioned, for example.

作為所述R 14,較佳為烷基及烷氧基,其中更佳為甲基、第三丁氧基。 As the R 14 , an alkyl group and an alkoxy group are preferable, and among them, a methyl group and a tertiary butoxy group are more preferable.

於藉由波長50 nm以下的放射線的曝光用的樹脂的情況下,相對於構成樹脂的所有結構單元,結構單元(IV)的含有比例較佳為10莫耳%以上,更佳為20莫耳%以上。另外,較佳為70莫耳%以下,更佳為60莫耳%以下。In the case of a resin for exposure by radiation with a wavelength of 50 nm or less, the content ratio of the structural unit (IV) is preferably 10 mol % or more, more preferably 20 mol % with respect to all the structural units constituting the resin. %above. In addition, it is preferably 70 mol % or less, more preferably 60 mol % or less.

(樹脂B的合成方法) 所述樹脂B例如可藉由使用自由基聚合起始劑等,使提供各結構單元的單量體於適當的溶劑中進行聚合來合成。 (Synthesis method of resin B) The resin B can be synthesized by, for example, polymerizing a monomer providing each structural unit in an appropriate solvent using a radical polymerization initiator or the like.

作為所述自由基聚合起始劑,可列舉:偶氮雙異丁腈(AIBN)、2,2'-偶氮雙(4-甲氧基-2,4-二甲基戊腈)、2,2'-偶氮雙(2-環丙基丙腈)、2,2'-偶氮雙(2,4-二甲基戊腈)、2,2'-偶氮雙異丁酸二甲酯等偶氮系自由基起始劑;過氧化苯甲醯、第三丁基過氧化氫、枯烯過氧化氫等過氧化物系自由基起始劑等。該些中,較佳為AIBN、2,2'-偶氮雙異丁酸二甲酯,更佳為AIBN。該些自由基起始劑可單獨使用一種或混合使用兩種以上。As the radical polymerization initiator, azobisisobutyronitrile (AIBN), 2,2'-azobis(4-methoxy-2,4-dimethylvaleronitrile), 2,2'-azobis(4-methoxy-2,4-dimethylvaleronitrile), ,2'-azobis(2-cyclopropylpropanenitrile), 2,2'-azobis(2,4-dimethylvaleronitrile), 2,2'-azobisisobutyric acid dimethyl Azo-based radical initiators such as esters; peroxide-based radical initiators such as benzyl peroxide, tert-butyl hydroperoxide, cumene hydroperoxide, etc. Among these, AIBN and dimethyl 2,2'-azobisisobutyrate are preferable, and AIBN is more preferable. These radical initiators may be used alone or in combination of two or more.

作為所述聚合中所使用的溶劑,例如可列舉: 正戊烷、正己烷、正庚烷、正辛烷、正壬烷、正癸烷等烷烴類; 環己烷、環庚烷、環辛烷、十氫萘、降冰片烷等環烷烴類; 苯、甲苯、二甲苯、乙基苯、枯烯等芳香族烴類; 氯丁烷類、溴己烷類、二氯乙烷類、六亞甲基二溴(hexamethylene dibromide)、氯苯等鹵化烴類; 乙酸乙酯、乙酸正丁酯、乙酸異丁酯、丙酸甲酯等飽和羧酸酯類; 丙酮、甲基乙基酮、4-甲基-2-戊酮、2-庚酮等酮類; 四氫呋喃、二甲氧基乙烷類、二乙氧基乙烷類等醚類; 甲醇、乙醇、1-丙醇、2-丙醇、4-甲基-2-戊醇等醇類等。該些於聚合中所使用的溶劑可單獨一種或併用兩種以上。 Examples of the solvent used in the polymerization include: n-pentane, n-hexane, n-heptane, n-octane, n-nonane, n-decane and other alkanes; Cycloalkanes such as cyclohexane, cycloheptane, cyclooctane, decalin, norbornane; Aromatic hydrocarbons such as benzene, toluene, xylene, ethylbenzene, cumene; Halogenated hydrocarbons such as chlorobutane, bromohexane, dichloroethane, hexamethylene dibromide, and chlorobenzene; Saturated carboxylic acid esters such as ethyl acetate, n-butyl acetate, isobutyl acetate, methyl propionate; Acetone, methyl ethyl ketone, 4-methyl-2-pentanone, 2-heptanone and other ketones; Ethers such as tetrahydrofuran, dimethoxyethane, and diethoxyethane; Alcohols such as methanol, ethanol, 1-propanol, 2-propanol, 4-methyl-2-pentanol, etc. These solvents used for the polymerization may be used alone or in combination of two or more.

作為所述聚合中的反應溫度,通常為40℃~150℃,較佳為50℃~120℃。作為反應時間,通常為1小時~48小時,較佳為1小時~24小時。The reaction temperature in the polymerization is usually 40°C to 150°C, preferably 50°C to 120°C. The reaction time is usually 1 hour to 48 hours, preferably 1 hour to 24 hours.

基礎樹脂的分子量並無特別限定,藉由凝膠滲透層析法(GPC)所得的聚苯乙烯換算重量平均分子量(Mw)較佳為1,000以上、50,000以下,更佳為2,000以上、30,000以下,進而佳為3,000以上、15,000以下,特佳為4,000以上、12,000以下。若基礎樹脂的Mw未滿所述下限,則存在所獲得的抗蝕劑膜的耐熱性降低的情況。若基礎樹脂的Mw超過所述上限,則存在抗蝕劑膜的顯影性降低的情況。The molecular weight of the base resin is not particularly limited, and the polystyrene-equivalent weight average molecular weight (Mw) obtained by gel permeation chromatography (GPC) is preferably 1,000 or more and 50,000 or less, more preferably 2,000 or more and 30,000 or less, More preferably, it is 3,000 or more and 15,000 or less, and particularly preferably 4,000 or more and 12,000 or less. If the Mw of the base resin is less than the lower limit, the heat resistance of the obtained resist film may decrease. When Mw of a base resin exceeds the said upper limit, the developability of a resist film may fall.

基礎樹脂的Mw相對於藉由GPC所得的聚苯乙烯換算數量平均分子量(Mn)的比(Mw/Mn)通常為1以上、5以下,較佳為1以上、3以下,進而佳為1以上、2以下。The ratio (Mw/Mn) of Mw of the base resin to the polystyrene-equivalent number average molecular weight (Mn) obtained by GPC is usually 1 or more and 5 or less, preferably 1 or more and 3 or less, and more preferably 1 or more. , 2 or less.

基礎樹脂的Mw及Mn是與所述樹脂A的情況同樣地使用凝膠滲透層析法(GPC)而測定的值。The Mw and Mn of the base resin are values measured by gel permeation chromatography (GPC) in the same manner as in the case of the resin A described above.

作為基礎樹脂的含有比例,相對於該感放射線性樹脂組成物的總固體成分,較佳為70質量%以上,更佳為80質量%以上,進而佳為85質量%以上。The content of the base resin is preferably 70% by mass or more, more preferably 80% by mass or more, and still more preferably 85% by mass or more with respect to the total solid content of the radiation-sensitive resin composition.

(其他樹脂) 本實施方式的感放射線性樹脂組成物亦可包含氟原子的質量含有率較所述基礎樹脂更大的樹脂(以下,亦稱為「高氟含量樹脂」)作為與所述樹脂A不同的其他樹脂。於該感放射線性樹脂組成物含有高氟含量樹脂的情況下,可相對於所述基礎樹脂而偏向存在於抗蝕劑膜的表層,其結果,可提高液浸曝光時的抗蝕劑膜的表面的撥水性。 (other resins) The radiation-sensitive resin composition of the present embodiment may contain a resin having a larger mass content of fluorine atoms than the base resin (hereinafter, also referred to as "high fluorine content resin") as another resin different from the resin A. resin. When the radiation-sensitive resin composition contains a resin with a high fluorine content, it can be biased to exist in the surface layer of the resist film relative to the base resin, and as a result, the resistance of the resist film at the time of liquid immersion exposure can be improved. Water repellency of the surface.

作為高氟含量樹脂,較佳為例如具有下述式(7)所表示的結構單元(以下,亦稱為「結構單元(V)」),視需要亦可具有所述基礎樹脂中的結構單元(I)或結構單元(II)。The high fluorine content resin preferably has, for example, a structural unit represented by the following formula (7) (hereinafter, also referred to as "structural unit (V)"), and may have a structural unit in the base resin as necessary (I) or structural unit (II).

[化13]

Figure 02_image029
[Chemical 13]
Figure 02_image029

所述式(7)中,R 15為氫原子、甲基或三氟甲基。G L為單鍵、氧原子、硫原子、-COO-、-SO 2ONH-、-CONH-或-OCONH-。R 16為碳數1~20的一價氟化鏈狀烴基或碳數3~20的一價氟化脂環式烴基。 In the formula (7), R 15 is a hydrogen atom, a methyl group or a trifluoromethyl group. GL is a single bond, an oxygen atom, a sulfur atom, -COO-, -SO 2 ONH- , -CONH- or -OCONH-. R 16 is a monovalent fluorinated chain hydrocarbon group having 1 to 20 carbon atoms or a monovalent fluorinated alicyclic hydrocarbon group having 3 to 20 carbon atoms.

作為所述R 1 5,就提供結構單元(V)的單量體的共聚性的觀點而言,較佳為氫原子及甲基,更佳為甲基。 As said R15 , a hydrogen atom and a methyl group are preferable from a viewpoint of the copolymerizability of the monomer which provides a structural unit (V), and a methyl group is more preferable.

作為所述G L,就提供結構單元(V)的單量體的共聚性的觀點而言,較佳為單鍵及-COO-,更佳為-COO-。 As said GL, a single bond and -COO- are preferable from a viewpoint of providing the copolymerizability of the monomer of a structural unit (V), and -COO- is more preferable.

作為所述R 1 6所表示的碳數1~20的一價氟化鏈狀烴基,可列舉碳數1~20的直鏈或分支鏈烷基所具有的氫原子的一部分或全部經氟原子取代而成者。 Examples of the monovalent fluorinated chain hydrocarbon group having 1 to 20 carbon atoms represented by R 1 6 include a part or all of the hydrogen atoms contained in the straight-chain or branched alkyl group having 1 to 20 carbon atoms that have undergone fluorine atoms. replaced by.

作為所述R 1 6所表示的碳數3~20的一價氟化脂環式烴基,可列舉碳數3~20的單環或多環式烴基所具有的氫原子的一部分或全部經氟原子取代而成者。 As the monovalent fluorinated alicyclic hydrocarbon group having 3 to 20 carbon atoms represented by R 1 6 , a part or all of the hydrogen atoms contained in the monocyclic or polycyclic hydrocarbon group having 3 to 20 carbon atoms are fluorinated. Atom replacement.

作為所述R 16,較佳為氟化鏈狀烴基,更佳為氟化烷基,進而佳為2,2,2-三氟乙基、1,1,1,3,3,3-六氟丙基及5,5,5-三氟-1,1-二乙基戊基。 The R 16 is preferably a fluorinated chain hydrocarbon group, more preferably a fluorinated alkyl group, and still more preferably 2,2,2-trifluoroethyl, 1,1,1,3,3,3-hexa Fluoropropyl and 5,5,5-trifluoro-1,1-diethylpentyl.

於高氟含量樹脂具有結構單元(V)的情況下,相對於構成高氟含量樹脂的所有結構單元,結構單元(V)的含有比例較佳為30莫耳%以上,更佳為40莫耳%以上,進而佳為45莫耳%以上,特佳為50莫耳%以上。另外,較佳為95莫耳%以下,更佳為90莫耳%以下,進而佳為85莫耳%以下。藉由將結構單元(V)的含有比例設為所述範圍,可更適度地調整高氟含量樹脂的氟原子的質量含有率,進一步促進於抗蝕劑膜的表層的偏向存在化,其結果,可進一步提高液浸曝光時的抗蝕劑膜的撥水性。When the high fluorine content resin has a structural unit (V), the content ratio of the structural unit (V) is preferably 30 mol % or more, more preferably 40 mol % with respect to all the structural units constituting the high fluorine content resin % or more, more preferably 45 mol % or more, and particularly preferably 50 mol % or more. In addition, it is preferably 95 mol % or less, more preferably 90 mol % or less, and still more preferably 85 mol % or less. By setting the content ratio of the structural unit (V) to the above-mentioned range, the mass content ratio of fluorine atoms in the resin with high fluorine content can be adjusted more appropriately, and the biased existence in the surface layer of the resist film can be further promoted, as a result. , the water repellency of the resist film during liquid immersion exposure can be further improved.

高氟含量樹脂亦可與結構單元(V)一併或者代替結構單元(V)而具有下述式(f-2)所表示的含氟原子的結構單元(以下,亦稱為結構單元(VI))。藉由高氟含量樹脂具有結構單元(f-2),可提高對於鹼性顯影液的溶解性,抑制顯影缺陷的產生。The high fluorine content resin may have a fluorine atom-containing structural unit (hereinafter, also referred to as a structural unit (VI) represented by the following formula (f-2) together with the structural unit (V) or in place of the structural unit (V). )). Since the high fluorine content resin has the structural unit (f-2), the solubility to an alkaline developer can be improved, and the occurrence of development defects can be suppressed.

[化14]

Figure 02_image031
[Chemical 14]
Figure 02_image031

結構單元(VI)大致區分為具有(x)鹼可溶性基的情況、以及具有(y)藉由鹼的作用解離且對於鹼性顯影液的溶解性增大的基(以下,亦簡稱為「鹼解離性基」)的情況此兩種情況。(x)、(y)兩者共通,所述式(f-2)中,R C為氫原子、氟原子、甲基或三氟甲基。R D為單鍵、碳數1~20的(s+1)價的烴基、於所述烴基的R E側的末端鍵結有氧原子、硫原子、-NR dd-、羰基、-COO-或-CONH-而成的結構、或者所述烴基所具有的氫原子的一部分經具有雜原子的有機基取代而成的結構。R dd為氫原子或碳數1~10的一價烴基。s為1~3的整數。 The structural unit (VI) is roughly classified into those having (x) an alkali-soluble group, and those having (y) a group that is dissociated by the action of an alkali and has an increased solubility in an alkaline developer (hereinafter, also abbreviated as "alkali"). dissociative base") in both cases. Both (x) and (y) are common, and in the formula (f-2), R C is a hydrogen atom, a fluorine atom, a methyl group or a trifluoromethyl group. R D is a single bond, a (s+1)-valent hydrocarbon group having 1 to 20 carbon atoms, and an oxygen atom, a sulfur atom, -NR dd -, a carbonyl group, and -COO- are bonded to the terminal on the R E side of the hydrocarbon group. or -CONH-, or a structure in which a part of the hydrogen atoms contained in the hydrocarbon group is substituted with an organic group having a heteroatom. R dd is a hydrogen atom or a monovalent hydrocarbon group having 1 to 10 carbon atoms. s is an integer of 1-3.

於結構單元(VI)具有(x)鹼可溶性基的情況下,R F為氫原子,A 1為氧原子、-COO-*或-SO 2O-*。*表示鍵結於R F的部位。W 1為單鍵、碳數1~20的烴基或二價氟化烴基。於A 1為氧原子的情況下,W 1為於A 1所鍵結的碳原子上具有氟原子或氟烷基的氟化烴基。R E為單鍵或碳數1~20的二價有機基。於s為2或3的情況下,多個R E、W 1、A 1及R F可分別相同亦可不同。藉由結構單元(VI)具有(x)鹼可溶性基,可提高對於鹼性顯影液的親和性,且抑制顯影缺陷。作為具有(x)鹼可溶性基的結構單元(VI),特佳為A 1為氧原子且W 1為1,1,1,3,3,3-六氟-2,2-甲烷二基的情況。 When the structural unit (VI) has (x) an alkali-soluble group, R F is a hydrogen atom, and A 1 is an oxygen atom, -COO-* or -SO 2 O-*. * Indicates the site bound to RF. W 1 is a single bond, a hydrocarbon group having 1 to 20 carbon atoms or a divalent fluorinated hydrocarbon group. When A 1 is an oxygen atom, W 1 is a fluorinated hydrocarbon group having a fluorine atom or a fluoroalkyl group on the carbon atom to which A 1 is bonded. R E is a single bond or a divalent organic group having 1 to 20 carbon atoms. When s is 2 or 3, a plurality of RE, W 1 , A 1 and RF may be the same or different, respectively. When the structural unit (VI) has (x) an alkali-soluble group, the affinity for an alkaline developer can be improved, and development defects can be suppressed. The structural unit (VI) having (x) an alkali-soluble group is particularly preferably one in which A 1 is an oxygen atom and W 1 is 1,1,1,3,3,3-hexafluoro-2,2-methanediyl. Happening.

於結構單元(VI)具有(y)鹼解離性基的情況下,R F為碳數1~30的一價有機基,A 1為氧原子、-NR aa-、-COO-*或-SO 2O-*。R aa為氫原子或碳數1~10的一價烴基。*表示鍵結於R F的部位。W 1為單鍵或碳數1~20的二價氟化烴基。R E為單鍵或碳數1~20的二價有機基。於A 1為-COO-*或-SO 2O-*的情況下,W 1或R F於與A 1鍵結的碳原子或與其鄰接的碳原子上具有氟原子。於A 1為氧原子的情況下,W 1、R E為單鍵,R D為於碳數1~20的烴基的R E側的末端鍵結有羰基而成的結構,R F為具有氟原子的有機基。於s為2或3的情況下,多個R E、W 1、A 1及R F可分別相同亦可不同。藉由結構單元(VI)具有(y)鹼解離性基,於鹼顯影步驟中,抗蝕劑膜表面自疏水性變化為親水性。其結果,可大幅提高對於顯影液的親和性,更有效率地抑制顯影缺陷。作為具有(y)鹼解離性基的結構單元(VI),特佳為A 1為-COO-*且R F或W 1或者該些兩者具有氟原子者。 When the structural unit (VI) has (y) a base dissociable group, R F is a monovalent organic group having 1 to 30 carbon atoms, and A 1 is an oxygen atom, -NR aa -, -COO-* or -SO 2 O-*. R aa is a hydrogen atom or a monovalent hydrocarbon group having 1 to 10 carbon atoms. * Indicates the site bound to RF. W 1 is a single bond or a divalent fluorinated hydrocarbon group having 1 to 20 carbon atoms. R E is a single bond or a divalent organic group having 1 to 20 carbon atoms. When A 1 is -COO-* or -SO 2 O-*, W 1 or R F has a fluorine atom on a carbon atom bonded to A 1 or a carbon atom adjacent thereto. When A 1 is an oxygen atom, W 1 and R E are a single bond, R D is a structure in which a carbonyl group is bonded to the terminal on the R E side of a hydrocarbon group having 1 to 20 carbon atoms, and R F is a structure having fluorine. The organic radical of an atom. When s is 2 or 3, a plurality of RE, W 1 , A 1 and RF may be the same or different, respectively. Since the structural unit (VI) has (y) an alkali dissociable group, in the alkali development step, the surface of the resist film is changed from hydrophobicity to hydrophilicity. As a result, the affinity for the developer can be greatly improved, and development defects can be suppressed more efficiently. As a structural unit (VI) which has a base dissociable group (y), A 1 is -COO-*, and it is especially preferable that R F or W 1 or both have a fluorine atom.

作為R C,就提供結構單元(VI)的單量體的共聚性等觀點而言,較佳為氫原子及甲基,更佳為甲基。 As R C , a hydrogen atom and a methyl group are preferable, and a methyl group is more preferable from the viewpoint of the copolymerizability of the monomer which provides the structural unit (VI).

於R E為二價有機基的情況下,較佳為具有內酯結構的基,更佳為具有多環的內酯結構的基,進而佳為具有降冰片烷內酯結構的基。 When RE is a divalent organic group, it is preferably a group having a lactone structure, more preferably a group having a polycyclic lactone structure, and still more preferably a group having a norbornane lactone structure.

於高氟含量樹脂具有結構單元(VI)的情況下,相對於構成高氟含量樹脂的所有結構單元,結構單元(VI)的含有比例較佳為50莫耳%以上,更佳為60莫耳%以上,進而佳為70莫耳%以上。另外,較佳為95莫耳%以下,更佳為90莫耳%以下,進而佳為85莫耳%以下。藉由將結構單元(VI)的含有比例設為所述範圍,可進一步提高液浸曝光時的抗蝕劑膜的撥水性。When the high fluorine content resin has a structural unit (VI), the content ratio of the structural unit (VI) is preferably 50 mol % or more, more preferably 60 mol % with respect to all the structural units constituting the high fluorine content resin % or more, more preferably 70 mol % or more. In addition, it is preferably 95 mol % or less, more preferably 90 mol % or less, and still more preferably 85 mol % or less. By setting the content ratio of the structural unit (VI) to the above range, the water repellency of the resist film at the time of liquid immersion exposure can be further improved.

[其他結構單元] 高氟含量樹脂亦可含有具有下述式(8)所表示的脂環結構的結構單元作為所述所列記的結構單元以外的結構單元。 [化15]

Figure 02_image033
(所述式(8)中,R 為氫原子、氟原子、甲基或三氟甲基;R 為碳數3~20的一價脂環式烴基) [Other Structural Units] The high fluorine content resin may contain, as structural units other than the structural units listed above, a structural unit having an alicyclic structure represented by the following formula (8). [Chemical 15]
Figure 02_image033
(In the above formula (8), R is a hydrogen atom, a fluorine atom, a methyl group or a trifluoromethyl group; R is a monovalent alicyclic hydrocarbon group having 3 to 20 carbon atoms)

所述式(8)中,作為R 所表示的碳數3~20的一價脂環式烴基,可列舉碳數3~20的單環或多環式烴基所具有的氫原子的一部分或全部經氟原子取代的基。 In the above formula (8), examples of the monovalent alicyclic hydrocarbon group having 3 to 20 carbon atoms represented by R include a part of hydrogen atoms contained in a monocyclic or polycyclic hydrocarbon group having 3 to 20 carbon atoms, or All groups substituted with fluorine atoms.

於高氟含量樹脂含有所述具有脂環結構的結構單元的情況下,相對於構成高氟含量樹脂的所有結構單元,所述具有脂環結構的結構單元的含有比例較佳為10莫耳%以上,更佳為20莫耳%以上,進而佳為30莫耳%以上。另外,較佳為70莫耳%以下,更佳為60莫耳%以下,進而佳為50莫耳%以下。When the high fluorine content resin contains the structural unit having an alicyclic structure, the content ratio of the structural unit having an alicyclic structure is preferably 10 mol % with respect to all the structural units constituting the high fluorine content resin Above, more preferably 20 mol % or more, still more preferably 30 mol % or more. In addition, it is preferably 70 mol % or less, more preferably 60 mol % or less, and still more preferably 50 mol % or less.

作為高氟含量樹脂的Mw的下限,較佳為1,000,更佳為2,000,進而佳為3,000,特佳為5,000。作為所述Mw的上限,較佳為50,000,更佳為30,000,進而佳為20,000,特佳為15,000。As a lower limit of Mw of a high fluorine content resin, 1,000 is preferable, 2,000 is more preferable, 3,000 is still more preferable, and 5,000 is especially preferable. The upper limit of the Mw is preferably 50,000, more preferably 30,000, still more preferably 20,000, and particularly preferably 15,000.

作為高氟含量樹脂的Mw/Mn的下限,通常為1,更佳為1.1。作為所述Mw/Mn的上限,通常為5,較佳為3,更佳為2,進而佳為1.9。The lower limit of Mw/Mn of the high fluorine content resin is usually 1, and more preferably 1.1. The upper limit of the Mw/Mn is usually 5, preferably 3, more preferably 2, still more preferably 1.9.

相對於所述基礎樹脂100質量份,高氟含量樹脂的含量較佳為0.1質量份以上,更佳為0.5質量份以上,進而佳為1質量份以上,特佳為1.5質量份以上。另外,較佳為15質量份以下,更佳為12質量份以下,進而佳為10質量份以下,特佳為8質量份以下。The content of the high fluorine content resin is preferably 0.1 part by mass or more, more preferably 0.5 part by mass or more, still more preferably 1 part by mass or more, and particularly preferably 1.5 part by mass or more, relative to 100 parts by mass of the base resin. Moreover, 15 mass parts or less are preferable, 12 mass parts or less are more preferable, 10 mass parts or less are still more preferable, and 8 mass parts or less are especially preferable.

該感放射線性樹脂組成物可含有一種或兩種以上的高氟含量樹脂。The radiation-sensitive resin composition may contain one kind or two or more kinds of high fluorine content resins.

(高氟含量樹脂的合成方法) 高氟含量樹脂可利用與所述樹脂A或基礎樹脂的合成方法相同的方法來合成。 (Synthesis method of resin with high fluorine content) The high fluorine content resin can be synthesized by the same method as that of the resin A or the base resin.

(感放射線性酸產生劑) 本實施方式的感放射線性樹脂組成物更包含感放射線性酸產生劑,所述感放射線性酸產生劑藉由放射線的照射(曝光)而產生酸。於具有結構單元(I)的基礎樹脂及所述樹脂A包含結構單元(2)的情況下,藉由曝光而由該感放射線性酸產生劑產生的酸可使該結構單元(I)及結構單元(2)所具有的酸解離性基解離,從而產生羧基等。 (radiosensitive acid generator) The radiation-sensitive resin composition of the present embodiment further includes a radiation-sensitive acid generator that generates an acid by irradiation (exposure) with radiation. In the case where the base resin having the structural unit (I) and the resin A includes the structural unit (2), the acid generated by the radiation-sensitive acid generator by exposure can make the structural unit (I) and the structure The acid-dissociable group of the unit (2) is dissociated to generate a carboxyl group or the like.

藉由感放射線性樹脂組成物含有所述感放射線性酸產生劑,曝光部的樹脂的極性增大,曝光部中的樹脂於鹼性水溶液顯影的情況下相對於顯影液成為溶解性,另一方面,於有機溶媒顯影的情況下相對於顯影液成為難溶性。When the radiation-sensitive resin composition contains the radiation-sensitive acid generator, the polarity of the resin in the exposed portion increases, and when the resin in the exposed portion is developed in an alkaline aqueous solution, it becomes soluble in the developing solution, and the other is On the other hand, in the case of developing with an organic solvent, it becomes poorly soluble with respect to a developing solution.

作為感放射線性酸產生劑,例如可列舉:鎓鹽化合物、磺醯亞胺化合物、含鹵素的化合物、重氮酮化合物等。作為鎓鹽化合物,例如可列舉:鋶鹽、四氫噻吩鎓鹽、錪鹽、鏻鹽、重氮鎓鹽、吡啶鎓鹽等。該些中,較佳為鋶鹽、錪鹽。As a radiation-sensitive acid generator, an onium salt compound, a sulfonimide compound, a halogen-containing compound, a diazoketone compound, etc. are mentioned, for example. As an onium salt compound, a pernium salt, a tetrahydrothiophenium salt, an iodonium salt, a phosphonium salt, a diazonium salt, a pyridinium salt, etc. are mentioned, for example. Among these, pericynium salts and iodonium salts are preferred.

作為藉由曝光而產生的酸,可列舉藉由曝光而產生磺酸者。作為此種酸,可列舉於與磺基鄰接的碳原子上取代有一個以上的氟原子或氟化烴基的化合物。其中,作為感放射線性酸產生劑,特佳為具有環狀結構者。As an acid generated by exposure, a sulfonic acid is generated by exposure. Examples of such an acid include compounds in which one or more fluorine atoms or fluorinated hydrocarbon groups are substituted on the carbon atoms adjacent to the sulfo group. Among them, as the radiation-sensitive acid generator, those having a cyclic structure are particularly preferred.

該些感放射線性酸產生劑可單獨使用,亦可併用兩種以上。相對於所述基礎樹脂100質量份,感放射線性酸產生劑的含量(於併用多種感放射線性酸產生劑的情況下為該些的合計)較佳為0.1質量份以上,更佳為1質量份以上,進而佳為5質量份以上。另外,相對於所述樹脂100質量份,較佳為40質量份以下,更佳為35質量份以下,進而佳為30質量份以下,特佳為20質量份以下。藉此,於形成抗蝕劑圖案時可發揮優異的感度或LWR性能、CDU性能。These radiation-sensitive acid generators may be used alone or in combination of two or more. With respect to 100 parts by mass of the base resin, the content of the radiation-sensitive acid generator (when a plurality of types of radiation-sensitive acid generators are used in combination, the sum of these) is preferably 0.1 part by mass or more, more preferably 1 part by mass part or more, more preferably 5 parts by mass or more. Moreover, 40 mass parts or less are preferable with respect to 100 mass parts of said resins, 35 mass parts or less are more preferable, 30 mass parts or less are further more preferable, and 20 mass parts or less are especially preferable. Thereby, excellent sensitivity, LWR performance, and CDU performance can be exhibited when forming a resist pattern.

(酸擴散控制劑) 所述感放射線性樹脂組成物視需要亦可含有酸擴散控制劑。酸擴散控制劑起到如下效果:控制藉由曝光而由感放射線性酸產生劑產生的酸於抗蝕劑膜中的擴散現象,並抑制非曝光區域中的欠佳的化學反應。另外,所獲得的感放射線性樹脂組成物的貯存穩定性提高。進而,抗蝕劑圖案的解析度進一步提高,並且可抑制由自曝光至顯影處理為止的放置時間的變動所引起的抗蝕劑圖案的線寬變化,從而可獲得製程穩定性優異的感放射線性樹脂組成物。 (acid diffusion control agent) The radiation-sensitive resin composition may contain an acid diffusion control agent as needed. The acid diffusion control agent has the effect of controlling the diffusion phenomenon in the resist film of the acid generated by the radiation-sensitive acid generator by exposure, and suppressing the undesired chemical reaction in the non-exposed area. In addition, the storage stability of the obtained radiation-sensitive resin composition is improved. Furthermore, the resolution of the resist pattern is further improved, and the variation in the line width of the resist pattern caused by the fluctuation of the standing time from exposure to development can be suppressed, so that radiation sensitivity excellent in process stability can be obtained. resin composition.

作為酸擴散控制劑,例如可列舉:下述式(5)所表示的化合物(以下,亦稱為「含氮化合物(I)」)、於同一分子內具有2個氮原子的化合物(以下,亦稱為「含氮化合物(II)」)、具有3個氮原子的化合物(以下,亦稱為「含氮化合物(III)」)、含醯胺基的化合物、脲化合物、含氮雜環化合物等。Examples of the acid diffusion control agent include a compound represented by the following formula (5) (hereinafter, also referred to as "nitrogen-containing compound (I)") and a compound having two nitrogen atoms in the same molecule (hereinafter, "nitrogen-containing compound (I)") Also referred to as "nitrogen-containing compound (II)"), compounds having three nitrogen atoms (hereinafter, also referred to as "nitrogen-containing compound (III)"), amide group-containing compounds, urea compounds, nitrogen-containing heterocycles compounds, etc.

[化16]

Figure 02_image035
[Chemical 16]
Figure 02_image035

所述式(5)中,R 22、R 23及R 24分別獨立地為氫原子、經取代或未經取代的烷基、經取代或未經取代的環烷基、經取代或未經取代的芳基或者經取代或未經取代的芳烷基。 In the formula (5), R 22 , R 23 and R 24 are each independently a hydrogen atom, a substituted or unsubstituted alkyl group, a substituted or unsubstituted cycloalkyl, substituted or unsubstituted aryl or substituted or unsubstituted aralkyl.

作為含氮化合物(I),例如可列舉:正己基胺等單烷基胺類;二正丁基胺等二烷基胺類;三乙基胺等三烷基胺類;苯胺等芳香族胺類等。Examples of the nitrogen-containing compound (I) include monoalkylamines such as n-hexylamine; dialkylamines such as di-n-butylamine; trialkylamines such as triethylamine; and aromatic amines such as aniline. class etc.

作為含氮化合物(II),例如可列舉乙二胺、N,N,N',N'-四甲基乙二胺等。As nitrogen-containing compound (II), ethylenediamine, N,N,N',N'- tetramethylethylenediamine, etc. are mentioned, for example.

作為含氮化合物(III),例如可列舉:聚乙烯亞胺、聚烯丙基胺等多胺化合物;二甲基胺基乙基丙烯醯胺等聚合體等。Examples of the nitrogen-containing compound (III) include polyamine compounds such as polyethyleneimine and polyallylamine; polymers such as dimethylaminoethylacrylamide and the like.

作為含醯胺基的化合物,例如可列舉:甲醯胺、N-甲基甲醯胺、N,N-二甲基甲醯胺、乙醯胺、N-甲基乙醯胺、N,N-二甲基乙醯胺、丙醯胺、苯甲醯胺、吡咯啶酮、N-甲基吡咯啶酮等。Examples of the amide group-containing compound include carboxamide, N-methylformamide, N,N-dimethylformamide, acetamide, N-methylacetamide, N,N - Dimethylacetamide, propionamide, benzylamide, pyrrolidone, N-methylpyrrolidone, etc.

作為脲化合物,例如可列舉:脲、甲基脲、1,1-二甲基脲、1,3-二甲基脲、1,1,3,3-四甲基脲、1,3-二苯基脲、三丁基硫脲等。As a urea compound, urea, methyl urea, 1, 1- dimethyl urea, 1, 3- dimethyl urea, 1, 1, 3, 3- tetramethyl urea, 1, 3- di- Phenyl urea, tributyl thiourea, etc.

作為含氮雜環化合物,例如可列舉:吡啶、2-甲基吡啶等吡啶類;N-丙基嗎啉、N-(十一烷基羰氧基乙基)嗎啉等嗎啉類;吡嗪、吡唑等。Examples of nitrogen-containing heterocyclic compounds include pyridines such as pyridine and 2-picoline; morpholines such as N-propylmorpholine and N-(undecylcarbonyloxyethyl)morpholine; pyridines oxazine, pyrazole, etc.

另外,作為所述含氮有機化合物,亦可使用具有酸解離性基的化合物。作為此種具有酸解離性基的含氮有機化合物,例如可列舉:N-第三丁氧基羰基哌啶、N-第三丁氧基羰基咪唑、N-第三丁氧基羰基苯並咪唑、N-第三丁氧基羰基-2-苯基苯並咪唑、N-(第三丁氧基羰基)二正辛基胺、N-(第三丁氧基羰基)二乙醇胺、N-(第三丁氧基羰基)二環己基胺、N-(第三丁氧基羰基)二苯基胺、N-第三丁氧基羰基-4-羥基哌啶、N-第三戊氧基羰基-4-羥基哌啶等。Moreover, as said nitrogen-containing organic compound, the compound which has an acid dissociable group can also be used. Examples of the nitrogen-containing organic compound having such an acid dissociable group include N-tert-butoxycarbonylpiperidine, N-tert-butoxycarbonylimidazole, and N-tert-butoxycarbonylbenzimidazole. , N-tertiary butoxycarbonyl-2-phenylbenzimidazole, N-(tertiary butoxycarbonyl) di-n-octylamine, N-(tertiary butoxycarbonyl) diethanolamine, N-( tertiary butoxycarbonyl) dicyclohexylamine, N-(tertiary butoxycarbonyl) diphenylamine, N-tertiary butoxycarbonyl-4-hydroxypiperidine, N-tertiary pentoxycarbonyl -4-Hydroxypiperidine etc.

另外,作為酸擴散控制劑,亦可較佳地使用藉由曝光而產生弱酸的光降解性鹼。作為光降解性鹼,例如可列舉包含藉由曝光而分解的感放射線性鎓陽離子與弱酸的陰離子的化合物等。光降解性鹼於曝光部中由感放射線性鎓陽離子分解而產生的質子與弱酸的陰離子產生弱酸,故酸擴散控制性降低。Moreover, as an acid diffusion control agent, the photodegradable base which produces|generates a weak acid by exposure can also be used suitably. Examples of the photodegradable base include compounds containing a radiosensitive onium cation decomposed by exposure and an anion of a weak acid. Since the photodegradable base generates a weak acid in the exposed portion by the proton generated by the decomposition of the radiosensitive onium cation and the anion of the weak acid, the acid diffusion controllability is lowered.

作為光降解性鹼,例如可列舉下述式(6-1)所表示的鋶鹽化合物、下述式(6-2)所表示的錪鹽化合物等。As a photodegradable base, the periconium salt compound represented by following formula (6-1), the iodonium salt compound represented by following formula (6-2), etc. are mentioned, for example.

[化17]

Figure 02_image037
[Chemical 17]
Figure 02_image037

所述式(6-1)及式(6-2)中,J +為鋶陽離子,U +為錪陽離子。作為J +所表示的鋶陽離子,除下述式(1-1-a)、式(1-1-b)所表示的鋶陽離子以外,亦可列舉下述式(X-1)所表示的鋶陽離子,作為U +所表示的錪陽離子,除所述式(1-1-c)所表示的錪陽離子以外,亦可列舉下述式(X-2)所表示的錪陽離子。E -及Q -分別獨立地為OH -、Rα-COO -、Rα-SO 3 -所表示的陰離子。Rα為烷基、芳基或芳烷基。Rα所表示的芳基或芳烷基的芳香環的氫原子亦可經羥基、由氟原子取代或未經取代的碳數1~12的烷基或碳數1~12的烷氧基取代。 In the above formulas (6-1) and (6-2), J + is a perionium cation, and U + is an iodonium cation. As pericium cations represented by J + , in addition to the pericium cations represented by the following formulas (1-1-a) and (1-1-b), those represented by the following formula (X-1) may also be mentioned. As the iodonium cation represented by U + , in addition to the iodonium cation represented by the above formula (1-1-c), the iodonium cation represented by the following formula (X-2) can be exemplified. E - and Q - are each independently an anion represented by OH - , Rα-COO - , and Rα-SO 3 - . Rα is an alkyl group, an aryl group or an aralkyl group. The hydrogen atom of the aromatic ring of the aryl group or aralkyl group represented by Rα may be substituted with a hydroxyl group, a fluorine atom-substituted or unsubstituted alkyl group having 1 to 12 carbon atoms, or an alkoxy group having 1 to 12 carbon atoms.

[化18]

Figure 02_image039
[Chemical 18]
Figure 02_image039

[化19]

Figure 02_image041
[Chemical 19]
Figure 02_image041

所述式(X-1)中,R c1、R c2及R c3分別獨立地為經取代或未經取代的碳數1~12的直鏈狀或分支狀的烷基、或者經取代或未經取代的碳數6~12的芳香族烴基。 In the formula (X-1), R c1 , R c2 and R c3 are each independently a substituted or unsubstituted linear or branched alkyl group having 1 to 12 carbon atoms, or a substituted or unsubstituted alkyl group A substituted aromatic hydrocarbon group having 6 to 12 carbon atoms.

所述式(X-2)中,R e1及R e2分別獨立地為鹵素原子、經取代或未經取代的碳數1~12的直鏈狀或分支狀的烷基、或者經取代或未經取代的碳數6~12的芳香族烴基。k8及k9分別獨立地為0~4的整數。 In the formula (X-2), R e1 and R e2 are each independently a halogen atom, a substituted or unsubstituted linear or branched alkyl group having 1 to 12 carbon atoms, or a substituted or unsubstituted alkyl group. A substituted aromatic hydrocarbon group having 6 to 12 carbon atoms. k8 and k9 are each independently an integer of 0-4.

作為可對所述各基所具有的氫原子進行取代的取代基,例如可列舉:氟原子、氯原子、溴原子、碘原子等鹵素原子、羥基、羧基、氰基、硝基、烷基(對環烷基或芳香族烴基的氫原子進行取代的情況)、芳基(對烷基的氫原子進行取代的情況)、烷氧基、烷氧基羰基、烷氧基羰氧基、醯基、醯氧基等。該些中,較佳為羥基、烷氧基、烷氧基羰基、烷氧基羰氧基、醯基、醯氧基,更佳為烷氧基或烷氧基羰基。Examples of substituents that can substitute the hydrogen atoms of the above groups include halogen atoms such as fluorine atoms, chlorine atoms, bromine atoms, and iodine atoms, hydroxyl groups, carboxyl groups, cyano groups, nitro groups, and alkyl groups ( In the case of substituting a hydrogen atom of a cycloalkyl group or an aromatic hydrocarbon group), aryl group (in the case of substituting a hydrogen atom of an alkyl group), alkoxy group, alkoxycarbonyl group, alkoxycarbonyloxy group, acyl group , oxyalkyl, etc. Among these, a hydroxyl group, an alkoxy group, an alkoxycarbonyl group, an alkoxycarbonyloxy group, an acyl group, and an acyloxy group are preferable, and an alkoxy group or an alkoxycarbonyl group is more preferable.

作為所述光降解性鹼,例如可列舉下述式所表示的化合物等。As said photodegradable base, the compound etc. which are represented by the following formula are mentioned, for example.

[化20]

Figure 02_image043
[hua 20]
Figure 02_image043

作為所述光降解性鹼,該些中,較佳為鋶鹽,更佳為三芳基鋶鹽,進而佳為三苯基鋶水楊酸鹽及三苯基鋶10-樟腦磺酸鹽。Among these, the photodegradable base is preferably a perylene salt, more preferably a triaryl perylene salt, and still more preferably a triphenyl perylene salicylate and a triphenyl perylene 10-camphorsulfonate.

作為酸擴散控制劑的含量的下限,相對於感放射線性酸產生劑的合計100質量份,較佳為3質量份,更佳為4質量份,進而佳為5質量份。作為所述含量的上限,較佳為150質量份,更佳為120質量份,進而佳為110質量份。The lower limit of the content of the acid diffusion control agent is preferably 3 parts by mass, more preferably 4 parts by mass, and still more preferably 5 parts by mass with respect to 100 parts by mass of the radiation-sensitive acid generator in total. The upper limit of the content is preferably 150 parts by mass, more preferably 120 parts by mass, and still more preferably 110 parts by mass.

藉由將酸擴散控制劑的含量設為所述範圍,可進一步提高所述感放射線性樹脂組成物的微影性能。所述感放射線性樹脂組成物亦可含有一種或兩種以上的酸擴散控制劑。By setting the content of the acid diffusion control agent to the above-mentioned range, the lithography performance of the radiation-sensitive resin composition can be further improved. The radiation-sensitive resin composition may contain one or two or more acid diffusion control agents.

(溶劑) 本實施方式的感放射線性樹脂組成物含有溶劑。溶劑若為至少可溶解或分散所述樹脂A、所述樹脂B及所述感放射線性酸產生劑等的溶劑,則並無特別限定。 (solvent) The radiation-sensitive resin composition of the present embodiment contains a solvent. The solvent is not particularly limited as long as it can dissolve or disperse at least the resin A, the resin B, the radiation-sensitive acid generator, and the like.

作為溶劑,例如可列舉:醇系溶劑、醚系溶劑、酮系溶劑、醯胺系溶劑、酯系溶劑、烴系溶劑等。Examples of the solvent include alcohol-based solvents, ether-based solvents, ketone-based solvents, amide-based solvents, ester-based solvents, hydrocarbon-based solvents, and the like.

作為醇系溶劑,例如可列舉: 異丙醇、4-甲基-2-戊醇、3-甲氧基丁醇、正己醇、2-乙基己醇、糠醇、環己醇、3,3,5-三甲基環己醇、二丙酮醇等碳數1~18的一元醇系溶劑; 乙二醇、1,2-丙二醇、2-甲基-2,4-戊二醇、2,5-己二醇、二乙二醇、二丙二醇、三乙二醇、三丙二醇等碳數2~18的多元醇系溶劑; 將所述多元醇系溶劑所具有的羥基的一部分醚化而成的多元醇部分醚系溶劑等。 Examples of alcohol-based solvents include: Isopropanol, 4-methyl-2-pentanol, 3-methoxybutanol, n-hexanol, 2-ethylhexanol, furfuryl alcohol, cyclohexanol, 3,3,5-trimethylcyclohexanol , Diacetone alcohol and other monohydric alcohol solvents with carbon number of 1 to 18; Ethylene glycol, 1,2-propylene glycol, 2-methyl-2,4-pentanediol, 2,5-hexanediol, diethylene glycol, dipropylene glycol, triethylene glycol, tripropylene glycol, etc. carbon number 2 ~18 polyol-based solvents; A polyhydric alcohol partial ether type solvent etc. which etherify a part of the hydroxyl group which the said polyhydric alcohol type solvent has.

作為醚系溶劑,例如可列舉: 二乙醚、二丙醚、二丁醚等二烷基醚系溶劑; 四氫呋喃、四氫吡喃等環狀醚系溶劑; 二苯基醚、苯甲醚(甲基苯基醚)等含芳香環的醚系溶劑; 將所述多元醇系溶劑所具有的羥基醚化而成的多元醇醚系溶劑等。 Examples of ether-based solvents include: Dialkyl ether solvents such as diethyl ether, dipropyl ether, and dibutyl ether; Cyclic ether solvents such as tetrahydrofuran and tetrahydropyran; Aromatic ring-containing ether solvents such as diphenyl ether and anisole (methyl phenyl ether); A polyol ether-based solvent or the like obtained by etherifying a hydroxyl group contained in the polyol-based solvent.

作為酮系溶劑,例如可列舉:丙酮、丁酮、甲基-異丁基酮等鏈狀酮系溶劑; 環戊酮、環己酮、甲基環己酮等環狀酮系溶劑; 2,4-戊二酮、丙酮基丙酮、苯乙酮等。 Examples of the ketone-based solvent include chain ketone-based solvents such as acetone, methyl ethyl ketone, and methyl-isobutyl ketone; Cyclic ketone solvents such as cyclopentanone, cyclohexanone, methyl cyclohexanone; 2,4-pentanedione, acetone acetone, acetophenone, etc.

作為醯胺系溶劑,例如可列舉:N,N'-二甲基咪唑啶酮、N-甲基吡咯啶酮等環狀醯胺系溶劑; N-甲基甲醯胺、N,N-二甲基甲醯胺、N,N-二乙基甲醯胺、乙醯胺、N-甲基乙醯胺、N,N-二甲基乙醯胺、N-甲基丙醯胺等鏈狀醯胺系溶劑等。 Examples of the amide-based solvent include cyclic amide-based solvents such as N,N'-dimethylimidazolidinone and N-methylpyrrolidone; N-methylformamide, N,N-dimethylformamide, N,N-diethylformamide, acetamide, N-methylacetamide, N,N-dimethylacetamide Chain amide solvents such as amide and N-methylpropionamide, etc.

作為酯系溶劑,例如可列舉: 乙酸正丁酯、乳酸乙酯等單羧酸酯系溶劑; 二乙二醇單正丁醚乙酸酯、丙二醇單甲醚乙酸酯、二丙二醇單甲醚乙酸酯等多元醇部分醚乙酸酯系溶劑; γ-丁內酯、戊內酯等內酯系溶劑; 碳酸二乙酯、碳酸伸乙酯、碳酸伸丙酯等碳酸酯系溶劑; 二乙酸丙二醇、乙酸甲氧基三甘醇酯、乙二酸二乙酯、乙醯乙酸乙酯、乳酸乙酯、鄰苯二甲酸二乙酯等多元羧酸二酯系溶劑。 Examples of ester-based solvents include: Monocarboxylate solvents such as n-butyl acetate and ethyl lactate; Diethylene glycol mono-n-butyl ether acetate, propylene glycol monomethyl ether acetate, dipropylene glycol monomethyl ether acetate and other polyhydric alcohol partial ether acetate solvents; Lactone solvents such as γ-butyrolactone and valerolactone; Carbonate-based solvents such as diethyl carbonate, ethylene carbonate, and propyl carbonate; Polycarboxylic acid diester-based solvents such as propylene glycol diacetate, methoxytriethylene glycol acetate, diethyl oxalate, ethyl acetate, ethyl lactate, and diethyl phthalate.

作為烴系溶劑,例如可列舉: 正己烷、環己烷、甲基環己烷等脂肪族烴系溶劑; 苯、甲苯、二異丙基苯、正戊基萘等芳香族烴系溶劑等。 Examples of hydrocarbon-based solvents include: Aliphatic hydrocarbon solvents such as n-hexane, cyclohexane and methylcyclohexane; Aromatic hydrocarbon-based solvents such as benzene, toluene, diisopropylbenzene, n-pentylnaphthalene, and the like.

該些中,較佳為酯系溶劑、酮系溶劑,更佳為多元醇部分醚乙酸酯系溶劑、環狀酮系溶劑、內酯系溶劑,進而佳為丙二醇單甲醚乙酸酯、環己酮、γ-丁內酯。該感放射線性樹脂組成物亦可含有一種或兩種以上的溶劑。Among these, ester-based solvents and ketone-based solvents are preferred, polyol partial ether acetate-based solvents, cyclic ketone-based solvents, and lactone-based solvents are more preferred, and propylene glycol monomethyl ether acetate, Cyclohexanone, gamma-butyrolactone. The radiation-sensitive resin composition may contain one or two or more kinds of solvents.

(其他任意成分) 所述感放射線性樹脂組成物除所述成分以外,亦可含有其他任意成分。作為所述其他任意成分,例如可列舉:交聯劑、偏向存在化促進劑、界面活性劑、含有脂環式骨架的化合物、增感劑等。該些其他任意成分可分別使用一種或併用兩種以上。 (any other ingredients) The radiation-sensitive resin composition may contain other arbitrary components in addition to the above-mentioned components. Examples of the other optional components include a crosslinking agent, a biasing accelerator, a surfactant, an alicyclic skeleton-containing compound, a sensitizer, and the like. These other optional components may be used alone or in combination of two or more.

(交聯劑) 交聯劑為具有兩個以上的官能基的化合物,於總括曝光步驟後的烘烤步驟中,藉由酸觸媒反應而於所述樹脂成分中引起交聯反應,使所述樹脂成分的分子量增加,藉此使圖案曝光部對於顯影液的溶解度降低。作為所述官能基,例如可列舉:(甲基)丙烯醯基、羥基甲基、烷氧基甲基、環氧基、乙烯基醚基等。 (crosslinking agent) The crosslinking agent is a compound having two or more functional groups, and in the baking step after the general exposure step, a crosslinking reaction is induced in the resin component by an acid catalyst reaction, and the molecular weight of the resin component is increased. By increasing, the solubility of the pattern exposure portion with respect to the developing solution is decreased. As said functional group, a (meth)acryloyl group, a hydroxymethyl group, an alkoxymethyl group, an epoxy group, a vinyl ether group, etc. are mentioned, for example.

(偏向存在化促進劑) 偏向存在化促進劑為具有使所述高氟含量樹脂更有效率地偏向存在於抗蝕劑膜表面的效果者。藉由使所述感放射線性樹脂組成物含有該偏向存在化促進劑,可較先前減少所述高氟含量樹脂的添加量。因此,維持所述感放射線性樹脂組成物的微影性能的同時,進一步抑制成分自抗蝕劑膜向液浸介質的溶出,或者可藉由高速掃描來更高速地進行液浸曝光,結果,可提高抑制水印缺陷等源自液浸的缺陷的抗蝕劑膜表面的疏水性。作為可用作此種偏向存在化促進劑者,例如可列舉相對介電常數為30以上且200以下、一氣壓下的沸點為100℃以上的低分子化合物。作為此種化合物,具體而言,可列舉:內酯化合物、碳酸酯化合物、腈化合物、多元醇等。 (biased towards existential accelerators) The localization accelerator has the effect of making the high fluorine content resin more efficiently localized on the surface of the resist film. By making the radiation-sensitive resin composition contain the biased existence accelerator, the addition amount of the high-fluorine-content resin can be reduced compared with the conventional method. Therefore, while maintaining the lithography performance of the radiation-sensitive resin composition, the elution of components from the resist film to the liquid immersion medium can be further suppressed, or the liquid immersion exposure can be performed at a higher speed by high-speed scanning. As a result, It is possible to improve the hydrophobicity of the surface of the resist film which suppresses defects derived from liquid immersion such as watermark defects. As a thing which can be used as such a biasing accelerator, for example, a low molecular weight compound whose relative dielectric constant is 30 or more and 200 or less and whose boiling point under one atmospheric pressure is 100 degreeC or more is mentioned. As such a compound, a lactone compound, a carbonate compound, a nitrile compound, a polyhydric alcohol etc. are mentioned specifically,.

作為所述內酯化合物,例如可列舉:γ-丁內酯、戊內酯、甲羥戊酸內酯(mevalonic lactone)、降冰片烷內酯等。As said lactone compound, gamma-butyrolactone, valerolactone, mevalonic lactone, norbornane lactone etc. are mentioned, for example.

作為所述碳酸酯化合物,例如可列舉:碳酸伸丙酯、碳酸伸乙酯、碳酸伸丁酯、碳酸伸乙烯酯等。As said carbonate compound, propylene carbonate, ethylene carbonate, butylene carbonate, vinylene carbonate, etc. are mentioned, for example.

作為所述腈化合物,例如可列舉丁二腈等。As said nitrile compound, succinonitrile etc. are mentioned, for example.

作為所述多元醇,例如可列舉甘油等。As said polyhydric alcohol, glycerol etc. are mentioned, for example.

相對於該感放射線性樹脂組成物中的樹脂的總量100質量份,偏向存在化促進劑的含量較佳為10質量份以上,更佳為15質量份以上,進而佳為20質量份以上,特佳為25質量份以上。另外,較佳為300質量份以下,更佳為200質量份以下,進而佳為100質量份以下,特佳為80質量份以下。該感放射線性樹脂組成物亦可含有一種或兩種以上的偏向存在化促進劑。With respect to 100 parts by mass of the total amount of resin in the radiation-sensitive resin composition, the content of the biasing accelerator is preferably 10 parts by mass or more, more preferably 15 parts by mass or more, and still more preferably 20 parts by mass or more, Particularly preferred is 25 parts by mass or more. Moreover, 300 mass parts or less are preferable, 200 mass parts or less are more preferable, 100 mass parts or less are still more preferable, and 80 mass parts or less are especially preferable. The radiation-sensitive resin composition may also contain one or two or more kinds of biased existence accelerators.

(界面活性劑) 界面活性劑起到改良塗佈性、條紋(striation)、顯影性等的效果。作為界面活性劑,例如可列舉:聚氧乙烯月桂醚、聚氧乙烯硬脂醚、聚氧乙烯油烯醚、聚氧乙烯正辛基苯基醚、聚氧乙烯正壬基苯基醚、聚乙二醇二月桂酸酯、聚乙二醇二硬脂酸酯等非離子系界面活性劑;作為市售品,可列舉:KP341(信越化學工業製造)、珀利弗洛(Polyflow)No.75、珀利弗洛(Polyflow)No.95(以上為共榮社化學製造)、艾福拓(Eftop)EF301、艾福拓(Eftop)EF303、艾福拓(Eftop)EF352(以上為濤凱姆製品(Tohchem Products)製造)、美佳法(Megafac)F171、美佳法(Megafac)F173(以上為迪愛生(DIC)製造)、弗洛德(Fluorad)FC430、弗洛德(Fluorad)FC431(以上為住友3M製造)、阿薩佳(Asahi Guard)AG710、沙福隆(Surflon)S-382、沙福隆(Surflon)SC-101、沙福隆(Surflon)SC-102、沙福隆(Surflon)SC-103、沙福隆(Surflon)SC-104、沙福隆(Surflon)SC-105、沙福隆(Surflon)SC-106(以上為旭硝子工業製造)等。作為所述感放射線性樹脂組成物中的界面活性劑的含量,相對於樹脂100質量份,通常為2質量份以下。 (surfactant) The surfactant has the effect of improving coatability, striation, developability, and the like. As the surfactant, for example, polyoxyethylene lauryl ether, polyoxyethylene stearyl ether, polyoxyethylene oleyl ether, polyoxyethylene n-octylphenyl ether, polyoxyethylene n-nonylphenyl ether, polyoxyethylene n-nonylphenyl ether, polyoxyethylene Nonionic surfactants such as ethylene glycol dilaurate and polyethylene glycol distearate; commercially available products include KP341 (manufactured by Shin-Etsu Chemical Co., Ltd.), Polyflow No. 75. Polyflow No.95 (the above are manufactured by Gongrongsha Chemical), Eftop EF301, Eftop EF303, Eftop EF352 (the above are Taokai) Tohchem Products), Megafac F171, Megafac F173 (the above are manufactured by DIC), Fluorad FC430, Fluorad FC431 (above Made for Sumitomo 3M), Asahi Guard AG710, Surflon S-382, Surflon SC-101, Surflon SC-102, Surflon ) SC-103, Surflon SC-104, Surflon SC-105, Surflon SC-106 (the above are manufactured by Asahi Glass Industry), etc. The content of the surfactant in the radiation-sensitive resin composition is usually 2 parts by mass or less with respect to 100 parts by mass of the resin.

(含有脂環式骨架的化合物) 含有脂環式骨架的化合物起到改善耐乾式蝕刻性、圖案形狀、與基板的接著性等的效果。 (compounds containing alicyclic skeleton) The compound containing an alicyclic skeleton has the effect of improving dry etching resistance, pattern shape, adhesion to a substrate, and the like.

作為含有脂環式骨架的化合物,例如可列舉: 1-金剛烷羧酸、2-金剛烷酮、1-金剛烷羧酸第三丁酯等金剛烷衍生物類; 去氧膽酸第三丁酯、去氧膽酸第三丁氧基羰基甲酯、去氧膽酸2-乙氧基乙酯等去氧膽酸酯類; 石膽酸第三丁酯、石膽酸第三丁氧基羰基甲酯、石膽酸2-乙氧基乙酯等石膽酸酯類; 3-〔2-羥基-2,2-雙(三氟甲基)乙基〕四環[4.4.0.1(2,5).1(7,10)]十二烷、2-羥基-9-甲氧基羰基-5-氧代-4-氧雜-三環[4.2.1.0(3,7)]壬烷等。作為所述感放射線性樹脂組成物中的含有脂環式骨架的化合物的含量,相對於樹脂100質量份,通常為5質量份以下。 Examples of compounds containing an alicyclic skeleton include: Adamantane derivatives such as 1-adamantane carboxylic acid, 2-adamantanone, 1-adamantane carboxylic acid tert-butyl ester; Deoxycholate esters such as 3-butyl deoxycholate, 3-butoxycarbonyl deoxycholate, and 2-ethoxyethyl deoxycholate; Lithocholic acid 3-butyl ester, lithocholic acid 3-butoxycarbonyl methyl ester, lithocholic acid 2-ethoxyethyl ester and other lithocholic acid esters; 3-[2-Hydroxy-2,2-bis(trifluoromethyl)ethyl]tetracyclo[4.4.0.1(2,5).1(7,10)]dodecane, 2-hydroxy-9- Methoxycarbonyl-5-oxo-4-oxa-tricyclo[4.2.1.0(3,7)]nonane, etc. The content of the alicyclic skeleton-containing compound in the radiation-sensitive resin composition is usually 5 parts by mass or less with respect to 100 parts by mass of the resin.

(增感劑) 增感劑表現出使來源於感放射線性酸產生劑等的酸的生成量增加的作用,起到提高所述感放射線性樹脂組成物的「表觀的感度」的效果。 (sensitizer) The sensitizer has the effect of increasing the amount of acid generated from the radiation-sensitive acid generator or the like, and has the effect of improving the "apparent sensitivity" of the radiation-sensitive resin composition.

作為增感劑,例如可列舉:咔唑類、苯乙酮類、二苯甲酮類、萘類、酚類、聯乙醯、曙紅、孟加拉玫瑰紅、芘類、蒽類、啡噻嗪類等。該些增感劑可單獨使用,亦可併用兩種以上。作為所述感放射線性樹脂組成物中的增感劑的含量,相對於樹脂100質量份,通常為2質量份以下。Examples of sensitizers include: carbazoles, acetophenones, benzophenones, naphthalenes, phenols, diacetyl, eosin, rose Bengal, pyrenes, anthracenes, phenothiazine class etc. These sensitizers may be used alone or in combination of two or more. The content of the sensitizer in the radiation-sensitive resin composition is usually 2 parts by mass or less with respect to 100 parts by mass of the resin.

<感放射線性樹脂組成物的製備方法> 所述感放射線性樹脂組成物例如可藉由以規定的比例將所述樹脂A、所述樹脂B、所述感放射線性酸產生劑、視需要的高氟含量樹脂等及溶劑混合來製備。所述感放射線性樹脂組成物較佳為於混合後,例如利用孔徑0.05 μm左右的過濾器等進行過濾。作為所述感放射線性樹脂組成物的固體成分濃度,通常為0.1質量%~50質量%,較佳為0.5質量%~30質量%,更佳為1質量%~20質量%。 <Preparation method of radiation-sensitive resin composition> The radiation-sensitive resin composition can be prepared, for example, by mixing the resin A, the resin B, the radiation-sensitive acid generator, optionally a high fluorine-content resin, etc., and a solvent in a predetermined ratio. The radiation-sensitive resin composition is preferably filtered, for example, with a filter having a pore size of about 0.05 μm after mixing. The solid content concentration of the radiation-sensitive resin composition is usually 0.1 to 50 mass %, preferably 0.5 to 30 mass %, and more preferably 1 to 20 mass %.

<抗蝕劑圖案的形成方法> 本發明的一實施方式的抗蝕劑圖案的形成方法包括: 將所述感放射線性樹脂組成物直接或間接地塗佈於基板上來形成抗蝕劑膜的步驟(以下,亦稱為「抗蝕劑膜形成步驟」); 藉由液浸曝光來對所述抗蝕劑膜進行曝光的步驟(以下,亦稱為「曝光步驟」);以及 對所述經曝光的抗蝕劑膜進行顯影的步驟(以下,亦稱為「顯影步驟」)。 <Method for forming a resist pattern> A method for forming a resist pattern according to an embodiment of the present invention includes: A step of directly or indirectly coating the radiation-sensitive resin composition on a substrate to form a resist film (hereinafter, also referred to as "resist film forming step"); a step of exposing the resist film by immersion exposure (hereinafter, also referred to as an "exposure step"); and A step of developing the exposed resist film (hereinafter, also referred to as a "development step").

根據所述抗蝕劑圖案的形成方法,由於使用包含所述樹脂A的所述感放射線性樹脂組成物,故可形成感度良好且高撥水性及缺陷少的抗蝕劑圖案。以下,對各步驟進行說明。According to the method for forming a resist pattern, since the radiation-sensitive resin composition containing the resin A is used, a resist pattern with good sensitivity, high water repellency, and few defects can be formed. Hereinafter, each step will be described.

[抗蝕劑膜形成步驟] 於本步驟(抗蝕劑膜形成步驟)中,利用所述感放射線性樹脂組成物來形成抗蝕劑膜。作為形成該抗蝕劑膜的基板,例如可列舉:矽晶圓、二氧化矽、經鋁包覆的晶圓等先前公知者等。另外,亦可將例如日本專利特公平6-12452號公報或日本專利特開昭59-93448號公報等中所揭示的有機系或無機系的抗反射膜形成於基板上。作為塗佈方法,例如可列舉:旋轉塗佈(旋塗)、流延塗佈、輥塗佈等。亦可於塗佈後,視需要進行預烘烤(prebake,PB)以使塗膜中的溶劑揮發。作為PB溫度,通常為60℃~140℃,較佳為80℃~120℃。作為PB時間,通常為5秒~600秒,較佳為10秒~300秒。作為所形成的抗蝕劑膜的膜厚,較佳為10 nm~1,000 nm,更佳為10 nm~500 nm。 [Resist film formation step] In this step (resist film forming step), a resist film is formed using the radiation-sensitive resin composition. As a substrate on which the resist film is formed, for example, conventionally known ones such as silicon wafers, silicon dioxide, and aluminum-coated wafers can be mentioned. In addition, an organic or inorganic antireflection film disclosed in, for example, Japanese Patent Laid-Open No. 6-12452, Japanese Patent Laid-Open No. 59-93448, etc. may be formed on the substrate. As a coating method, spin coating (spin coating), casting coating, roll coating, etc. are mentioned, for example. After coating, prebake (PB) may be performed as necessary to volatilize the solvent in the coating film. The PB temperature is usually 60°C to 140°C, preferably 80°C to 120°C. The PB time is usually 5 seconds to 600 seconds, preferably 10 seconds to 300 seconds. The thickness of the resist film to be formed is preferably 10 nm to 1,000 nm, and more preferably 10 nm to 500 nm.

於進行液浸曝光的情況下,不管所述感放射線性樹脂組成物中的所述高氟含量樹脂等的撥水性聚合體添加劑的有無,出於避免液浸液與抗蝕劑膜的直接接觸的目的,亦可於所述形成的抗蝕劑膜上設置對液浸液而言為不溶性的液浸用保護膜。作為所述液浸保護膜,亦可使用藉由所述撥水性改善劑而形成的膜。作為液浸用保護膜,亦可使用顯影步驟之前利用溶劑而剝離的溶劑剝離型保護膜(例如,參照日本專利特開2006-227632號公報)、與顯影步驟的顯影同時剝離的顯影液剝離型保護膜(例如,參照WO2005-069076號公報、WO2006-035790號公報)的任一種。其中,就產量的觀點而言,較佳為使用顯影液剝離型液浸用保護膜。In the case of liquid immersion exposure, regardless of the presence or absence of water-repellent polymer additives such as the high fluorine content resin in the radiation-sensitive resin composition, in order to avoid direct contact between the liquid immersion liquid and the resist film For the purpose of immersion, a liquid immersion protective film which is insoluble to the liquid immersion liquid may be provided on the formed resist film. As the liquid immersion protective film, a film formed by the water repellency improving agent can also be used. As the protective film for liquid immersion, a solvent peeling type protective film that is peeled off with a solvent before the development step (for example, refer to Japanese Patent Laid-Open No. 2006-227632), and a developer peeling type that is peeled off simultaneously with the development of the developing step can also be used Any of the protective films (for example, refer to WO2005-069076 A and WO2006-035790). Among them, from the viewpoint of yield, it is preferable to use a developing solution peeling-type liquid immersion protective film.

另外,於利用波長50 nm以下的放射線進行作為下一步驟的曝光步驟的情況下,較佳為使用具有所述結構單元(I)及結構單元(IV)的樹脂作為所述組成物中的基礎樹脂。In addition, when performing the exposure step as the next step with radiation having a wavelength of 50 nm or less, it is preferable to use a resin having the structural unit (I) and the structural unit (IV) as a base in the composition resin.

[曝光步驟] 於本步驟(所述曝光步驟)中,介隔光罩(視情況經由水等液浸介質)對所述抗蝕劑膜形成步驟中形成的抗蝕劑膜照射放射線來進行曝光。作為用於曝光的放射線,根據目標圖案的線寬,例如可列舉:可見光線、紫外線、遠紫外線、極紫外線(EUV)、X射線、γ射線等電磁波;電子束、α射線等帶電粒子束等。該些中,較佳為遠紫外線、電子束、EUV,更佳為ArF準分子雷射光(波長193 nm)、KrF準分子雷射光(波長248 nm)、電子束、EUV,進而佳為定位為下一代曝光技術的波長50 nm以下的電子束、EUV。 [Exposure step] In this step (the exposure step), the resist film formed in the resist film forming step is exposed to radiation by irradiating the resist film formed in the resist film forming step through a photomask (via a liquid immersion medium such as water as appropriate). Examples of radiation used for exposure include electromagnetic waves such as visible rays, ultraviolet rays, extreme ultraviolet rays, extreme ultraviolet rays (EUV), X rays, and γ rays, and charged particle beams such as electron beams and α rays, depending on the line width of the target pattern. . Among them, far-ultraviolet rays, electron beams, EUV are preferred, ArF excimer laser light (wavelength 193 nm), KrF excimer laser light (wavelength 248 nm), electron beams, EUV are more preferred, and further preferred are positioned as Electron beam and EUV with wavelengths below 50 nm for next-generation exposure technology.

於藉由液浸曝光來進行曝光的情況下,作為所使用的液浸液,例如可列舉水、氟系不活性液體等。液浸液較佳為對曝光波長為透明、且折射率的溫度係數儘可能小以將投影至膜上的光學像的變形抑制於最小限度般的液體,特別是於曝光光源為ArF準分子雷射光(波長193 nm)的情況下,於所述觀點的基礎上,就獲取的容易度、操作的容易度等方面而言,較佳為使用水。於使用水的情況下,亦可以稍許的比例添加使水的表面張力減少、且使界面活性力增大的添加劑。該添加劑較佳為不將晶圓上的抗蝕劑膜溶解,並且對透鏡的下表面的光學塗層的影響可忽視。作為所使用的水,較佳為蒸餾水。When exposure is performed by liquid immersion exposure, as a liquid immersion liquid to be used, water, a fluorine-type inert liquid, etc. are mentioned, for example. The immersion liquid is preferably a liquid that is transparent to the exposure wavelength and has a temperature coefficient of refractive index as small as possible to minimize the distortion of the optical image projected on the film, especially when the exposure light source is an ArF excimer laser. In the case of irradiated light (wavelength of 193 nm), it is preferable to use water from the viewpoints of easiness of acquisition, easiness of handling, and the like. In the case of using water, an additive which reduces the surface tension of water and increases the interfacial active force may be added at a slight ratio. The additive preferably does not dissolve the resist film on the wafer and has a negligible effect on the optical coating on the lower surface of the lens. As the water to be used, distilled water is preferred.

較佳為於所述曝光後進行曝光後烘烤(post exposure bake,PEB),於抗蝕劑膜的經曝光的部分,利用藉由曝光而自感放射線性酸產生劑產生的酸來促進樹脂等所具有的酸解離性基的解離。藉由該PEB,於曝光部與未曝光部產生對於顯影液的溶解性的差。作為PEB溫度,通常為50℃~180℃,較佳為80℃~130℃。作為PEB時間,通常為5秒~600秒,較佳為10秒~300秒。Preferably, a post exposure bake (PEB) is performed after the exposure, and in the exposed portion of the resist film, the acid generated by the self-inductive radiation acid generator by exposure is used to promote the resin Dissociation of acid dissociable groups, etc. Due to this PEB, the difference in solubility with respect to the developer occurs between the exposed portion and the unexposed portion. The PEB temperature is usually 50°C to 180°C, preferably 80°C to 130°C. The PEB time is usually 5 seconds to 600 seconds, preferably 10 seconds to 300 seconds.

[顯影步驟] 於本步驟(所述顯影步驟)中,對所述曝光步驟中經曝光的抗蝕劑膜進行顯影。藉此,可形成規定的抗蝕劑圖案。一般而言於顯影後利用水或醇等淋洗液進行清洗並加以乾燥。 [Development step] In this step (the development step), the resist film exposed in the exposure step is developed. Thereby, a predetermined resist pattern can be formed. Generally, it wash|cleans with the rinse liquid, such as water or alcohol, after image development, and it is dried.

作為用於所述顯影的顯影液,於鹼顯影的情況下,例如可列舉溶解有氫氧化鈉、氫氧化鉀、碳酸鈉、矽酸鈉、偏矽酸鈉、氨水、乙基胺、正丙基胺、二乙基胺、二正丙基胺、三乙基胺、甲基二乙基胺、乙基二甲基胺、三乙醇胺、氫氧化四甲基銨(tetramethyl ammonium hydroxide,TMAH)、吡咯、哌啶、膽鹼、1,8-二氮雜雙環-[5.4.0]-7-十一烯、1,5-二氮雜雙環-[4.3.0]-5-壬烯等鹼性化合物的至少一種的鹼性水溶液等。該些中,較佳為TMAH水溶液,更佳為2.38質量%TMAH水溶液。As the developing solution used for the development, in the case of alkali development, for example, sodium hydroxide, potassium hydroxide, sodium carbonate, sodium silicate, sodium metasilicate, ammonia water, ethylamine, n-propyl amine, diethylamine, di-n-propylamine, triethylamine, methyldiethylamine, ethyldimethylamine, triethanolamine, tetramethyl ammonium hydroxide (TMAH), Bases such as pyrrole, piperidine, choline, 1,8-diazabicyclo-[5.4.0]-7-undecene, 1,5-diazabicyclo-[4.3.0]-5-nonene Alkaline aqueous solution of at least one kind of compound, etc. Among these, TMAH aqueous solution is preferable, and 2.38 mass % TMAH aqueous solution is more preferable.

另外,於有機溶媒顯影的情況下,可列舉:烴系溶媒、醚系溶媒、酯系溶媒、酮系溶媒、醇系溶媒等有機溶媒,或者含有有機溶媒的溶媒。作為所述有機溶媒,例如可列舉作為所述感放射線性樹脂組成物的溶劑所列舉的溶劑的一種或兩種以上等。該些中,較佳為醚系溶媒、酯系溶媒、酮系溶媒。作為醚系溶媒,較佳為二醇醚系溶媒,更佳為乙二醇單甲醚、丙二醇單甲醚。作為酯系溶媒,較佳為乙酸酯系溶媒,更佳為乙酸正丁酯、乙酸戊酯。作為酮系溶媒,較佳為鏈狀酮,更佳為2-庚酮。作為顯影液中的有機溶媒的含量,較佳為80質量%以上,更佳為90質量%以上,進而佳為95質量%以上,特佳為99質量%以上。作為顯影液中的有機溶媒以外的成分,例如可列舉水、矽油等。In addition, in the case of developing with an organic solvent, organic solvents such as hydrocarbon-based solvents, ether-based solvents, ester-based solvents, ketone-based solvents, and alcohol-based solvents, or solvents containing organic solvents can be exemplified. As the organic solvent, for example, one or two or more of the solvents listed as the solvent of the radiation-sensitive resin composition may be mentioned. Among these, ether-based solvents, ester-based solvents, and ketone-based solvents are preferred. The ether-based solvent is preferably a glycol ether-based solvent, and more preferably ethylene glycol monomethyl ether and propylene glycol monomethyl ether. As the ester-based solvent, an acetate-based solvent is preferable, and n-butyl acetate and amyl acetate are more preferable. The ketone-based solvent is preferably a chain ketone, more preferably 2-heptanone. The content of the organic solvent in the developer is preferably 80% by mass or more, more preferably 90% by mass or more, still more preferably 95% by mass or more, and particularly preferably 99% by mass or more. As a component other than the organic solvent in a developer, water, a silicone oil, etc. are mentioned, for example.

如上所述,作為顯影液,可為鹼性顯影液、有機溶媒顯影液的任一種。As described above, as the developer, either an alkaline developer or an organic solvent developer may be used.

作為顯影方法,例如可列舉:使基板於充滿顯影液的槽中浸漬固定時間的方法(浸漬法);藉由利用表面張力使顯影液堆積至基板表面並靜止固定時間來進行顯影的方法(覆液(puddle)法);對基板表面噴霧顯影液的方法(噴霧法);一面以固定速度掃描顯影液塗出噴嘴,一面朝以固定速度旋轉的基板上連續塗出顯影液的方法(動態分配法)等。Examples of the developing method include: a method of immersing a substrate in a tank filled with a developing solution for a fixed period of time (dipping method); a method of developing by depositing a developing solution on the surface of the substrate by utilizing surface tension and standing still for a fixed period of time (overlay method) liquid (puddle) method); the method of spraying the developer on the surface of the substrate (spray method); the method of continuously applying the developer on the substrate rotating at a fixed speed while scanning the nozzle for the developer at a fixed speed (dynamic distribution method) etc.

<撥水性改善劑> 本發明的一實施方式的撥水性改善劑包含所述樹脂A。只要不損及本發明的效果,則該撥水性改善劑亦可包含其他任意成分。該撥水性改善劑藉由包含規定的樹脂A而可簡便地賦予、提高或改善抗蝕劑膜等的撥水性。 <Water repellency improver> The water repellency improving agent of one Embodiment of this invention contains the said resin A. As long as the effect of this invention is not impaired, this water repellency improver may contain other arbitrary components. By containing the predetermined resin A, the water repellency improving agent can easily impart, increase or improve the water repellency of a resist film or the like.

樹脂A為具有包含所述式(1)所表示的部分結構的第一結構單元的樹脂A。所述樹脂A可適宜同樣地使用所述感放射線性樹脂組成物一項中記載的樹脂。Resin A is resin A which has the 1st structural unit containing the partial structure represented by the said formula (1). As the resin A, the resin described in the section of the radiation-sensitive resin composition can be suitably used in the same manner.

作為所述任意成分,例如可列舉溶劑等。所述溶媒可適宜同樣地使用所述感放射線性樹脂組成物一項中記載的溶媒。As said arbitrary component, a solvent etc. are mentioned, for example. The solvent described in the section of the radiation-sensitive resin composition can be suitably used in the same manner.

於使用包含本發明的撥水性改善劑的感放射線性樹脂組成物來進行基於例如ArF準分子雷射光等的液浸曝光的情況下,可防止或減低所述組成物向液浸液的溶出,且可防止或減低曝光光源的透鏡的污染等。In the case of performing liquid immersion exposure based on, for example, ArF excimer laser light or the like using the radiation-sensitive resin composition containing the water repellency improving agent of the present invention, the elution of the composition into the liquid immersion liquid can be prevented or reduced, In addition, contamination of the lens of the exposure light source can be prevented or reduced.

另外,於使用包含本發明的撥水性改善劑的感放射線性樹脂組成物來進行基於例如EUV(極紫外線)的曝光的情況下,可抑制或減低顯影後的利用淡水進行的淋洗處理時的液滴殘留缺陷。In addition, when exposure by, for example, EUV (Extreme Ultraviolet) is performed using the radiation-sensitive resin composition containing the water repellency improving agent of the present invention, it is possible to suppress or reduce the occurrence of rinsing treatment with fresh water after development. Droplet residual defect.

另外,使用含有本發明的撥水性改善劑及溶劑的液浸上層膜形成組成物,可於抗蝕劑膜上形成液浸上層膜。 [實施例] In addition, the immersion upper layer film-forming composition containing the water repellency improving agent and the solvent of the present invention can be used to form an immersion upper layer film on a resist film. [Example]

以下,基於實施例對本發明進行具體說明,但本發明並不限定於該些實施例。以下示出各種物性值的測定方法。再者,於以下的合成例等中,只要無特別說明,則質量份是指將所使用的單量體的合計質量設為100質量份時的值,莫耳%是指將所使用的單量體的合計莫耳數設為100莫耳%時的值。Hereinafter, the present invention will be specifically described based on examples, but the present invention is not limited to these examples. The measurement methods of various physical property values are shown below. In addition, in the following synthesis examples and the like, unless otherwise specified, the parts by mass refer to the value when the total mass of the monomers used is 100 parts by mass, and the mole % refers to the amount of the monomers used. The total number of moles of the measuring body is the value when 100 mole % is used.

[重量平均分子量(Mw)及數量平均分子量(Mn)] 所調整的聚合體的Mw及Mn是藉由凝膠滲透層析法(GPC),使用東曹(股)製造的GPC管柱(「G2000HXL」2根、「G3000HXL」1根及「G4000HXL」1根),並藉由以下的條件來測定。另外,分散度(Mw/Mn)是根據Mw及Mn的測定結果來算出。 溶出溶媒:四氫呋喃 流量:1.0 mL/分鐘 試樣濃度:1.0質量% 試樣注入量:100 μL 管柱溫度:40℃ 檢測器:示差折射計 標準物質:單分散聚苯乙烯 [Weight Average Molecular Weight (Mw) and Number Average Molecular Weight (Mn)] The adjusted Mw and Mn of the polymer were determined by gel permeation chromatography (GPC) using GPC columns (two "G2000HXL", one "G3000HXL" and one "G4000HXL" manufactured by Tosoh Corporation). root), and measured under the following conditions. In addition, the degree of dispersion (Mw/Mn) was calculated from the measurement results of Mw and Mn. Dissolution medium: tetrahydrofuran Flow: 1.0 mL/min Sample concentration: 1.0% by mass Sample injection volume: 100 μL Column temperature: 40℃ Detector: Differential Refractometer Standard material: monodisperse polystyrene

[ 13C-核磁共振(Nuclear Magnetic Resonance,NMR)分析] 聚合體的 13C-NMR分析是使用核磁共振裝置(日本電子(股)製造的「JNM-Delta400」)來進行。 [ 13 C-Nuclear Magnetic Resonance (NMR) Analysis] The 13 C-NMR analysis of the polymer was performed using a nuclear magnetic resonance apparatus (“JNM-Delta400” manufactured by Nippon Electronics Co., Ltd.).

<[F]化合物(單量體)的合成> 以下示出各實施例中的[E]聚合體的合成中所使用的單量體及其合成方法例。 <Synthesis of [F] compound (monomer)> The monomers used in the synthesis of the [E] polymer in each Example and examples of the synthesis method thereof are shown below.

[合成例1](化合物(F-1)的合成) 於反應容器中加入丙酮20.0 mmol、(三氟甲基)三甲基矽烷30.0 mmol、四丁基氟化銨0.20 mmol及四氫呋喃50 g,於室溫下攪拌1小時。之後,加入水進行稀釋後,加入乙酸乙酯進行萃取,並分離有機層。依次利用飽和氯化鈉水溶液、水對所獲得的有機層進行清洗。利用硫酸鈉進行乾燥後,將溶媒蒸餾去除,並利用常壓蒸餾進行精製,藉此以良好的產率獲得氟化醇體。 [Synthesis Example 1] (Synthesis of Compound (F-1)) 20.0 mmol of acetone, 30.0 mmol of (trifluoromethyl)trimethylsilane, 0.20 mmol of tetrabutylammonium fluoride and 50 g of tetrahydrofuran were added to the reaction vessel, and the mixture was stirred at room temperature for 1 hour. Then, after adding water for dilution, ethyl acetate was added for extraction, and the organic layer was separated. The obtained organic layer was washed with a saturated aqueous sodium chloride solution and water in this order. After drying with sodium sulfate, the solvent was distilled off and purified by atmospheric distillation, whereby a fluorinated alcohol body was obtained in good yield.

於所述氟化醇體中加入溴乙醯溴20.0 mmol、三乙基胺30.0 mmol及四氫呋喃50 g,於室溫下攪拌2小時。之後,加入水進行稀釋後,加入乙酸乙酯進行萃取,並分離有機層。依次利用飽和氯化銨水溶液、水對所獲得的有機層進行清洗。利用硫酸鈉進行乾燥後,將溶媒蒸餾去除,並利用管柱層析法進行精製,藉此以良好的產率獲得溴體。20.0 mmol of bromoacetyl bromide, 30.0 mmol of triethylamine and 50 g of tetrahydrofuran were added to the fluorinated alcohol, and the mixture was stirred at room temperature for 2 hours. Then, after adding water for dilution, ethyl acetate was added for extraction, and the organic layer was separated. The obtained organic layer was washed with a saturated aqueous ammonium chloride solution and water in this order. After drying with sodium sulfate, the solvent was distilled off and purified by column chromatography, whereby a bromine body was obtained in good yield.

於所述溴體中加入碳酸鉀30.0 mmol、甲基丙烯酸30.0 mmol及二甲基甲醯胺50 g,於50℃下攪拌4小時。之後,將反應溶液冷卻至30℃以下,加入水進行稀釋後,加入乙酸乙酯進行萃取,並分離有機層。依次利用飽和氯化鈉水溶液、水對所獲得的有機層進行清洗。利用硫酸鈉進行乾燥後,將溶媒蒸餾去除,並利用管柱層析法進行精製,藉此以良好的產率獲得下述式(F-1)所表示的化合物(以下,有時記載為「化合物(F-1)」或「單量體(F-1)」)。以下示出化合物(F-1)的合成流程。To the bromine body were added 30.0 mmol of potassium carbonate, 30.0 mmol of methacrylic acid and 50 g of dimethylformamide, and the mixture was stirred at 50° C. for 4 hours. Then, the reaction solution was cooled to 30° C. or lower, diluted with water, and then extracted with ethyl acetate, and the organic layer was separated. The obtained organic layer was washed with a saturated aqueous sodium chloride solution and water in this order. After drying with sodium sulfate, the solvent was distilled off and purified by column chromatography, whereby a compound represented by the following formula (F-1) (hereinafter, sometimes described as "" Compound (F-1)” or “Monomer (F-1)”). The synthesis scheme of compound (F-1) is shown below.

[化21]

Figure 02_image045
[Chemical 21]
Figure 02_image045

[合成例2~合成例5](單量體(F-2)~單量體(F-5)的合成) 除適宜變更原料及前驅物以外,與合成例1同樣地合成下述式(F-2)~式(F-5)所表示的化合物(以下,有時將式(F-2)~式(F-5)所表示的化合物分別記載為「化合物(F-2)」~「化合物(F-5)」或「單量體(F-2)」~「單量體(F-5)」)。 [Synthesis Example 2 to Synthesis Example 5] (Synthesis of Monomer (F-2) to Monomer (F-5)) Compounds represented by the following formulae (F-2) to (F-5) were synthesized in the same manner as in Synthesis Example 1 except that the raw materials and precursors were appropriately changed (hereinafter, the formulae (F-2) to ( The compounds represented by F-5) are described as "compound (F-2)" to "compound (F-5)" or "monomer (F-2)" to "monomeric (F-5)", respectively ).

[化22]

Figure 02_image047
[Chemical 22]
Figure 02_image047

[合成例6](化合物(F-6)的合成) 於所述合成例1中所獲得的溴體中加入鋅粉末25.0 mmol、氯三甲基矽烷2.00 mmol及四氫呋喃50 g,於室溫下攪拌1小時。之後,於反應溶液中加入丙酮20.0 mmol,進而於室溫下攪拌6小時。之後,於反應溶液中加入飽和氯化銨水溶液來結束反應後,加入乙酸乙酯進行萃取,並分離有機層。依次利用飽和氯化鈉水溶液、水對所獲得的有機層進行清洗。利用硫酸鈉進行乾燥後,將溶媒蒸餾去除,並利用管柱層析法進行精製,藉此以良好的產率獲得醇體。 [Synthesis Example 6] (Synthesis of Compound (F-6)) To the bromine body obtained in Synthesis Example 1 were added 25.0 mmol of zinc powder, 2.00 mmol of chlorotrimethylsilane and 50 g of tetrahydrofuran, followed by stirring at room temperature for 1 hour. Then, 20.0 mmol of acetone was added to the reaction solution, followed by stirring at room temperature for 6 hours. Then, after adding a saturated aqueous ammonium chloride solution to the reaction solution to complete the reaction, ethyl acetate was added for extraction, and the organic layer was separated. The obtained organic layer was washed with a saturated aqueous sodium chloride solution and water in this order. After drying with sodium sulfate, the solvent was distilled off, and the alcohol body was obtained in good yield by purification by column chromatography.

於所述醇體中加入三乙基胺30.0 mmol、甲基丙烯醯氯30.0 mmol及四氫呋喃50 g,於80℃下攪拌1小時。之後,將反應溶液冷卻至30℃以下,加入飽和氯化銨水溶液來結束反應後,加入乙酸乙酯進行萃取,並分離有機層。依次利用飽和氯化鈉水溶液、水對所獲得的有機層進行清洗。利用硫酸鈉進行乾燥後,將溶媒蒸餾去除,並利用管柱層析法進行精製,藉此以良好的產率獲得下述式(F-6)所表示的化合物(以下,有時記載為「化合物(F-6)」或「單量體(F-6)」)。以下示出化合物(F-6)的合成流程。To the alcohol body were added 30.0 mmol of triethylamine, 30.0 mmol of methacryloyl chloride, and 50 g of tetrahydrofuran, and the mixture was stirred at 80° C. for 1 hour. After that, the reaction solution was cooled to 30° C. or lower, a saturated aqueous ammonium chloride solution was added to complete the reaction, and ethyl acetate was added for extraction, and the organic layer was separated. The obtained organic layer was washed with a saturated aqueous sodium chloride solution and water in this order. After drying with sodium sulfate, the solvent was distilled off and purified by column chromatography, whereby a compound represented by the following formula (F-6) (hereinafter, sometimes described as "" Compound (F-6)" or "monomer (F-6)"). The synthesis scheme of compound (F-6) is shown below.

[化23]

Figure 02_image049
[Chemical 23]
Figure 02_image049

[合成例7~合成例16](單量體(F-7)~單量體(F-16)的合成) 除適宜變更原料及前驅物以外,與合成例6同樣地合成下述式(F-7)~式(F-16)所表示的化合物(以下,有時將式(F-7)~式(F-16)所表示的化合物分別記載為「化合物(F-7)」~「化合物(F-16)」或「單量體(F-7)」~「單量體(F-16)」)。 [Synthesis Example 7 to Synthesis Example 16] (Synthesis of Monomer (F-7) to Monomer (F-16)) The compounds represented by the following formulae (F-7) to (F-16) (hereinafter, the formulae (F-7) to ( The compounds represented by F-16) are described as "compound (F-7)" to "compound (F-16)" or "monomeric (F-7)" to "monomeric (F-16)", respectively ).

[化24]

Figure 02_image051
[Chemical 24]
Figure 02_image051

<[A]聚合體及[E]聚合體的合成> 以下示出各實施例及各比較例中的各聚合體的合成中所使用的單量體。 <Synthesis of [A] Polymer and [E] Polymer> The monomers used in the synthesis of each polymer in each Example and each Comparative Example are shown below.

[化25]

Figure 02_image053
[Chemical 25]
Figure 02_image053

[合成例17](聚合體(A-1)的合成) 將單量體(M-1)、單量體(M-2)及單量體(M-13)以莫耳比率為40/15/45(莫耳%)的方式溶解於2-丁酮(200質量份)中,添加作為起始劑的偶氮雙異丁腈(AIBN)(相對於所使用的單量體的合計100莫耳%而為3莫耳%)來製備單量體溶液。於反應容器中放入2-丁酮(100質量份),氮氣沖洗30分鐘後,將反應容器內設為80℃,攪拌的同時花費3小時滴加所述單量體溶液。將滴加開始設為聚合反應的開始時間,實施6小時聚合反應。 [Synthesis Example 17] (Synthesis of Polymer (A-1)) Monomer (M-1), Monomer (M-2) and Monomer (M-13) were dissolved in 2-butanone at a molar ratio of 40/15/45 (mol%) (200 parts by mass), azobisisobutyronitrile (AIBN) as a starting agent (3 mol % with respect to the total 100 mol % of the monomers used) was added to prepare a monomer solution . 2-Butanone (100 parts by mass) was placed in the reaction container, and after 30 minutes of nitrogen flushing, the inside of the reaction container was set to 80° C., and the monomer solution was added dropwise over 3 hours while stirring. The start of dropwise addition was set as the start time of the polymerization reaction, and the polymerization reaction was carried out for 6 hours.

聚合反應結束後,對聚合溶液進行水冷並冷卻至30℃以下。將經冷卻的聚合溶液投入至甲醇(2,000質量份)中,並對所析出的白色粉末進行過濾分離。利用甲醇對經過濾分離的白色粉末進行兩次清洗後,加以過濾分離,於50℃下乾燥10小時而獲得白色粉末狀的聚合體(A-1)(產率:82%)。聚合體(A-1)的Mw為8,800,Mw/Mn為1.50。另外, 13C-NMR分析的結果為源自(M-1)、(M-2)及(M-13)的各結構單元的含有比例分別為41.3莫耳%、13.8莫耳%及44.9莫耳%。 After the completion of the polymerization reaction, the polymerization solution was cooled to 30°C or lower by water-cooling. The cooled polymerization solution was put into methanol (2,000 parts by mass), and the precipitated white powder was separated by filtration. After the white powder separated by filtration was washed twice with methanol, it was separated by filtration, and dried at 50° C. for 10 hours to obtain a white powdery polymer (A-1) (yield: 82%). The Mw of the polymer (A-1) was 8,800, and the Mw/Mn was 1.50. In addition, as a result of 13 C-NMR analysis, the content ratios of the respective structural units derived from (M-1), (M-2) and (M-13) were 41.3 mol %, 13.8 mol % and 44.9 mol %, respectively. Ear%.

[合成例18~合成例27](聚合體(A-2)~聚合體(A-11)的合成) 除使用下述表1所示的種類及調配比例的單量體以外,與合成例17同樣地合成聚合體(A-2)~聚合體(A-11)。將所獲得的聚合體的各結構單元的含有比例(莫耳%)、產率(%)及物性值(Mw及Mw/Mn)一併示於下述表1中。再者,下述表1中的「-」表示未使用相應的單量體。 [Synthesis Example 18 to Synthesis Example 27] (Synthesis of Polymer (A-2) to Polymer (A-11)) Polymers (A-2) to (A-11) were synthesized in the same manner as in Synthesis Example 17, except that the monomers of the types and compounding ratios shown in the following Table 1 were used. The content ratio (mol %), yield (%), and physical property values (Mw and Mw/Mn) of each structural unit of the obtained polymer are collectively shown in Table 1 below. In addition, "-" in the following Table 1 means that the corresponding monomer was not used.

[表1] [A]聚合體 提供結構單元(I)的單量體 提供結構單元(II)的單量體 提供結構單元(III)的單量體 Mw Mw/Mn 種類 調配比例 (莫耳%) 結構單元 含有比例 (莫耳%) 種類 調配比例 (莫耳%) 結構單元 含有比例 (莫耳%) 種類 調配比例 (莫耳%) 結構單元 含有比例 (莫耳%) 合成例17 A-1 M-1 40 41.3 M-13 45 44.9 - - - 8800 1.50 M-2 15 13.8 合成例18 A-2 M-1 30 31.4 M-6 60 60.6 - - - 9000 1.44 M-2 10 8.0 合成例19 A-3 M-1 30 31.9 M-5 60 61.7 - - - 8900 1.39 M-3 10 6.4 合成例20 A-4 M-1 35 32.3 M-12 45 49.6 - - - 8000 1.56 M-3 20 18.1 合成例21 A-5 M-1 40 41.1 M-10 45 45.7 - - - 8700 1.44 M-4 15 13.2 合成例22 A-6 M-1 40 40.2 M-11 45 47.8 - - - 7000 1.49 M-4 15 12.0 合成例23 A-7 M-1 40 42.4 M-10 45 39.5 M-14 15 18.1 7800 1.59 合成例24 A-8 M-1 40 41.1 M-7 40 35.7 M-15 20 23.2 8500 1.61 合成例25 A-9 M-1 50 51.0 M-8 50 49.0 - - - 7800 1.55 合成例26 A-10 M-1 40 44.4 M-9 60 55.6 - - - 7900 1.59 合成例27 A-11 M-1 40 42.8 M-6 60 57.2 - - - 8000 1.43 [Table 1] [A] Polymer Monomers that provide structural units (I) Monomers that provide structural unit (II) Monomers that provide structural unit (III) Mw Mw/Mn type Allocation ratio (mol%) Structural unit content (mol%) type Allocation ratio (mol%) Structural unit content (mol%) type Allocation ratio (mol%) Structural unit content (mol%) Synthesis Example 17 A-1 M-1 40 41.3 M-13 45 44.9 - - - 8800 1.50 M-2 15 13.8 Synthesis Example 18 A-2 M-1 30 31.4 M-6 60 60.6 - - - 9000 1.44 M-2 10 8.0 Synthesis Example 19 A-3 M-1 30 31.9 M-5 60 61.7 - - - 8900 1.39 M-3 10 6.4 Synthesis Example 20 A-4 M-1 35 32.3 M-12 45 49.6 - - - 8000 1.56 M-3 20 18.1 Synthesis Example 21 A-5 M-1 40 41.1 M-10 45 45.7 - - - 8700 1.44 M-4 15 13.2 Synthesis Example 22 A-6 M-1 40 40.2 M-11 45 47.8 - - - 7000 1.49 M-4 15 12.0 Synthesis Example 23 A-7 M-1 40 42.4 M-10 45 39.5 M-14 15 18.1 7800 1.59 Synthesis Example 24 A-8 M-1 40 41.1 M-7 40 35.7 M-15 20 23.2 8500 1.61 Synthesis Example 25 A-9 M-1 50 51.0 M-8 50 49.0 - - - 7800 1.55 Synthesis Example 26 A-10 M-1 40 44.4 M-9 60 55.6 - - - 7900 1.59 Synthesis Example 27 A-11 M-1 40 42.8 M-6 60 57.2 - - - 8000 1.43

[合成例28](聚合體(A-12)的合成) 將單量體(M-1)及單量體(M-18)以莫耳比率為50/50(莫耳%)的方式溶解於1-甲氧基-2-丙醇(200質量份)中,添加作為起始劑的AIBN(5莫耳%)來製備單量體溶液。於反應容器中放入1-甲氧基-2-丙醇(100質量份),氮氣沖洗30分鐘後,將反應容器內設為80℃,攪拌的同時花費3小時滴加所述單量體溶液。將滴加開始設為聚合反應的開始時間,實施6小時聚合反應。 [Synthesis Example 28] (Synthesis of Polymer (A-12)) Monomer (M-1) and Monomer (M-18) were dissolved in 1-methoxy-2-propanol (200 parts by mass) at a molar ratio of 50/50 (mol %). , AIBN (5 mol %) was added as a starting agent to prepare a single volume solution. 1-Methoxy-2-propanol (100 parts by mass) was placed in the reaction vessel, and after 30 minutes of nitrogen flushing, the inside of the reaction vessel was set to 80°C, and the monomer was added dropwise over 3 hours while stirring. solution. The start of dropwise addition was set as the start time of the polymerization reaction, and the polymerization reaction was carried out for 6 hours.

聚合反應結束後,對聚合溶液進行水冷並冷卻至30℃以下。將經冷卻的聚合溶液投入至己烷(2,000質量份)中,並對所析出的白色粉末進行過濾分離。利用己烷對經過濾分離的白色粉末進行兩次清洗後,加以過濾分離,並溶解於1-甲氧基-2-丙醇(300質量份)中。繼而,加入甲醇(500質量份)、三乙基胺(50質量份)及超純水(10質量份),於攪拌的同時於70℃下實施6小時水解反應。於反應結束後,將殘留溶媒蒸餾去除,將所獲得的固體溶解於丙酮(100質量份)中,並滴加至水(500質量份)中而使樹脂凝固。過濾分離所獲得的固體,並於50℃下乾燥13小時而獲得白色粉末狀的聚合體(A-12)(產率:80%)。聚合體(A-12)的Mw為5,200,Mw/Mn為1.60。另外, 13C-NMR分析的結果為源自(M-1)及(M-18)的各結構單元的含有比例分別為51.3莫耳%及48.7莫耳%。 After the completion of the polymerization reaction, the polymerization solution was cooled to 30°C or lower by water-cooling. The cooled polymerization solution was put into hexane (2,000 parts by mass), and the precipitated white powder was separated by filtration. After the white powder separated by filtration was washed twice with hexane, it was separated by filtration and dissolved in 1-methoxy-2-propanol (300 parts by mass). Next, methanol (500 parts by mass), triethylamine (50 parts by mass), and ultrapure water (10 parts by mass) were added, and a hydrolysis reaction was performed at 70° C. for 6 hours while stirring. After the completion of the reaction, the residual solvent was distilled off, the obtained solid was dissolved in acetone (100 parts by mass), and added dropwise to water (500 parts by mass) to solidify the resin. The obtained solid was separated by filtration and dried at 50° C. for 13 hours to obtain a white powdery polymer (A-12) (yield: 80%). The Mw of the polymer (A-12) was 5,200, and the Mw/Mn was 1.60. In addition, as a result of 13 C-NMR analysis, the content ratios of the respective structural units derived from (M-1) and (M-18) were 51.3 mol % and 48.7 mol %, respectively.

[合成例29~合成例31](聚合體(A-13)~聚合體(A-15)的合成) 除使用下述表2所示的種類及調配比例的單量體以外,與合成例28同樣地合成聚合體(A-13)~聚合體(A-15)。將所獲得的聚合體的各結構單元的含有比例(莫耳%)、產率(%)及物性值(Mw及Mw/Mn)一併示於下述表2中。 [Synthesis Example 29 to Synthesis Example 31] (Synthesis of Polymer (A-13) to Polymer (A-15)) Polymers (A-13) to (A-15) were synthesized in the same manner as in Synthesis Example 28, except that the monomers of the types and compounding ratios shown in the following Table 2 were used. The content ratio (mol %), yield (%), and physical property values (Mw and Mw/Mn) of each structural unit of the obtained polymer are collectively shown in Table 2 below.

[表2] [A]聚合體 提供結構單元(I)的單量體 提供結構單元(II)的單量體 提供結構單元(III)的單量體 Mw Mw/Mn 種類 調配比例 (莫耳%) 結構單元 含有比例 (莫耳%) 種類 調配比例 (莫耳%) 結構單元 含有比例 (莫耳%) 種類 調配比例 (莫耳%) 結構單元 含有比例 (莫耳%) 合成例28 A-12 M-1 50 51.3 - - - M-18 50 48.7 5200 1.60 合成例29 A-13 M-3 50 46.6 M-14 10 11.1 M-19 40 42.3 5600 1.55 合成例30 A-14 M-2 50 48.1 M-17 20 21.3 M-18 30 30.6 5100 1.59 合成例31 A-15 M-1 55 55.7 M-17 15 15.1 M-19 30 29.2 6100 1.50 [Table 2] [A] Polymer Monomers that provide structural units (I) Monomers that provide structural unit (II) Monomers that provide structural unit (III) Mw Mw/Mn type Allocation ratio (mol%) Structural unit content (mol%) type Allocation ratio (mol%) Structural unit content (mol%) type Allocation ratio (mol%) Structural unit content (mol%) Synthesis Example 28 A-12 M-1 50 51.3 - - - M-18 50 48.7 5200 1.60 Synthesis Example 29 A-13 M-3 50 46.6 M-14 10 11.1 M-19 40 42.3 5600 1.55 Synthesis Example 30 A-14 M-2 50 48.1 M-17 20 21.3 M-18 30 30.6 5100 1.59 Synthesis Example 31 A-15 M-1 55 55.7 M-17 15 15.1 M-19 30 29.2 6100 1.50

[合成例32](聚合體(E-1)的合成) 將單量體(F-1)及單量體(M-2)以莫耳比率為80/20(莫耳%)的方式溶解於2-丁酮(200質量份)中,添加作為起始劑的AIBN(5莫耳%)來製備單量體溶液。於反應容器中放入2-丁酮(100質量份),氮氣沖洗30分鐘後,將反應容器內設為80℃,攪拌的同時花費3小時滴加所述單量體溶液。將滴加開始設為聚合反應的開始時間,實施6小時聚合反應。 [Synthesis Example 32] (Synthesis of Polymer (E-1)) Monomer (F-1) and Monomer (M-2) were dissolved in 2-butanone (200 parts by mass) at a molar ratio of 80/20 (mol %), and added as a starting point A single dose of AIBN (5 mol%) was used to prepare a single volume solution. 2-Butanone (100 parts by mass) was placed in the reaction container, and after 30 minutes of nitrogen flushing, the inside of the reaction container was set to 80° C., and the monomer solution was added dropwise over 3 hours while stirring. The start of dropwise addition was set as the start time of the polymerization reaction, and the polymerization reaction was carried out for 6 hours.

聚合反應結束後,對聚合溶液進行水冷並冷卻至30℃以下。於將溶媒置換成乙腈(400質量份)後,加入己烷(100質量份)進行攪拌並回收乙腈層,將所述作業重覆三次。藉由將溶媒置換成丙二醇單甲醚乙酸酯,獲得聚合體(E-1)的溶液(產率:70%)。聚合體(E-1)的Mw為5,100,Mw/Mn為1.55。另外, 13C-NMR分析的結果為源自(F-1)及(M-2)的各結構單元的含有比例分別為80.3莫耳%及19.7莫耳%。 After the completion of the polymerization reaction, the polymerization solution was cooled to 30°C or lower by water-cooling. After replacing the solvent with acetonitrile (400 parts by mass), hexane (100 parts by mass) was added and stirred, and the acetonitrile layer was recovered, and the operation was repeated three times. By replacing the solvent with propylene glycol monomethyl ether acetate, a solution of the polymer (E-1) was obtained (yield: 70%). The Mw of the polymer (E-1) was 5,100, and the Mw/Mn was 1.55. In addition, as a result of 13 C-NMR analysis, the content ratios of the respective structural units derived from (F-1) and (M-2) were 80.3 mol % and 19.7 mol %, respectively.

[合成例33~合成例53](聚合體(E-2)~聚合體(E-22)的合成) 除使用下述表3所示的種類及調配比例的單量體以外,與合成例32同樣地合成聚合體(E-2)~聚合體(E-22)。將所獲得的聚合體的各結構單元的含有比例(莫耳%)、產率(%)及物性值(Mw及Mw/Mn)一併示於下述表3中。 [Synthesis Example 33 to Synthesis Example 53] (Synthesis of Polymer (E-2) to Polymer (E-22)) A polymer (E-2) to a polymer (E-22) were synthesized in the same manner as in Synthesis Example 32, except that the monomers of the types and compounding ratios shown in the following Table 3 were used. The content ratio (mol %), yield (%), and physical property values (Mw and Mw/Mn) of each structural unit of the obtained polymer are shown in Table 3 below.

[表3] [E]聚合體 提供結構單元(F)的單量體 提供結構單元(I)的單量體 提供結構單元(II)的單量體 提供結構單元(III)的單量體 Mw Mw/Mn 種類 調配比例 (莫耳%) 結構單元 含有比例 (莫耳%) 種類 調配比例 (莫耳%) 結構單元 含有比例 (莫耳%) 種類 調配比例 (莫耳%) 結構單元 含有比例 (莫耳%) 種類 調配比例 (莫耳%) 結構單元 含有比例 (莫耳%) 合成例32 E-1 F-1 80 80.3 M-2 20 19.7 - - - - - - 5100 1.55 合成例33 E-2 F-2 80 80.5 M-20 20 19.5 - - - - - - 6000 1.60 合成例34 E-3 F-3 100 100 - - - - - - - - - 5500 1.61 合成例35 E-4 F-1 80 78.3 - - - M-14 20 21.7 - - - 5900 1.54 合成例36 E-5 F-2 80 80.1 - - - - - - M-21 20 19.9 4900 1.61 合成例37 E-6 F-3 60 58.9 M-20 20 19.7 - - - M-23 20 21.4 5100 1.62 合成例38 E-7 F-1 60 61.1 M-3 20 19.3 - - - M-24 20 19.6 5000 1.56 合成例39 E-8 F-2 60 60.0 M-4 20 19.1 - - - M-27 20 20.9 5400 1.56 合成例40 E-9 F-3 60 59.3 M-3 20 19.6 M-14 10 11.2 M-25 10 9.9 6000 1.58 合成例41 E-10 F-4 80 81.0 M-1 20 19.0 - - - - - - 5600 1.49 合成例42 E-11 F-5 60 61.0 M-20 30 28.7 - - - M-22 10 10.3 5500 1.60 合成例43 E-12 F-6 90 90.4 - - - - - - M-22 10 9.6 5600 1.50 合成例44 E-13 F-7 80 78.1 M-4 10 8.7 M-14 10 13.2 - - - 5100 1.51 合成例45 E-14 F-8 70 71.6 M-2 30 28.4 - - - - - - 4800 1.55 合成例46 E-15 F-9 70 69.8 M-1 15 15.0 M-17 15 15.2 - - - 5200 1.56 合成例47 E-16 F-10 40 38.3 M-20 40 39.2 M-17 10 11.2 M-25 10 11.3 5100 1.65 合成例48 E-17 F-11 50 49.4 M-1 30 31.0 M-17 10 10.3 M-22 10 9.3 4900 1.54 合成例49 E-18 F-12 60 59.3 M-1 40 40.7 - - - - - - 5100 1.61 合成例50 E-19 F-13 60 58.9 M-20 20 19.4 M-17 10 10.7 M-22 10 11.0 5400 1.49 合成例51 E-20 F-14 60 59.0 M-2 30 29.7 M-15 10 11.3 - - - 5700 1.60 合成例52 E-21 F-15 80 78.3 - - - M-10 20 21.7 - - - 5300 1.50 合成例53 E-22 F-16 60 61.5 M-3 20 19.5 M-13 10 11.5 M-26 10 7.5 5200 1.55 [table 3] [E] Polymer Monomers that provide building blocks (F) Monomers that provide structural units (I) Monomers that provide structural unit (II) Monomers that provide structural unit (III) Mw Mw/Mn type Allocation ratio (mol%) Structural unit content (mol%) type Allocation ratio (mol%) Structural unit content (mol%) type Allocation ratio (mol%) Structural unit content (mol%) type Allocation ratio (mol%) Structural unit content (mol%) Synthesis Example 32 E-1 F-1 80 80.3 M-2 20 19.7 - - - - - - 5100 1.55 Synthesis Example 33 E-2 F-2 80 80.5 M-20 20 19.5 - - - - - - 6000 1.60 Synthesis Example 34 E-3 F-3 100 100 - - - - - - - - - 5500 1.61 Synthesis Example 35 E-4 F-1 80 78.3 - - - M-14 20 21.7 - - - 5900 1.54 Synthesis Example 36 E-5 F-2 80 80.1 - - - - - - M-21 20 19.9 4900 1.61 Synthesis Example 37 E-6 F-3 60 58.9 M-20 20 19.7 - - - M-23 20 21.4 5100 1.62 Synthesis Example 38 E-7 F-1 60 61.1 M-3 20 19.3 - - - M-24 20 19.6 5000 1.56 Synthesis Example 39 E-8 F-2 60 60.0 M-4 20 19.1 - - - M-27 20 20.9 5400 1.56 Synthesis Example 40 E-9 F-3 60 59.3 M-3 20 19.6 M-14 10 11.2 M-25 10 9.9 6000 1.58 Synthesis Example 41 E-10 F-4 80 81.0 M-1 20 19.0 - - - - - - 5600 1.49 Synthesis Example 42 E-11 F-5 60 61.0 M-20 30 28.7 - - - M-22 10 10.3 5500 1.60 Synthesis Example 43 E-12 F-6 90 90.4 - - - - - - M-22 10 9.6 5600 1.50 Synthesis Example 44 E-13 F-7 80 78.1 M-4 10 8.7 M-14 10 13.2 - - - 5100 1.51 Synthesis Example 45 E-14 F-8 70 71.6 M-2 30 28.4 - - - - - - 4800 1.55 Synthesis Example 46 E-15 F-9 70 69.8 M-1 15 15.0 M-17 15 15.2 - - - 5200 1.56 Synthesis Example 47 E-16 F-10 40 38.3 M-20 40 39.2 M-17 10 11.2 M-25 10 11.3 5100 1.65 Synthesis Example 48 E-17 F-11 50 49.4 M-1 30 31.0 M-17 10 10.3 M-22 10 9.3 4900 1.54 Synthesis Example 49 E-18 F-12 60 59.3 M-1 40 40.7 - - - - - - 5100 1.61 Synthesis Example 50 E-19 F-13 60 58.9 M-20 20 19.4 M-17 10 10.7 M-22 10 11.0 5400 1.49 Synthesis Example 51 E-20 F-14 60 59.0 M-2 30 29.7 M-15 10 11.3 - - - 5700 1.60 Synthesis Example 52 E-21 F-15 80 78.3 - - - M-10 20 21.7 - - - 5300 1.50 Synthesis Example 53 E-22 F-16 60 61.5 M-3 20 19.5 M-13 10 11.5 M-26 10 7.5 5200 1.55

[比較合成例54~比較合成例70](聚合體(E-23)~聚合體(E-39)的合成) 除使用下述表4所示的種類及調配比例的單量體以外,與合成例32同樣地合成聚合體(E-23)~聚合體(E-39)。將所獲得的聚合體的各結構單元的含有比例(莫耳%)、產率(%)及物性值(Mw及Mw/Mn)一併示於下述表4中。 [Comparative Synthesis Example 54 to Comparative Synthesis Example 70] (Synthesis of Polymer (E-23) to Polymer (E-39)) Polymers (E-23) to (E-39) were synthesized in the same manner as in Synthesis Example 32, except that the monomers of the types and compounding ratios shown in the following Table 4 were used. The content ratio (mol %), yield (%), and physical property values (Mw and Mw/Mn) of each structural unit of the obtained polymer are shown in Table 4 below.

[表4] [E]聚合體 提供結構單元(I)的單量體 提供結構單元(II)的單量體 提供結構單元(III)的單量體 Mw Mw/Mn 種類 調配比例 (莫耳%) 結構單元 含有比例 (莫耳%) 種類 調配比例 (莫耳%) 結構單元 含有比例 (莫耳%) 種類 調配比例 (莫耳%) 結構單元 含有比例 (莫耳%) 合成例54 E-23 M-1 20 20.3 - - - M-21 80 79.7 5000 1.60 合成例55 E-24 M-2 20 19.2 - - - M-21 80 80.8 5200 1.56 合成例56 E-25 M-20 20 19.3 - - - M-21 80 80.7 5500 1.54 合成例57 E-26 - - - - - - M-21 100 100.0 6100 1.55 合成例58 E-27 - - - - - - M-21 90 91.0 5200 1.61 M-22 10 9.0 合成例59 E-28 - - - M-14 20 20.6 M-21 80 79.4 5600 1.50 合成例60 E-29 M-3 20 18.9 - - - M-24 80 81.1 5200 1.54 合成例61 E-30 M-3 20 19.3 - - - M-26 80 80.7 5000 1.55 合成例62 E-31 M-4 20 18.7 - - - M-27 80 81.3 5400 1.55 合成例63 E-32 M-20 20 19.3 - - - M-23 80 80.7 6000 1.64 合成例64 E-33 M-20 20 19.4 - - - M-26 80 80.6 5600 1.61 合成例65 E-34 M-20 40 39.1 - - - M-25 60 60.9 6100 1.56 合成例66 E-35 M-1 40 40.1 - - - M-27 60 59.9 5200 1.65 合成例67 E-36 M-3 20 19.3 M-14 10 11.2 M-25 70 69.5 5500 1.54 合成例68 E-37 M-4 10 9.7 M-14 10 10.9 M-21 80 79.4 5800 1.61 合成例69 E-38 M-1 15 15.6 M-17 15 15.9 M-23 70 68.5 5300 1.59 合成例70 E-39 - - - M-10 20 20.6 M-23 80 79.4 5500 1.54 [Table 4] [E] Polymer Monomers that provide structural units (I) Monomers that provide structural unit (II) Monomers that provide structural unit (III) Mw Mw/Mn type Allocation ratio (mol%) Structural unit content (mol%) type Allocation ratio (mol%) Structural unit content (mol%) type Allocation ratio (mol%) Structural unit content (mol%) Synthesis Example 54 E-23 M-1 20 20.3 - - - M-21 80 79.7 5000 1.60 Synthesis Example 55 E-24 M-2 20 19.2 - - - M-21 80 80.8 5200 1.56 Synthesis Example 56 E-25 M-20 20 19.3 - - - M-21 80 80.7 5500 1.54 Synthesis Example 57 E-26 - - - - - - M-21 100 100.0 6100 1.55 Synthesis Example 58 E-27 - - - - - - M-21 90 91.0 5200 1.61 M-22 10 9.0 Synthesis Example 59 E-28 - - - M-14 20 20.6 M-21 80 79.4 5600 1.50 Synthesis Example 60 E-29 M-3 20 18.9 - - - M-24 80 81.1 5200 1.54 Synthesis Example 61 E-30 M-3 20 19.3 - - - M-26 80 80.7 5000 1.55 Synthesis Example 62 E-31 M-4 20 18.7 - - - M-27 80 81.3 5400 1.55 Synthesis Example 63 E-32 M-20 20 19.3 - - - M-23 80 80.7 6000 1.64 Synthesis Example 64 E-33 M-20 20 19.4 - - - M-26 80 80.6 5600 1.61 Synthesis Example 65 E-34 M-20 40 39.1 - - - M-25 60 60.9 6100 1.56 Synthesis Example 66 E-35 M-1 40 40.1 - - - M-27 60 59.9 5200 1.65 Synthesis Example 67 E-36 M-3 20 19.3 M-14 10 11.2 M-25 70 69.5 5500 1.54 Synthesis Example 68 E-37 M-4 10 9.7 M-14 10 10.9 M-21 80 79.4 5800 1.61 Synthesis Example 69 E-38 M-1 15 15.6 M-17 15 15.9 M-23 70 68.5 5300 1.59 Synthesis Example 70 E-39 - - - M-10 20 20.6 M-23 80 79.4 5500 1.54

<感放射線性樹脂組成物的製備> 以下示出各感放射線性樹脂組成物的製備中所使用的[A]聚合體及[E]聚合體以外的成分。 <Preparation of radiation-sensitive resin composition> Components other than the [A] polymer and the [E] polymer used in the preparation of each radiation-sensitive resin composition are shown below.

[[B]酸產生劑] B-1~B-5:下述式(B-1)~式(B-5)所表示的化合物 [[B] Acid generator] B-1 to B-5: Compounds represented by the following formulae (B-1) to (B-5)

[化26]

Figure 02_image055
[Chemical 26]
Figure 02_image055

[[C]酸擴散控制劑] C-1~C-5:下述式(C-1)~式(C-5)所表示的化合物 [[C] Acid Diffusion Control Agent] C-1 to C-5: Compounds represented by the following formulae (C-1) to (C-5)

[化27]

Figure 02_image057
[Chemical 27]
Figure 02_image057

[[D]溶劑] D-1:丙二醇單甲醚乙酸酯 D-2:丙二醇單甲醚 D-3:γ-丁內酯 D-4:乳酸乙酯 [[D]solvent] D-1: Propylene glycol monomethyl ether acetate D-2: Propylene Glycol Monomethyl Ether D-3: γ-Butyrolactone D-4: Ethyl lactate

[ArF曝光用正型感放射線性樹脂組成物的製備] [實施例1] 混合作為[A]聚合體的(A-1)100質量份、作為[B]酸產生劑的(B-1)14.0質量份、作為[C]酸擴散控制劑的(C-1)5.0質量份、作為[E]聚合體的(E-1)3.0質量份(固體成分)、以及作為[D]溶媒的(D-1)/(D-2)/(D-3)=70/29/1(質量比)的混合溶媒3,230質量份,利用孔徑0.2 μm的膜濾器進行過濾,藉此製備感放射線性樹脂組成物(J-1)。 [Preparation of positive radiation-sensitive resin composition for ArF exposure] [Example 1] 100 parts by mass of (A-1) as [A] polymer, 14.0 parts by mass of (B-1) as [B] acid generator, and 5.0 parts by mass of (C-1) as [C] acid diffusion control agent part, (E-1) 3.0 parts by mass (solid content) as [E] polymer, and (D-1)/(D-2)/(D-3)=70/29 as [D] solvent /1 (mass ratio) of 3,230 parts by mass of a mixed solvent, and filtered through a membrane filter with a pore size of 0.2 μm to prepare a radiation-sensitive resin composition (J-1).

[實施例2~實施例48及比較例1~比較例17] 除使用下述表5所示的種類及含量的各成分以外,與實施例1同樣地製備感放射線性樹脂組成物(J-2)~感放射線性樹脂組成物(J-48)及感放射線性樹脂組成物(CJ-1)~感放射線性樹脂組成物(CJ-17)。 [Example 2 to Example 48 and Comparative Example 1 to Comparative Example 17] A radiation-sensitive resin composition (J-2) to a radiation-sensitive resin composition (J-48) and a radiation-sensitive resin composition (J-48) and a radiation-sensitive resin composition were prepared in the same manner as in Example 1, except that each component of the type and content shown in the following Table 5 was used. Radiation-sensitive resin composition (CJ-1) to radiation-sensitive resin composition (CJ-17).

[表5] 感放射線性樹脂組成物 [A]聚合體 [B]酸產生劑 [C]酸擴散控制劑 [E]聚合體 [D]有機溶劑 種類 含量 (質量份) 種類 含量 (質量份) 種類 含量 (質量份) 種類 含量 (質量份) 種類 含量 (質量份) 實施例1 J-1 A-1 100 B-1 14.0 C-1 5.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例2 J-2 A-1 100 B-1 14.0 C-1 5.0 E-2 3.0 D-1/D-2/D-3 2240/960/30 實施例3 J-3 A-1 100 B-1 14.0 C-1 5.0 E-3 3.0 D-1/D-2/D-3 2240/960/30 實施例4 J-4 A-1 100 B-1 14.0 C-1 5.0 E-4 3.0 D-1/D-2/D-3 2240/960/30 實施例5 J-5 A-1 100 B-1 14.0 C-1 5.0 E-5 3.0 D-1/D-2/D-3 2240/960/30 實施例6 J-6 A-1 100 B-1 14.0 C-1 5.0 E-6 3.0 D-1/D-2/D-3 2240/960/30 實施例7 J-7 A-1 100 B-1 14.0 C-1 5.0 E-7 3.0 D-1/D-2/D-3 2240/960/30 實施例8 J-8 A-1 100 B-1 14.0 C-1 5.0 E-8 3.0 D-1/D-2/D-3 2240/960/30 實施例9 J-9 A-1 100 B-1 14.0 C-1 5.0 E-9 3.0 D-1/D-2/D-3 2240/960/30 實施例10 J-10 A-1 100 B-1 14.0 C-1 5.0 E-10 3.0 D-1/D-2/D-3 2240/960/30 實施例11 J-11 A-1 100 B-1 14.0 C-1 5.0 E-11 3.0 D-1/D-2/D-3 2240/960/30 實施例12 J-12 A-1 100 B-1 14.0 C-1 5.0 E-12 3.0 D-1/D-2/D-3 2240/960/30 實施例13 J-13 A-1 100 B-1 14.0 C-1 5.0 E-13 3.0 D-1/D-2/D-3 2240/960/30 實施例14 J-14 A-1 100 B-1 14.0 C-1 5.0 E-14 3.0 D-1/D-2/D-3 2240/960/30 實施例15 J-15 A-1 100 B-1 14.0 C-1 5.0 E-15 3.0 D-1/D-2/D-3 2240/960/30 實施例16 J-16 A-1 100 B-1 14.0 C-1 5.0 E-16 3.0 D-1/D-2/D-3 2240/960/30 實施例17 J-17 A-1 100 B-1 14.0 C-1 5.0 E-17 3.0 D-1/D-2/D-3 2240/960/30 實施例18 J-18 A-1 100 B-1 14.0 C-1 5.0 E-18 3.0 D-1/D-2/D-3 2240/960/30 實施例19 J-19 A-1 100 B-1 14.0 C-1 5.0 E-19 3.0 D-1/D-2/D-3 2240/960/30 實施例20 J-20 A-1 100 B-1 14.0 C-1 5.0 E-20 3.0 D-1/D-2/D-3 2240/960/30 實施例21 J-21 A-1 100 B-1 14.0 C-1 5.0 E-21 3.0 D-1/D-2/D-3 2240/960/30 實施例22 J-22 A-1 100 B-1 14.0 C-1 5.0 E-22 3.0 D-1/D-2/D-3 2240/960/30 實施例23 J-23 A-2 100 B-1 14.0 C-1 5.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例24 J-24 A-3 100 B-1 14.0 C-1 5.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例25 J-25 A-4 100 B-1 14.0 C-1 5.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例26 J-26 A-5 100 B-1 14.0 C-1 5.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例27 J-27 A-6 100 B-1 14.0 C-1 5.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例28 J-28 A-7 100 B-1 14.0 C-1 5.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例29 J-29 A-8 100 B-1 14.0 C-1 5.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例30 J-30 A-9 100 B-1 14.0 C-1 5.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例31 J-31 A-10 100 B-1 14.0 C-1 5.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例32 J-32 A-1l 100 B-1 14.0 C-1 5.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例33 J-33 A-1 100 B-2 14.0 C-1 5.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例34 J-34 A-1 100 B-3 14.0 C-1 5.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例35 J-35 A-1 100 B-4 14.0 C-1 5.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例36 J-36 A-1 100 B-5 14.0 C-1 5.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例37 J-37 A-1 100 B-1 14.0 C-2 5.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例38 J-38 A-1 100 B-1 14.0 C-3 5.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例39 J-39 A-1 100 B-1 14.0 C-4 5.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例40 J-40 A-1 100 B-1 14.0 C-5 5.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 實施例41 J-41 A-1 100 B-1 14.0 C-1 5.0 E-1 0.3 D-1/D-2/D-3 2240/960/30 實施例42 J-42 A-1 100 B-1 14.0 C-1 5.0 E-1 1.5 D-1/D-2/D-3 2240/960/30 實施例43 J-43 A-1 100 B-1 14.0 C-1 5.0 E-1 6.0 D-1/D-2/D-3 2240/960/30 實施例44 J-44 A-1 100 B-1 14.0 C-1 5.0 E-1 10.0 D-1/D-2/D-3 2240/960/30 實施例45 J-45 A-1 100 B-1 14.0 C-1 5.0 E-1 25.0 D-1/D-2/D-3 2240/960/30 實施例46 J-46 A-1 100 B-1 14.0 C-1 5.0 E-1/E-23 0.2/2.8 D-1/D-2/D-3 2240/960/30 實施例47 J-47 A-1 100 B-1 14.0 C-1 5.0 E-1/E-23 1.5/1.5 D-1/D-2/D-3 2240/960/30 實施例48 J-48 A-1 100 B-1 14.0 C-1 5.0 E-1/E-23 2.8/0.2 D-1/D-2/D-3 2240/960/30 比較例1 CJ-1 A-1 100 B-1 14.0 C-1 5.0 E-23 3.0 D-1/D-2/D-3 2240/960/30 比較例2 CJ-2 A-1 100 B-1 14.0 C-1 5.0 E-24 3.0 D-1/D-2/D-3 2240/960/30 比較例3 CJ-3 A-1 100 B-1 14.0 C-1 5.0 E-25 3.0 D-1/D-2/D-3 2240/960/30 比較例4 CJ-4 A-1 100 B-1 14.0 C-1 5.0 E-26 3.0 D-1/D-2/D-3 2240/960/30 比較例5 CJ-5 A-1 100 B-1 14.0 C-1 5.0 E-27 3.0 D-1/D-2/D-3 2240/960/30 比較例6 CJ-6 A-1 100 B-1 14.0 C-1 5.0 E-28 3.0 D-1/D-2/D-3 2240/960/30 比較例7 CJ-7 A-1 100 B-1 14.0 C-1 5.0 E-29 3.0 D-1/D-2/D-3 2240/960/30 比較例8 CJ-8 A-1 100 B-1 14.0 C-1 5.0 E-30 3.0 D-1/D-2/D-3 2240/960/30 比較例9 CJ-9 A-1 100 B-1 14.0 C-1 5.0 E-31 3.0 D-1/D-2/D-3 2240/960/30 比較例10 CJ-10 A-1 100 B-1 14.0 C-1 5.0 E-32 3.0 D-1/D-2/D-3 2240/960/30 比較例11 CJ-11 A-1 100 B-1 14.0 C-1 5.0 E-33 3.0 D-1/D-2/D-3 2240/960/30 比較例12 CJ-12 A-1 100 B-1 14.0 C-1 5.0 E-34 3.0 D-1/D-2/D-3 2240/960/30 比較例13 CJ-13 A-1 100 B-1 14.0 C-1 5.0 E-35 3.0 D-1/D-2/D-3 2240/960/30 比較例14 CJ-14 A-1 100 B-1 14.0 C-1 5.0 E-36 3.0 D-1/D-2/D-3 2240/960/30 比較例15 CJ-15 A-1 100 B-1 14.0 C-1 5.0 E-37 3.0 D-1/D-2/D-3 2240/960/30 比較例16 CJ-16 A-1 100 B-1 14.0 C-1 5.0 E-38 3.0 D-1/D-2/D-3 2240/960/30 比較例17 CJ-17 A-1 100 B-1 14.0 C-1 5.0 E-39 3.0 D-1/D-2/D-3 2240/960/30 [table 5] Radiation sensitive resin composition [A] Polymer [B] Acid generator [C] Acid diffusion control agent [E] Polymer [D] Organic solvent type Content (mass parts) type Content (mass parts) type Content (mass parts) type Content (mass parts) type Content (mass parts) Example 1 J-1 A-1 100 B-1 14.0 C-1 5.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 2 J-2 A-1 100 B-1 14.0 C-1 5.0 E-2 3.0 D-1/D-2/D-3 2240/960/30 Example 3 J-3 A-1 100 B-1 14.0 C-1 5.0 E-3 3.0 D-1/D-2/D-3 2240/960/30 Example 4 J-4 A-1 100 B-1 14.0 C-1 5.0 E-4 3.0 D-1/D-2/D-3 2240/960/30 Example 5 J-5 A-1 100 B-1 14.0 C-1 5.0 E-5 3.0 D-1/D-2/D-3 2240/960/30 Example 6 J-6 A-1 100 B-1 14.0 C-1 5.0 E-6 3.0 D-1/D-2/D-3 2240/960/30 Example 7 J-7 A-1 100 B-1 14.0 C-1 5.0 E-7 3.0 D-1/D-2/D-3 2240/960/30 Example 8 J-8 A-1 100 B-1 14.0 C-1 5.0 E-8 3.0 D-1/D-2/D-3 2240/960/30 Example 9 J-9 A-1 100 B-1 14.0 C-1 5.0 E-9 3.0 D-1/D-2/D-3 2240/960/30 Example 10 J-10 A-1 100 B-1 14.0 C-1 5.0 E-10 3.0 D-1/D-2/D-3 2240/960/30 Example 11 J-11 A-1 100 B-1 14.0 C-1 5.0 E-11 3.0 D-1/D-2/D-3 2240/960/30 Example 12 J-12 A-1 100 B-1 14.0 C-1 5.0 E-12 3.0 D-1/D-2/D-3 2240/960/30 Example 13 J-13 A-1 100 B-1 14.0 C-1 5.0 E-13 3.0 D-1/D-2/D-3 2240/960/30 Example 14 J-14 A-1 100 B-1 14.0 C-1 5.0 E-14 3.0 D-1/D-2/D-3 2240/960/30 Example 15 J-15 A-1 100 B-1 14.0 C-1 5.0 E-15 3.0 D-1/D-2/D-3 2240/960/30 Example 16 J-16 A-1 100 B-1 14.0 C-1 5.0 E-16 3.0 D-1/D-2/D-3 2240/960/30 Example 17 J-17 A-1 100 B-1 14.0 C-1 5.0 E-17 3.0 D-1/D-2/D-3 2240/960/30 Example 18 J-18 A-1 100 B-1 14.0 C-1 5.0 E-18 3.0 D-1/D-2/D-3 2240/960/30 Example 19 J-19 A-1 100 B-1 14.0 C-1 5.0 E-19 3.0 D-1/D-2/D-3 2240/960/30 Example 20 J-20 A-1 100 B-1 14.0 C-1 5.0 E-20 3.0 D-1/D-2/D-3 2240/960/30 Example 21 J-21 A-1 100 B-1 14.0 C-1 5.0 E-21 3.0 D-1/D-2/D-3 2240/960/30 Example 22 J-22 A-1 100 B-1 14.0 C-1 5.0 E-22 3.0 D-1/D-2/D-3 2240/960/30 Example 23 J-23 A-2 100 B-1 14.0 C-1 5.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 24 J-24 A-3 100 B-1 14.0 C-1 5.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 25 J-25 A-4 100 B-1 14.0 C-1 5.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 26 J-26 A-5 100 B-1 14.0 C-1 5.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 27 J-27 A-6 100 B-1 14.0 C-1 5.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 28 J-28 A-7 100 B-1 14.0 C-1 5.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 29 J-29 A-8 100 B-1 14.0 C-1 5.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 30 J-30 A-9 100 B-1 14.0 C-1 5.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 31 J-31 A-10 100 B-1 14.0 C-1 5.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 32 J-32 A-1l 100 B-1 14.0 C-1 5.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 33 J-33 A-1 100 B-2 14.0 C-1 5.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 34 J-34 A-1 100 B-3 14.0 C-1 5.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 35 J-35 A-1 100 B-4 14.0 C-1 5.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 36 J-36 A-1 100 B-5 14.0 C-1 5.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 37 J-37 A-1 100 B-1 14.0 C-2 5.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 38 J-38 A-1 100 B-1 14.0 C-3 5.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 39 J-39 A-1 100 B-1 14.0 C-4 5.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 40 J-40 A-1 100 B-1 14.0 C-5 5.0 E-1 3.0 D-1/D-2/D-3 2240/960/30 Example 41 J-41 A-1 100 B-1 14.0 C-1 5.0 E-1 0.3 D-1/D-2/D-3 2240/960/30 Example 42 J-42 A-1 100 B-1 14.0 C-1 5.0 E-1 1.5 D-1/D-2/D-3 2240/960/30 Example 43 J-43 A-1 100 B-1 14.0 C-1 5.0 E-1 6.0 D-1/D-2/D-3 2240/960/30 Example 44 J-44 A-1 100 B-1 14.0 C-1 5.0 E-1 10.0 D-1/D-2/D-3 2240/960/30 Example 45 J-45 A-1 100 B-1 14.0 C-1 5.0 E-1 25.0 D-1/D-2/D-3 2240/960/30 Example 46 J-46 A-1 100 B-1 14.0 C-1 5.0 E-1/E-23 0.2/2.8 D-1/D-2/D-3 2240/960/30 Example 47 J-47 A-1 100 B-1 14.0 C-1 5.0 E-1/E-23 1.5/1.5 D-1/D-2/D-3 2240/960/30 Example 48 J-48 A-1 100 B-1 14.0 C-1 5.0 E-1/E-23 2.8/0.2 D-1/D-2/D-3 2240/960/30 Comparative Example 1 CJ-1 A-1 100 B-1 14.0 C-1 5.0 E-23 3.0 D-1/D-2/D-3 2240/960/30 Comparative Example 2 CJ-2 A-1 100 B-1 14.0 C-1 5.0 E-24 3.0 D-1/D-2/D-3 2240/960/30 Comparative Example 3 CJ-3 A-1 100 B-1 14.0 C-1 5.0 E-25 3.0 D-1/D-2/D-3 2240/960/30 Comparative Example 4 CJ-4 A-1 100 B-1 14.0 C-1 5.0 E-26 3.0 D-1/D-2/D-3 2240/960/30 Comparative Example 5 CJ-5 A-1 100 B-1 14.0 C-1 5.0 E-27 3.0 D-1/D-2/D-3 2240/960/30 Comparative Example 6 CJ-6 A-1 100 B-1 14.0 C-1 5.0 E-28 3.0 D-1/D-2/D-3 2240/960/30 Comparative Example 7 CJ-7 A-1 100 B-1 14.0 C-1 5.0 E-29 3.0 D-1/D-2/D-3 2240/960/30 Comparative Example 8 CJ-8 A-1 100 B-1 14.0 C-1 5.0 E-30 3.0 D-1/D-2/D-3 2240/960/30 Comparative Example 9 CJ-9 A-1 100 B-1 14.0 C-1 5.0 E-31 3.0 D-1/D-2/D-3 2240/960/30 Comparative Example 10 CJ-10 A-1 100 B-1 14.0 C-1 5.0 E-32 3.0 D-1/D-2/D-3 2240/960/30 Comparative Example 11 CJ-11 A-1 100 B-1 14.0 C-1 5.0 E-33 3.0 D-1/D-2/D-3 2240/960/30 Comparative Example 12 CJ-12 A-1 100 B-1 14.0 C-1 5.0 E-34 3.0 D-1/D-2/D-3 2240/960/30 Comparative Example 13 CJ-13 A-1 100 B-1 14.0 C-1 5.0 E-35 3.0 D-1/D-2/D-3 2240/960/30 Comparative Example 14 CJ-14 A-1 100 B-1 14.0 C-1 5.0 E-36 3.0 D-1/D-2/D-3 2240/960/30 Comparative Example 15 CJ-15 A-1 100 B-1 14.0 C-1 5.0 E-37 3.0 D-1/D-2/D-3 2240/960/30 Comparative Example 16 CJ-16 A-1 100 B-1 14.0 C-1 5.0 E-38 3.0 D-1/D-2/D-3 2240/960/30 Comparative Example 17 CJ-17 A-1 100 B-1 14.0 C-1 5.0 E-39 3.0 D-1/D-2/D-3 2240/960/30

<使用ArF曝光用正型感放射線性樹脂組成物的抗蝕劑圖案的形成> 使用旋塗機(東京電子(Tokyo Electron)(股)製造的「CLEAN TRACK ACT12」),將下層抗反射膜形成用組成物(布魯爾科技(Brewer Science)公司製造的「ARC66」)塗佈於12吋的矽晶圓上後,於205℃下加熱60秒鐘,藉此形成平均厚度100 nm的下層抗反射膜。使用所述旋塗機將所述製備的ArF曝光用正型感放射線性樹脂組成物塗佈於該下層抗反射膜上,並於100℃下進行60秒鐘預烘烤(PB)。之後,於23℃下冷卻30秒鐘,藉此形成平均厚度90 nm的抗蝕劑膜。其次,使用ArF準分子雷射液浸曝光裝置(ASML公司的「TWINSCAN XT-1900i」),以NA=1.35、偶極(Dipole)(σ=0.9/0.7)的光學條件,介隔40 nm線與空間的遮罩圖案,對該抗蝕劑膜進行曝光。曝光後,於100℃下進行60秒鐘曝光後烘烤(PEB)。之後,使用2.38質量%的TMAH水溶液作為鹼性顯影液,對所述抗蝕劑膜進行鹼顯影,於顯影後利用水進行清洗,進而進行乾燥,藉此形成正型的抗蝕劑圖案(40 nm線與空間圖案)。 <Formation of resist pattern using positive radiation-sensitive resin composition for ArF exposure> Using a spin coater (“CLEAN TRACK ACT12” manufactured by Tokyo Electron Co., Ltd.), the composition for forming a lower layer antireflection film (“ARC66” manufactured by Brewer Science Co., Ltd.) was applied After being placed on a 12-inch silicon wafer, it was heated at 205° C. for 60 seconds to form a lower anti-reflection film with an average thickness of 100 nm. The prepared positive-type radiation-sensitive resin composition for ArF exposure was coated on the lower antireflection film using the spin coater, and prebaked (PB) at 100° C. for 60 seconds. Then, it cooled at 23 degreeC for 30 second, and formed the resist film with an average thickness of 90 nm. Next, using an ArF excimer laser immersion exposure device (“TWINSCAN XT-1900i” from ASML), under the optical conditions of NA=1.35, Dipole (σ=0.9/0.7), a line of 40 nm is separated. With a mask pattern of spaces, the resist film is exposed to light. After exposure, a post-exposure bake (PEB) was performed at 100°C for 60 seconds. Then, using 2.38 mass % TMAH aqueous solution as an alkaline developing solution, the resist film was subjected to alkaline development, washed with water after development, and dried to form a positive-type resist pattern (40 nm line and space pattern).

<評價> 針對使用所述ArF曝光用感放射線性樹脂組成物所形成的抗蝕劑圖案,依據下述方法來評價感度及顯影後缺陷數。另外,針對ArF曝光前的抗蝕劑膜,依據下述方法來評價後退接觸角。將該些的結果示於下述表6中。再者,對於抗蝕劑圖案的測長,使用掃描式電子顯微鏡(日立先端科技(Hitachi High-Technologies)(股)製造的「CG-5000」)。 <Evaluation> With respect to the resist pattern formed using the radiation-sensitive resin composition for ArF exposure, the sensitivity and the number of defects after development were evaluated according to the following methods. In addition, with respect to the resist film before ArF exposure, the receding contact angle was evaluated according to the following method. These results are shown in Table 6 below. In addition, for the length measurement of the resist pattern, a scanning electron microscope (“CG-5000” manufactured by Hitachi High-Technologies Co., Ltd.) was used.

[感度] 於使用所述ArF曝光用感放射線性樹脂組成物的抗蝕劑圖案的形成中,將形成40 nm線與空間圖案的曝光量設為最佳曝光量,將該最佳曝光量設為感度(mJ/cm 2)。關於感度,將25 mJ/cm 2以下的情況評價為「良好」,將超過25 mJ/cm 2的情況評價為「不良」。 [Sensitivity] In the formation of the resist pattern using the radiation-sensitive resin composition for ArF exposure, the exposure amount for forming a 40 nm line and space pattern was set as the optimum exposure amount, and the optimum exposure amount was set as the optimum exposure amount. is the sensitivity (mJ/cm 2 ). Regarding the sensitivity, the case of 25 mJ/cm 2 or less was evaluated as "good", and the case of more than 25 mJ/cm 2 was evaluated as "poor".

[SB後的後退接觸角] 針對所述抗蝕劑圖案的形成方法中的ArF曝光前的抗蝕劑膜,於室溫23℃、相對濕度40%、常壓的環境下,使用KRUS公司製造的DSA-10並按照以下的程序來測定後退接觸角。 [Receding contact angle after SB] For the resist film before ArF exposure in the method for forming the resist pattern, DSA-10 manufactured by KRUS was used in an environment of room temperature 23° C., relative humidity 40%, and normal pressure, and the following procedures were used. procedure to determine the receding contact angle.

自DSA-10的針排出水而於抗蝕劑膜上形成25 μL的水滴後,利用針以10 μL/分鐘的速度抽吸水滴90秒,並且測定每秒的接觸角(合計90次)。於該測定中,針對自接觸角穩定的時點起合計20點的接觸角,算出平均值,設為SB後的後退接觸角(°)。將SB後的後退接觸角為70°以上的情況評價為「良好」,將低於70°的情況評價為「不良」。After water was discharged from the needle of DSA-10 to form 25 μL of water droplets on the resist film, the water droplets were sucked by the needle at a speed of 10 μL/min for 90 seconds, and the contact angle per second was measured (90 times in total). In this measurement, the average value was calculated for the contact angles at a total of 20 points from the point at which the contact angle stabilized, and it was set as the receding contact angle (°) after SB. When the receding contact angle after SB was 70° or more, it was evaluated as "good", and when it was less than 70°, it was evaluated as "poor".

[顯影缺陷數] 以最佳曝光量對抗蝕劑膜進行曝光而形成線寬40 nm的線與空間圖案,製成缺陷檢查用晶圓。使用缺陷檢查裝置(科磊(KLA-Tencor)公司製造的「KLA2810」)來測定該缺陷檢查用晶圓上的缺陷數。然後,將所述所測定的缺陷分類為判斷為源自抗蝕劑膜的缺陷與源自外部的異物,並算出判斷為源自抗蝕劑膜的缺陷的數量。關於顯影後缺陷數,將所述判斷為源自抗蝕劑膜的缺陷的數量為15個以下的情況評價為「良好」,將超過15個的情況評價為「不良」。 [Number of development defects] The resist film was exposed at the optimum exposure amount to form a line-and-space pattern with a line width of 40 nm, and a wafer for defect inspection was produced. The number of defects on the wafer for defect inspection was measured using a defect inspection apparatus (“KLA2810” manufactured by KLA-Tencor Corporation). Then, the measured defects were classified into defects determined to be derived from the resist film and foreign substances derived from the outside, and the number of defects determined to be derived from the resist film was calculated. Regarding the number of defects after development, the case where the number of defects determined to be derived from the resist film was 15 or less was evaluated as "good", and the case where it exceeded 15 was evaluated as "poor".

[表6] 感放射線性樹脂組成物 感度 (mJ/cm 2 後退接觸角/PB後 (°) 顯影缺陷數 (個) 實施例1 J-1 23 80 1 實施例2 J-2 24 81 2 實施例3 J-3 24 82 5 實施例4 J-4 23 80 1 實施例5 J-5 23 81 2 實施例6 J-6 23 78 8 實施例7 J-7 24 85 1 實施例8 J-8 22 86 7 實施例9 J-9 22 81 7 實施例10 J-10 24 78 2 實施例11 J-11 24 79 8 實施例12 J-12 23 77 1 實施例13 J-13 22 81 9 實施例14 J-14 23 78 3 實施例15 J-15 22 85 9 實施例16 J-16 24 86 10 實施例17 J-17 21 81 2 實施例18 J-18 24 78 2 實施例19 J-19 24 79 1 實施例20 J-20 24 77 1 實施例21 J-21 23 78 0 實施例22 J-22 23 83 5 實施例23 J-23 23 81 2 實施例24 J-24 24 78 3 實施例25 J-25 22 80 1 實施例26 J-26 22 81 2 實施例27 J-27 22 82 1 實施例28 J-28 24 80 2 實施例29 J-29 23 81 3 實施例30 J-30 22 80 1 實施例31 J-31 22 79 1 實施例32 J-32 23 80 1 實施例33 J-33 23 80 3 實施例34 J-34 24 80 2 實施例35 J-35 22 81 3 實施例36 J-36 22 80 1 實施例37 J-37 22 79 2 實施例38 J-38 24 81 1 實施例39 J-39 22 81 2 實施例40 J-40 23 80 3 實施例41 J-41 22 77 9 實施例42 J-42 21 79 4 實施例43 J-43 22 81 4 實施例44 J-44 22 80 8 實施例45 J-45 23 78 12 實施例46 J-46 22 77 12 實施例47 J-47 21 78 10 實施例48 J-48 22 80 4 比較例1 CJ-1 27 63 189 比較例2 CJ-2 28 66 222 比較例3 CJ-3 27 67 210 比較例4 CJ-4 27 62 160 比較例5 CJ-5 28 65 216 比較例6 CJ-6 29 67 471 比較例7 CJ-7 27 66 428 比較例8 CJ-8 30 65 267 比較例9 CJ-9 27 67 98 比較例10 CJ-10 28 67 329 比較例11 CJ-11 29 65 318 比較例12 CJ-12 28 68 161 比較例13 CJ-13 27 67 106 比較例14 CJ-14 27 62 520 比較例15 CJ-15 29 66 89 比較例16 CJ-16 29 65 78 比較例17 CJ-17 27 61 67 [Table 6] Radiation sensitive resin composition Sensitivity (mJ/cm 2 ) Receding contact angle / after PB (°) Number of developing defects (pieces) Example 1 J-1 twenty three 80 1 Example 2 J-2 twenty four 81 2 Example 3 J-3 twenty four 82 5 Example 4 J-4 twenty three 80 1 Example 5 J-5 twenty three 81 2 Example 6 J-6 twenty three 78 8 Example 7 J-7 twenty four 85 1 Example 8 J-8 twenty two 86 7 Example 9 J-9 twenty two 81 7 Example 10 J-10 twenty four 78 2 Example 11 J-11 twenty four 79 8 Example 12 J-12 twenty three 77 1 Example 13 J-13 twenty two 81 9 Example 14 J-14 twenty three 78 3 Example 15 J-15 twenty two 85 9 Example 16 J-16 twenty four 86 10 Example 17 J-17 twenty one 81 2 Example 18 J-18 twenty four 78 2 Example 19 J-19 twenty four 79 1 Example 20 J-20 twenty four 77 1 Example 21 J-21 twenty three 78 0 Example 22 J-22 twenty three 83 5 Example 23 J-23 twenty three 81 2 Example 24 J-24 twenty four 78 3 Example 25 J-25 twenty two 80 1 Example 26 J-26 twenty two 81 2 Example 27 J-27 twenty two 82 1 Example 28 J-28 twenty four 80 2 Example 29 J-29 twenty three 81 3 Example 30 J-30 twenty two 80 1 Example 31 J-31 twenty two 79 1 Example 32 J-32 twenty three 80 1 Example 33 J-33 twenty three 80 3 Example 34 J-34 twenty four 80 2 Example 35 J-35 twenty two 81 3 Example 36 J-36 twenty two 80 1 Example 37 J-37 twenty two 79 2 Example 38 J-38 twenty four 81 1 Example 39 J-39 twenty two 81 2 Example 40 J-40 twenty three 80 3 Example 41 J-41 twenty two 77 9 Example 42 J-42 twenty one 79 4 Example 43 J-43 twenty two 81 4 Example 44 J-44 twenty two 80 8 Example 45 J-45 twenty three 78 12 Example 46 J-46 twenty two 77 12 Example 47 J-47 twenty one 78 10 Example 48 J-48 twenty two 80 4 Comparative Example 1 CJ-1 27 63 189 Comparative Example 2 CJ-2 28 66 222 Comparative Example 3 CJ-3 27 67 210 Comparative Example 4 CJ-4 27 62 160 Comparative Example 5 CJ-5 28 65 216 Comparative Example 6 CJ-6 29 67 471 Comparative Example 7 CJ-7 27 66 428 Comparative Example 8 CJ-8 30 65 267 Comparative Example 9 CJ-9 27 67 98 Comparative Example 10 CJ-10 28 67 329 Comparative Example 11 CJ-11 29 65 318 Comparative Example 12 CJ-12 28 68 161 Comparative Example 13 CJ-13 27 67 106 Comparative Example 14 CJ-14 27 62 520 Comparative Example 15 CJ-15 29 66 89 Comparative Example 16 CJ-16 29 65 78 Comparative Example 17 CJ-17 27 61 67

如根據表6的結果而明確般,實施例的感放射線性樹脂組成物於用於ArF曝光的情況下,感度、SB後的後退接觸角性能及顯影後缺陷性能良好,相對於此,於比較例中,與實施例相比,各特性差。因此,於將實施例的感放射線性樹脂組成物用於ArF曝光的情況下,可形成高感度、高撥水性且缺陷少的抗蝕劑圖案。As is clear from the results in Table 6, when the radiation-sensitive resin compositions of Examples were used for ArF exposure, the sensitivity, the receding contact angle performance after SB, and the defect performance after development were good. In the example, each characteristic is inferior to that of the example. Therefore, when the radiation-sensitive resin composition of the Example is used for ArF exposure, a resist pattern with high sensitivity, high water repellency, and few defects can be formed.

[極紫外線(EUV)曝光用感放射線性樹脂組成物的製備] [實施例49] 混合作為[A]聚合體的(A-12)100質量份、作為[B]酸產生劑的(B-1)15.0質量份、作為[C]酸擴散控制劑的(C-2)10.0質量份、作為[E]聚合體的(E-1)4.0質量份、以及作為[D]溶媒的(D-1)/(D-4)=70/30(質量比)的混合溶媒6,110質量份,利用孔徑0.2 μm的膜濾器進行過濾,藉此製備感放射線性樹脂組成物(J-49)。 [Preparation of radiation-sensitive resin composition for extreme ultraviolet (EUV) exposure] [Example 49] 100 parts by mass of (A-12) as [A] polymer, 15.0 parts by mass of (B-1) as [B] acid generator, and 10.0 parts by mass of (C-2) as [C] acid diffusion control agent parts, 4.0 parts by mass of (E-1) as [E] polymer, and 6,110 parts by mass of a mixed solvent of (D-1)/(D-4)=70/30 (mass ratio) as [D] solvent , and filtered through a membrane filter with a pore size of 0.2 μm to prepare a radiation-sensitive resin composition (J-49).

[實施例50~實施例72及比較例18~比較例24] 除使用下述表7所示的種類及含量的各成分以外,與實施例49同樣地製備感放射線性樹脂組成物(J-50)~感放射線性樹脂組成物(J-72)及感放射線性樹脂組成物(CJ-18)~感放射線性樹脂組成物(CJ-24)。 [Example 50 to Example 72 and Comparative Example 18 to Comparative Example 24] A radiation-sensitive resin composition (J-50) to a radiation-sensitive resin composition (J-72) and a radiation-sensitive resin composition (J-72) and a radiation-sensitive resin composition were prepared in the same manner as in Example 49, except that each component of the type and content shown in the following Table 7 was used. Radiation-sensitive resin composition (CJ-18) to radiation-sensitive resin composition (CJ-24).

[表7] 感放射線性樹脂組成物 [A]聚合體 [B]酸產生劑 [C]酸擴散控制劑 [E]聚合體 [D]有機溶劑 種類 含量 (質量份) 種類 含量 (質量份) 種類 含量 (質量份) 種類 含量 (質量份) 種類 含量 (質量份) 實施例49 J-49 A-12 100 B-1 15.0 C-2 10.0 E-1 4.0 D-1/D-4 4280/1830 實施例50 J-50 A-12 100 B-1 15.0 C-2 10.0 E-2 4.0 D-1/D-4 4280/1830 實施例51 J-51 A-12 100 B-1 15.0 C-2 10.0 E-3 4.0 D-1/D-4 4280/1830 實施例52 J-52 A-12 100 B-1 15.0 C-2 10.0 E-12 4.0 D-1/D-4 4280/1830 實施例53 J-53 A-12 100 B-1 15.0 C-2 10.0 E-14 4.0 D-1/D-4 4280/1830 實施例54 J-54 A-12 100 B-1 15.0 C-2 10.0 E-17 4.0 D-1/D-4 4280/1830 實施例55 J-55 A-12 100 B-1 15.0 C-2 10.0 E-18 4.0 D-1/D-4 4280/1830 實施例56 J-56 A-12 100 B-1 15.0 C-2 10.0 E-19 4.0 D-1/D-4 4280/1830 實施例57 J-57 A-12 100 B-1 15.0 C-2 10.0 E-21 4.0 D-1/D-4 4280/1830 實施例58 J-58 A-13 100 B-1 15.0 C-2 10.0 E-1 4.0 D-1/D-4 4280/1830 實施例59 J-59 A-14 100 B-1 15.0 C-2 10.0 E-1 4.0 D-1/D-4 4280/1830 實施例60 J-60 A-15 100 B-1 15.0 C-2 10.0 E-1 4.0 D-1/D-4 4280/1830 實施例61 J-61 A-12 100 B-2 15.0 C-2 10.0 E-1 4.0 D-1/D-4 4280/1830 實施例62 J-62 A-12 100 B-3 15.0 C-2 10.0 E-1 4.0 D-1/D-4 4280/1830 實施例63 J-63 A-12 100 B-4 15.0 C-2 10.0 E-1 4.0 D-1/D-4 4280/1830 實施例64 J-64 A-12 100 B-5 15.0 C-2 10.0 E-1 4.0 D-1/D-4 4280/1830 實施例65 J-65 A-12 100 B-1 15.0 C-2 10.0 E-1 0.3 D-1/D-4 4280/1830 實施例66 J-66 A-12 100 B-1 15.0 C-2 10.0 E-1 1.5 D-1/D-4 4280/1830 實施例67 J-67 A-12 100 B-1 15.0 C-2 10.0 E-1 6.0 D-1/D-4 4280/1830 實施例68 J-68 A-12 100 B-1 15.0 C-2 10.0 E-1 10.0 D-1/D-4 4280/1830 實施例69 J-69 A-12 100 B-1 15.0 C-2 10.0 E-1 25.0 D-1/D-4 4280/1830 實施例70 J-70 A-12 100 B-1 15.0 C-2 10.0 E-1/E-24 0.2/3.8 D-1/D-4 4280/1830 實施例71 J-71 A-12 100 B-1 15.0 C-2 10.0 E-1/E-24 2.0/2.0 D-1/D-4 4280/1830 實施例72 J-72 A-12 100 B-1 15.0 C-2 10.0 E-1/E-24 3.8/0.2 D-1/D-4 4280/1830 比較例18 CJ-18 A-12 100 B-1 15.0 C-2 10.0 E-24 4.0 D-1/D-4 4280/1830 比較例19 CJ-19 A-12 100 B-1 15.0 C-2 10.0 E-25 4.0 D-1/D-4 4280/1830 比較例20 CJ-20 A-12 100 B-1 15.0 C-2 10.0 E-26 4.0 D-1/D-4 4280/1830 比較例21 CJ-21 A-12 100 B-1 15.0 C-2 10.0 E-27 4.0 D-1/D-4 4280/1830 比較例22 CJ-22 A-12 100 B-1 15.0 C-2 10.0 E-35 4.0 D-1/D-4 4280/1830 比較例23 CJ-23 A-12 100 B-1 15.0 C-2 10.0 E-38 4.0 D-1/D-4 4280/1830 比較例24 CJ-24 A-12 100 B-1 15.0 C-2 10.0 E-39 4.0 D-1/D-4 4280/1830 [Table 7] Radiation sensitive resin composition [A] Polymer [B] Acid generator [C] Acid diffusion control agent [E] Polymer [D] Organic solvent type Content (mass parts) type Content (mass parts) type Content (mass parts) type Content (mass parts) type Content (mass parts) Example 49 J-49 A-12 100 B-1 15.0 C-2 10.0 E-1 4.0 D-1/D-4 4280/1830 Example 50 J-50 A-12 100 B-1 15.0 C-2 10.0 E-2 4.0 D-1/D-4 4280/1830 Example 51 J-51 A-12 100 B-1 15.0 C-2 10.0 E-3 4.0 D-1/D-4 4280/1830 Example 52 J-52 A-12 100 B-1 15.0 C-2 10.0 E-12 4.0 D-1/D-4 4280/1830 Example 53 J-53 A-12 100 B-1 15.0 C-2 10.0 E-14 4.0 D-1/D-4 4280/1830 Example 54 J-54 A-12 100 B-1 15.0 C-2 10.0 E-17 4.0 D-1/D-4 4280/1830 Example 55 J-55 A-12 100 B-1 15.0 C-2 10.0 E-18 4.0 D-1/D-4 4280/1830 Example 56 J-56 A-12 100 B-1 15.0 C-2 10.0 E-19 4.0 D-1/D-4 4280/1830 Example 57 J-57 A-12 100 B-1 15.0 C-2 10.0 E-21 4.0 D-1/D-4 4280/1830 Example 58 J-58 A-13 100 B-1 15.0 C-2 10.0 E-1 4.0 D-1/D-4 4280/1830 Example 59 J-59 A-14 100 B-1 15.0 C-2 10.0 E-1 4.0 D-1/D-4 4280/1830 Example 60 J-60 A-15 100 B-1 15.0 C-2 10.0 E-1 4.0 D-1/D-4 4280/1830 Example 61 J-61 A-12 100 B-2 15.0 C-2 10.0 E-1 4.0 D-1/D-4 4280/1830 Example 62 J-62 A-12 100 B-3 15.0 C-2 10.0 E-1 4.0 D-1/D-4 4280/1830 Example 63 J-63 A-12 100 B-4 15.0 C-2 10.0 E-1 4.0 D-1/D-4 4280/1830 Example 64 J-64 A-12 100 B-5 15.0 C-2 10.0 E-1 4.0 D-1/D-4 4280/1830 Example 65 J-65 A-12 100 B-1 15.0 C-2 10.0 E-1 0.3 D-1/D-4 4280/1830 Example 66 J-66 A-12 100 B-1 15.0 C-2 10.0 E-1 1.5 D-1/D-4 4280/1830 Example 67 J-67 A-12 100 B-1 15.0 C-2 10.0 E-1 6.0 D-1/D-4 4280/1830 Example 68 J-68 A-12 100 B-1 15.0 C-2 10.0 E-1 10.0 D-1/D-4 4280/1830 Example 69 J-69 A-12 100 B-1 15.0 C-2 10.0 E-1 25.0 D-1/D-4 4280/1830 Example 70 J-70 A-12 100 B-1 15.0 C-2 10.0 E-1/E-24 0.2/3.8 D-1/D-4 4280/1830 Example 71 J-71 A-12 100 B-1 15.0 C-2 10.0 E-1/E-24 2.0/2.0 D-1/D-4 4280/1830 Example 72 J-72 A-12 100 B-1 15.0 C-2 10.0 E-1/E-24 3.8/0.2 D-1/D-4 4280/1830 Comparative Example 18 CJ-18 A-12 100 B-1 15.0 C-2 10.0 E-24 4.0 D-1/D-4 4280/1830 Comparative Example 19 CJ-19 A-12 100 B-1 15.0 C-2 10.0 E-25 4.0 D-1/D-4 4280/1830 Comparative Example 20 CJ-20 A-12 100 B-1 15.0 C-2 10.0 E-26 4.0 D-1/D-4 4280/1830 Comparative Example 21 CJ-21 A-12 100 B-1 15.0 C-2 10.0 E-27 4.0 D-1/D-4 4280/1830 Comparative Example 22 CJ-22 A-12 100 B-1 15.0 C-2 10.0 E-35 4.0 D-1/D-4 4280/1830 Comparative Example 23 CJ-23 A-12 100 B-1 15.0 C-2 10.0 E-38 4.0 D-1/D-4 4280/1830 Comparative Example 24 CJ-24 A-12 100 B-1 15.0 C-2 10.0 E-39 4.0 D-1/D-4 4280/1830

<使用EUV曝光用感放射線性樹脂組成物的抗蝕劑圖案的形成> 使用旋塗機(東京電子(Tokyo Electron)(股)製造的「CLEAN TRACK ACT12」),將下層抗反射膜形成用組成物(布魯爾科技(Brewer Science)公司製造的「ARC66」)塗佈於12吋的矽晶圓上後,於205℃下加熱60秒鐘,藉此形成平均厚度105 nm的下層抗反射膜。使用所述旋塗機將所述製備的EUV曝光用感放射線性樹脂組成物塗佈於該下層抗反射膜上,並於130℃下進行60秒鐘PB。之後,於23℃下冷卻30秒鐘,藉此形成平均厚度55 nm的抗蝕劑膜。其次,使用EUV曝光裝置(ASML公司製造的「NXE3300」),以NA=0.33、照明條件:Conventional s=0.89、遮罩:imecDEFECT32FFR02對所述抗蝕劑膜進行曝光。曝光後,於120℃下進行60秒鐘PEB。之後,使用2.38質量%的TMAH水溶液作為鹼性顯影液,對所述抗蝕劑膜進行鹼顯影,於顯影後利用水進行清洗,進而進行乾燥,藉此形成正型的抗蝕劑圖案(32 nm線與空間圖案)。 <Formation of resist pattern using radiation-sensitive resin composition for EUV exposure> Using a spin coater (“CLEAN TRACK ACT12” manufactured by Tokyo Electron Co., Ltd.), the composition for forming a lower layer antireflection film (“ARC66” manufactured by Brewer Science Co., Ltd.) was applied After being placed on a 12-inch silicon wafer, it was heated at 205° C. for 60 seconds to form a lower anti-reflection film with an average thickness of 105 nm. The prepared radiation-sensitive resin composition for EUV exposure was coated on the lower antireflection film using the spin coater, and PB was performed at 130° C. for 60 seconds. Then, it cooled at 23 degreeC for 30 second, and formed the resist film with an average thickness of 55 nm. Next, using an EUV exposure apparatus (“NXE3300” manufactured by ASML), the resist film was exposed at NA=0.33, illumination condition: Conventional s=0.89, and mask: imecDEFECT32FFR02. After exposure, PEB was performed at 120°C for 60 seconds. Then, using 2.38 mass % TMAH aqueous solution as an alkaline developer, the resist film was subjected to alkaline development, washed with water after development, and dried to form a positive-type resist pattern (32 nm line and space pattern).

<評價> 針對使用所述EUV曝光用感放射線性樹脂組成物所形成的抗蝕劑圖案,依據下述方法來評價感度及LWR性能。將其結果示於下述表8中。再者,對於抗蝕劑圖案的測長,使用掃描式電子顯微鏡(日立先端科技(Hitachi High-Technologies)(股)製造的「CG-5000」)。 <Evaluation> With respect to the resist pattern formed using the radiation-sensitive resin composition for EUV exposure, the sensitivity and LWR performance were evaluated according to the following methods. The results are shown in Table 8 below. In addition, for the length measurement of the resist pattern, a scanning electron microscope (“CG-5000” manufactured by Hitachi High-Technologies Co., Ltd.) was used.

[感度] 於使用所述EUV曝光用感放射線性樹脂組成物的抗蝕劑圖案的形成中,將形成32 nm線與空間圖案的曝光量設為最佳曝光量,將該最佳曝光量設為感度(mJ/cm 2)。關於感度,將25 mJ/cm 2以下的情況評價為「良好」,將超過25 mJ/cm 2的情況評價為「不良」。 [Sensitivity] In the formation of the resist pattern using the radiation-sensitive resin composition for EUV exposure, the exposure amount for forming the 32 nm line and space pattern was set as the optimum exposure amount, and the optimum exposure amount was set as the optimum exposure amount. is the sensitivity (mJ/cm 2 ). Regarding the sensitivity, the case of 25 mJ/cm 2 or less was evaluated as "good", and the case of more than 25 mJ/cm 2 was evaluated as "poor".

[顯影缺陷數] 以最佳曝光量對抗蝕劑膜進行曝光而形成線寬32 nm的線與空間圖案,製成缺陷檢查用晶圓。使用缺陷檢查裝置(科磊(KLA-Tencor)公司製造的「KLA2810」)來測定該缺陷檢查用晶圓上的缺陷數。然後,將所述所測定的缺陷分類為判斷為源自抗蝕劑膜的缺陷與源自外部的異物,並算出判斷為源自抗蝕劑膜的缺陷的數量。關於顯影後缺陷數,將所述判斷為源自抗蝕劑膜的缺陷的數量為15個以下的情況評價為「良好」,將超過15個的情況評價為「不良」。 [Number of development defects] The resist film was exposed at the optimum exposure amount to form a line and space pattern with a line width of 32 nm, and a wafer for defect inspection was produced. The number of defects on the wafer for defect inspection was measured using a defect inspection apparatus (“KLA2810” manufactured by KLA-Tencor Corporation). Then, the measured defects were classified into defects determined to be derived from the resist film and foreign substances derived from the outside, and the number of defects determined to be derived from the resist film was calculated. Regarding the number of defects after development, the case where the number of defects determined to be derived from the resist film was 15 or less was evaluated as "good", and the case where it exceeded 15 was evaluated as "poor".

[表8] 感放射線性樹脂組成物 感度 (mJ/cm 2 顯影缺陷數 (個) 實施例49 J-49 22 5 實施例50 J-50 23 6 實施例51 J-51 24 10 實施例52 J-52 22 3 實施例53 J-53 23 4 實施例54 J-54 22 1 實施例55 J-55 23 4 實施例56 J-56 21 8 實施例57 J-57 23 9 實施例58 J-58 21 4 實施例59 J-59 22 5 實施例60 J-60 22 3 實施例61 J-61 22 7 實施例62 J-62 23 5 實施例63 J-63 24 6 實施例64 J-64 21 4 實施例65 J-65 22 11 實施例66 J-66 22 9 實施例67 J-67 21 8 實施例68 J-68 22 10 實施例69 J-69 22 12 實施例70 J-70 22 13 實施例71 J-71 24 8 實施例72 J-72 22 7 比較例18 CJ-18 29 261 比較例19 CJ-19 30 218 比較例20 CJ-20 28 319 比較例21 CJ-21 29 161 比較例22 CJ-22 29 194 比較例23 CJ-23 27 173 比較例24 CJ-24 28 185 [Table 8] Radiation sensitive resin composition Sensitivity (mJ/cm 2 ) Number of developing defects (pieces) Example 49 J-49 twenty two 5 Example 50 J-50 twenty three 6 Example 51 J-51 twenty four 10 Example 52 J-52 twenty two 3 Example 53 J-53 twenty three 4 Example 54 J-54 twenty two 1 Example 55 J-55 twenty three 4 Example 56 J-56 twenty one 8 Example 57 J-57 twenty three 9 Example 58 J-58 twenty one 4 Example 59 J-59 twenty two 5 Example 60 J-60 twenty two 3 Example 61 J-61 twenty two 7 Example 62 J-62 twenty three 5 Example 63 J-63 twenty four 6 Example 64 J-64 twenty one 4 Example 65 J-65 twenty two 11 Example 66 J-66 twenty two 9 Example 67 J-67 twenty one 8 Example 68 J-68 twenty two 10 Example 69 J-69 twenty two 12 Example 70 J-70 twenty two 13 Example 71 J-71 twenty four 8 Example 72 J-72 twenty two 7 Comparative Example 18 CJ-18 29 261 Comparative Example 19 CJ-19 30 218 Comparative Example 20 CJ-20 28 319 Comparative Example 21 CJ-21 29 161 Comparative Example 22 CJ-22 29 194 Comparative Example 23 CJ-23 27 173 Comparative Example 24 CJ-24 28 185

如根據表8的結果而明確般,實施例的感放射線性樹脂組成物於用於EUV曝光的情況下,感度、顯影後缺陷性能良好。相對於此,於比較例中,與實施例相比,各特性差。因此,於將實施例的感放射線性樹脂組成物用於EUV曝光的情況下,可形成高感度且缺陷少的抗蝕劑圖案。As is clear from the results in Table 8, when the radiation-sensitive resin compositions of Examples were used for EUV exposure, the sensitivity and post-development defect performance were good. On the other hand, in the comparative example, each characteristic is inferior to the Example. Therefore, when the radiation-sensitive resin composition of the Example is used for EUV exposure, a resist pattern with high sensitivity and few defects can be formed.

[ArF曝光用負型感放射線性樹脂組成物的製備、使用該組成物的抗蝕劑圖案的形成及評價] [實施例82] 混合作為[A]聚合體的(A-1)100質量份、作為[B]酸產生劑的(B-4)10.0質量份、作為[C]酸擴散控制劑的(C-3)5.0質量份、作為[E]聚合體的(E-1)3.0質量份(固體成分)、以及作為[D]溶媒的(D-1)/(D-2)/(D-3)=70/29/1(質量比)的混合溶媒3,230質量份,利用孔徑0.2 μm的膜濾器進行過濾,藉此製備感放射線性樹脂組成物(J-73)。 [Preparation of Negative Radiation Sensitive Resin Composition for ArF Exposure, Formation and Evaluation of Resist Pattern Using the Composition] [Example 82] 100 parts by mass of (A-1) as [A] polymer, 10.0 parts by mass of (B-4) as [B] acid generator, and 5.0 parts by mass of (C-3) as [C] acid diffusion control agent part, (E-1) 3.0 parts by mass (solid content) as [E] polymer, and (D-1)/(D-2)/(D-3)=70/29 as [D] solvent /1 (mass ratio) of 3,230 parts by mass of a mixed solvent, and filtered through a membrane filter with a pore size of 0.2 μm to prepare a radiation-sensitive resin composition (J-73).

於使用ArF曝光用正型感放射線性樹脂組成物的抗蝕劑圖案的形成中,除使用所述所製備的ArF曝光用負型感放射線性樹脂組成物(J-73)作為感放射線性樹脂組成物以外,同樣地形成抗蝕劑膜,進行ArF曝光並進行PEB。之後,使用乙酸正丁酯作為有機溶媒顯影液,對所述抗蝕劑膜進行有機溶媒顯影並加以乾燥,藉此形成負型的抗蝕劑圖案(40 nm線與空間圖案)。In the formation of the resist pattern using the positive-type radiation-sensitive resin composition for ArF exposure, the negative-type radiation-sensitive resin composition for ArF exposure (J-73) prepared as described above was used as the radiation-sensitive resin. Other than the composition, a resist film was formed in the same manner, ArF exposure was performed, and PEB was performed. Then, using n-butyl acetate as an organic solvent developer, the resist film was developed with an organic solvent and dried to form a negative resist pattern (40 nm line and space pattern).

針對所述使用ArF曝光用負型感放射線性樹脂組成物的抗蝕劑圖案及ArF曝光前的抗蝕劑膜,與所述使用ArF曝光用正型感放射線性樹脂組成物的抗蝕劑圖案的評價同樣地進行評價。其結果,實施例73的感放射線性樹脂組成物即便於利用ArF曝光形成負型的抗蝕劑圖案的情況下,感度、PB後的後退接觸角性能及顯影後缺陷性能亦良好。 [產業上的可利用性] For the resist pattern using the negative radiation-sensitive resin composition for ArF exposure and the resist film before ArF exposure, and the resist pattern using the positive radiation-sensitive resin composition for ArF exposure The evaluation was performed in the same way. As a result, the radiation-sensitive resin composition of Example 73 was excellent in sensitivity, receding contact angle performance after PB, and defect performance after development even when a negative resist pattern was formed by ArF exposure. [Industrial Availability]

根據本發明的感放射線性樹脂組成物、使用其的抗蝕劑圖案形成方法、撥水性改善劑等,可形成相對於曝光光的感度良好、高撥水性及缺陷少的抗蝕劑圖案。本發明的聚合體可較佳地用作該感放射線性樹脂組成物的聚合體。本發明的化合物可較佳地用作該聚合體的單量體。因此,該些可較佳地用於預想今後進一步進行微細化的半導體器件的加工製程等中。According to the radiation-sensitive resin composition of the present invention, a resist pattern forming method using the same, a water repellency improving agent, and the like, a resist pattern having good sensitivity to exposure light, high water repellency, and few defects can be formed. The polymer of the present invention can be preferably used as the polymer of the radiation-sensitive resin composition. The compound of the present invention can be preferably used as a monomer of the polymer. Therefore, these can be preferably used in the processing and the like of semiconductor devices which are expected to be further miniaturized in the future.

none

none

Claims (9)

一種感放射線性樹脂組成物,包含具有含有下述式(1)所表示的部分結構的第一結構單元的樹脂A、 [化1]
Figure 03_image001
(式(1)中, X為二價連結基; R 1及R 2分別獨立地為碳數1~40的一價鏈狀烴基、碳數3~20的一價脂環式烴基、碳數6~12的一價芳香族烴基、碳數1~40的一價氟化烴基、或者R 1及R 2相互結合並與該些所鍵結的碳原子一起構成的環員數3~20的環結構(a); R 3為碳數1~4的氟化鏈狀烴基; *表示與聚合物主鏈部分的連結部位) 含有具有酸解離性基的結構單元的樹脂B、 感放射線性酸產生劑、及 溶劑。
A radiation-sensitive resin composition comprising a resin A having a first structural unit having a partial structure represented by the following formula (1), [Chem. 1]
Figure 03_image001
(In formula (1), X is a divalent linking group; R 1 and R 2 are each independently a monovalent chain hydrocarbon group having 1 to 40 carbon atoms, a monovalent alicyclic hydrocarbon group having 3 to 20 carbon atoms, A monovalent aromatic hydrocarbon group of 6 to 12, a monovalent fluorinated hydrocarbon group of 1 to 40 carbon atoms, or a group of 3 to 20 ring members composed of R 1 and R 2 combined with each other and these bonded carbon atoms Ring structure (a); R 3 is a fluorinated chain hydrocarbon group having 1 to 4 carbon atoms; * represents a linking site with the main chain portion of the polymer) Resin B containing a structural unit having an acid-dissociable group, radiation-sensitive acid generator, and solvent.
如請求項1所述的感放射線性樹脂組成物,其中,所述第一結構單元為下述式(2)所表示的結構單元; [化2]
Figure 03_image003
(式(2)中, X及R 1~R 3與式(1)相同; R為氫原子、氟原子、或者未經取代或經鹵素原子或烷氧基取代的一價烴基)。
The radiation-sensitive resin composition according to claim 1, wherein the first structural unit is a structural unit represented by the following formula (2);
Figure 03_image003
(In formula (2), X and R 1 to R 3 are the same as those in formula (1); R is a hydrogen atom, a fluorine atom, or an unsubstituted or halogen atom- or alkoxy-substituted monovalent hydrocarbon group).
如請求項2所述的感放射線性樹脂組成物,其中,所述第一結構單元中,所述式(2)中的X為下述式(2-1)所表示的基; [化3]
Figure 03_image005
(式(2-1)中, Z 1及Z 2分別獨立地為氫原子、氟原子、一價烴基、一價氟化烴基、一價烴基或一價氟化烴基中的一個以上的碳原子經*-O-*、*-CO-*、*-COO-*或*-OCO-*所表示的連結基取代而成的基(其中,所述連結基中的*為與碳原子的鍵結鍵)、或者Z 1及Z 2相互結合並與該些所鍵結的碳原子一起構成的環員數3~20的環結構; L為單鍵或二價有機基; n為1~5的整數)。
The radiation-sensitive resin composition according to claim 2, wherein, in the first structural unit, X in the formula (2) is a group represented by the following formula (2-1); ]
Figure 03_image005
(In formula (2-1), Z 1 and Z 2 are each independently one or more carbon atoms selected from a hydrogen atom, a fluorine atom, a monovalent hydrocarbon group, a monovalent fluorinated hydrocarbon group, a monovalent hydrocarbon group, or a monovalent fluorinated hydrocarbon group. A group substituted with a linking group represented by *-O-*, *-CO-*, *-COO-* or *-OCO-* (wherein, * in the linking group is a bond with a carbon atom bond), or Z 1 and Z 2 are combined with each other and form a ring structure with 3-20 ring members together with these bonded carbon atoms; L is a single bond or a divalent organic group; n is 1-5 an integer).
如請求項1至請求項3中任一項所述的感放射線性樹脂組成物,其中,所述樹脂A更具有下述式(3)所表示的第二結構單元; [化4]
Figure 03_image015
(式(3)中, R 4為氫原子、氟原子、甲基或三氟甲基; R 5為碳數1~20的一價烴基; R 6及R 7分別獨立地為碳數1~10的一價鏈狀烴基或碳數3~20的一價脂環式烴基、或者R 6及R 7相互結合並與該些所鍵結的碳原子一起構成的碳數3~20的二價脂環式基)。
The radiation-sensitive resin composition according to any one of claim 1 to claim 3, wherein the resin A further has a second structural unit represented by the following formula (3);
Figure 03_image015
(In formula (3), R 4 is a hydrogen atom, a fluorine atom, a methyl group or a trifluoromethyl group; R 5 is a monovalent hydrocarbon group having 1 to 20 carbon atoms; R 6 and R 7 are each independently a carbon number of 1 to 20. A monovalent chain hydrocarbon group of 10 or a monovalent alicyclic hydrocarbon group with a carbon number of 3 to 20, or a divalent carbon number of 3 to 20 composed of R 6 and R 7 combined with each other and these bonded carbon atoms alicyclic base).
如請求項1至請求項4中任一項所述的感放射線性樹脂組成物,其中,所述樹脂B更具有包含選自由內酯結構、環狀碳酸酯結構及磺內酯結構所組成的群組中的至少一種的結構單元。The radiation-sensitive resin composition according to any one of claim 1 to claim 4, wherein the resin B further comprises a compound selected from the group consisting of a lactone structure, a cyclic carbonate structure and a sultone structure A structural unit of at least one of the group. 如請求項1至請求項5中任一項所述的感放射線性樹脂組成物,其中,所述樹脂B中的具有酸解離性基的結構單元由下述式(4)表示; [化5]
Figure 03_image019
(式(4)中, R 8為氫原子、氟原子、甲基或三氟甲基; R 9為碳數1~20的一價烴基; R 10及R 11分別獨立地為碳數1~10的一價鏈狀烴基或碳數3~20的一價脂環式烴基、或者R 10及R 11相互結合並與該些所鍵結的碳原子一起構成的碳數3~20的二價脂環式基)。
The radiation-sensitive resin composition according to any one of claim 1 to claim 5, wherein the structural unit having an acid dissociable group in the resin B is represented by the following formula (4); ]
Figure 03_image019
(In formula (4), R 8 is a hydrogen atom, a fluorine atom, a methyl group or a trifluoromethyl group; R 9 is a monovalent hydrocarbon group having 1 to 20 carbon atoms; R 10 and R 11 are each independently a carbon number of 1 to 20. A monovalent chain hydrocarbon group of 10 or a monovalent alicyclic hydrocarbon group of 3 to 20 carbon atoms, or a divalent carbon number of 3 to 20 in which R 10 and R 11 are bonded to each other and together with these bonded carbon atoms alicyclic base).
一種抗蝕劑圖案的形成方法,包括: 將如請求項1至請求項6中任一項所述的感放射線性樹脂組成物直接或間接地塗佈於基板上來形成抗蝕劑膜的步驟; 藉由液浸曝光來對所述抗蝕劑膜進行曝光的步驟;以及 對所述經曝光的抗蝕劑膜進行顯影的步驟。 A method for forming a resist pattern, comprising: The step of directly or indirectly coating the radiation-sensitive resin composition according to any one of claim 1 to claim 6 on a substrate to form a resist film; exposing the resist film by immersion exposure; and A step of developing the exposed resist film. 如請求項7所述的抗蝕劑圖案的形成方法,其中,所述進行曝光的步驟中所使用的放射線為ArF準分子雷射光、極紫外線(EUV)、X射線或電子束(EB)。The method for forming a resist pattern according to claim 7, wherein the radiation used in the exposing step is ArF excimer laser light, extreme ultraviolet (EUV), X-ray or electron beam (EB). 一種撥水性改善劑,包含具有下述式(2)所表示的第一結構單元的樹脂A; [化6]
Figure 03_image003
(式(2)中, X為二價連結基; R為氫原子、氟原子、或者未經取代或經鹵素原子或烷氧基取代的一價烴基; R 1及R 2分別獨立地為碳數1~40的一價鏈狀烴基、碳數3~20的一價脂環式烴基、碳數6~12的一價芳香族烴基、或者R 1及R 2相互結合並與該些所鍵結的碳原子一起構成的環員數3~20的環結構(a); R 3為碳數1~4的氟化鏈狀烴基)。
A water repellency improving agent, comprising resin A having a first structural unit represented by the following formula (2);
Figure 03_image003
(In formula (2), X is a divalent linking group; R is a hydrogen atom, a fluorine atom, or a monovalent hydrocarbon group unsubstituted or substituted with a halogen atom or an alkoxy group; R 1 and R 2 are independently carbon A monovalent chain hydrocarbon group having 1 to 40 carbon atoms, a monovalent alicyclic hydrocarbon group having 3 to 20 carbon atoms, a monovalent aromatic hydrocarbon group having 6 to 12 carbon atoms, or R 1 and R 2 are bonded to each other and to these A ring structure (a) with 3 to 20 ring members formed by the bonded carbon atoms together; R 3 is a fluorinated chain hydrocarbon group with 1 to 4 carbon atoms).
TW110139404A 2020-12-17 2021-10-25 Radiation-sensitive resin composition, pattern formation method, and water repellency-improving agent TW202229368A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2020209449 2020-12-17
JP2020-209449 2020-12-17

Publications (1)

Publication Number Publication Date
TW202229368A true TW202229368A (en) 2022-08-01

Family

ID=82057777

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110139404A TW202229368A (en) 2020-12-17 2021-10-25 Radiation-sensitive resin composition, pattern formation method, and water repellency-improving agent

Country Status (3)

Country Link
JP (1) JPWO2022131095A1 (en)
TW (1) TW202229368A (en)
WO (1) WO2022131095A1 (en)

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011203645A (en) * 2010-03-26 2011-10-13 Fujifilm Corp Active ray sensitive or radiation sensitive resin composition, and pattern forming method using the composition
JP6134539B2 (en) * 2013-02-28 2017-05-24 富士フイルム株式会社 Actinic ray-sensitive or radiation-sensitive resin composition, actinic ray-sensitive or radiation-sensitive film, pattern formation method, and electronic device manufacturing method

Also Published As

Publication number Publication date
WO2022131095A1 (en) 2022-06-23
JPWO2022131095A1 (en) 2022-06-23

Similar Documents

Publication Publication Date Title
JP7140100B2 (en) Radiation-Sensitive Resin Composition, Resist Pattern Forming Method, and Acid Diffusion Control Agent
JP7360633B2 (en) Radiation-sensitive resin composition and resist pattern formation method
US20220177424A1 (en) Radiation-sensitive resin composition and method for forming resist pattern
TW202220950A (en) Radiation-sensitive resin composition, and pattern formation method
US9760004B2 (en) Radiation-sensitive resin composition and resist pattern-forming method
US20230106095A1 (en) Radiation-sensitive resin composition and method for forming pattern
JP2017181697A (en) Radiation-sensitive resin composition and method for forming resist pattern
JP2016170230A (en) Radiation-sensitive resin composition and method for forming resist pattern
TW202140420A (en) Radiation-sensitive resin composition, method for forming resist pattern using same, and sulfonic acid salt compound and radiation-sensitive acid generator comprising same
JP7323865B2 (en) RADIATION-SENSITIVE RESIN COMPOSITION AND PATTERN-FORMING METHOD
TW202323228A (en) Radiation-sensitive resin composition, pattern formation method, method for manufacturing substrate, and compound
TW202219079A (en) Radiation-sensitive resin composition, pattern forming method and onium salt compound
JP6794728B2 (en) Radiation-sensitive resin composition, resist pattern forming method, polymer and compound
TW202233570A (en) Radiation-sensitive resin composition and pattern formation method
JP6641905B2 (en) Lithographic composition and resist pattern forming method
TW202222780A (en) Radiation-sensitive resin composition, method for forming pattern, and onium salt compound
TW202126609A (en) Radiation-sensitive resin composition and method for forming pattern
KR20160147644A (en) Radiation-sensitive resin composition and resist pattern formation method
TW202229368A (en) Radiation-sensitive resin composition, pattern formation method, and water repellency-improving agent
TWI837313B (en) Radiation-sensitive resin composition and method for forming anti-corrosion agent pattern
TW202146390A (en) Radiation sensitive resin composition, pattern forming method and onium salt compound
TW202231626A (en) Radiation-sensitive resin composition and method for forming resist patter using same, and sulfonium salt compound and radiation-sensitive acid generator comprising same
TW202134785A (en) Radiation-sensitive resin composition and method for forming resist pattern
US20230393469A1 (en) Radiation-sensitive resin composition, method for forming resist pattern, polymer, and compound
US20220043350A1 (en) Radiation-sensitive resin composition, method for forming resist pattern and compound