TW202219079A - Radiation-sensitive resin composition, pattern forming method and onium salt compound - Google Patents

Radiation-sensitive resin composition, pattern forming method and onium salt compound Download PDF

Info

Publication number
TW202219079A
TW202219079A TW110128621A TW110128621A TW202219079A TW 202219079 A TW202219079 A TW 202219079A TW 110128621 A TW110128621 A TW 110128621A TW 110128621 A TW110128621 A TW 110128621A TW 202219079 A TW202219079 A TW 202219079A
Authority
TW
Taiwan
Prior art keywords
radiation
carbon atoms
group
resin composition
hydrocarbon group
Prior art date
Application number
TW110128621A
Other languages
Chinese (zh)
Inventor
柄川冬輝
根本龍一
Original Assignee
日商Jsr股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商Jsr股份有限公司 filed Critical 日商Jsr股份有限公司
Publication of TW202219079A publication Critical patent/TW202219079A/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C381/00Compounds containing carbon and sulfur and having functional groups not covered by groups C07C301/00 - C07C337/00
    • C07C381/12Sulfonium compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C59/00Compounds having carboxyl groups bound to acyclic carbon atoms and containing any of the groups OH, O—metal, —CHO, keto, ether, groups, groups, or groups
    • C07C59/01Saturated compounds having only one carboxyl group and containing hydroxy or O-metal groups
    • C07C59/115Saturated compounds having only one carboxyl group and containing hydroxy or O-metal groups containing halogen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/12Esters of monohydric alcohols or phenols
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/027Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds
    • G03F7/028Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds with photosensitivity-increasing substances, e.g. photoinitiators
    • G03F7/029Inorganic compounds; Onium compounds; Organic compounds having hetero atoms other than oxygen, nitrogen or sulfur
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers

Abstract

A radiation-sensitive resin composition which exhibits sufficient sensitivity, LWR performance and CDU performance, a pattern forming method and an onium salt compound are provided. This radiation-sensitive resin composition comprises an onium salt compound represented by formula (1), a resin including a structural unit having an acid-dissociable group, and a solvent. (In formula (1), Rf represents a fluorine atom or a C1-10 monovalent fluorinated hydrocarbon group. Each of R1-R3 independently represents a hydrogen atom or a C1-20 monovalent hydrocarbon group having, or alternatively, two of R1 to R3 are combined with each other and represent a C3-20 ring structure configured together with a carbon atom where these bond together. n is an integer of 1-4. When n is 2 or more, the R2 and R3 groups are the same or different. Z+ represents a monovalent radiation-sensitive onium cation.).

Description

感放射線性樹脂組成物、圖案形成方法及鎓鹽化合物Radiation-sensitive resin composition, pattern forming method, and onium salt compound

本發明是有關於一種感放射線性樹脂組成物、圖案形成方法及鎓鹽化合物。The present invention relates to a radiation-sensitive resin composition, a pattern forming method and an onium salt compound.

於半導體元件的微細的電路形成中利用使用抗蝕劑組成物的光微影技術。作為代表性的程序,例如藉由介隔遮罩圖案並利用放射線照射對抗蝕劑組成物的被膜進行曝光來產生酸,並藉由將所述酸作為觸媒的反應而在曝光部與未曝光部中產生樹脂相對於鹼系或有機系的顯影液的溶解度之差,藉此於基板上形成抗蝕劑圖案。A photolithography technique using a resist composition is used for fine circuit formation of semiconductor elements. As a typical procedure, for example, an acid is generated by exposing the film of the resist composition by irradiation with radiation through a mask pattern, and the exposed part and the unexposed part are reacted with the acid as a catalyst. A difference in the solubility of the resin with respect to an alkali-based or organic-based developer is generated during the process, whereby a resist pattern is formed on the substrate.

所述光微影技術中,利用ArF準分子雷射等短波長的放射線,或使用進而於以液狀介質充滿曝光裝置的透鏡與抗蝕劑膜之間的空間的狀態下進行曝光的液浸曝光法(液體浸沒式微影(liquid immersion lithography))來推進圖案微細化。In the photolithography technique, short-wavelength radiation such as an ArF excimer laser or the like is used, or a liquid immersion immersion method is used to perform exposure in a state where the space between the lens and the resist film of the exposure device is filled with a liquid medium. Exposure method (liquid immersion lithography) to advance pattern miniaturization.

於推進面向進一步的技術進展的努力中,提出如下技術:於抗蝕劑組成物中調配淬滅劑(擴散控制劑),藉由鹽交換反應捕捉擴散至未曝光部的酸,提高基於ArF曝光的微影性能(專利文獻1)。另外,作為下一代技術,亦正在研究使用電子束、X射線及極紫外線(extreme ultraviolet,EUV)等更短波長的放射線的微影。 [現有技術文獻] [專利文獻] In an effort to promote further technological progress, a technique has been proposed: a quencher (diffusion control agent) is prepared in a resist composition, and the acid diffused to the unexposed part is captured by a salt exchange reaction, and the exposure based on ArF is improved. lithography performance (Patent Document 1). In addition, as a next-generation technology, lithography using shorter wavelength radiation such as electron beams, X-rays, and extreme ultraviolet (EUV) is also being studied. [Prior Art Literature] [Patent Literature]

[專利文獻1]日本專利第5556765號公報[Patent Document 1] Japanese Patent No. 5556765

[發明所欲解決之課題] 在針對此種下一代技術的努力中,在表示感度或抗蝕劑圖案的線寬的偏差的線寬粗糙度(Line Width Roughness,LWR)性能、作為線寬或孔徑的均勻性的指標的關鍵尺寸一致性(critical dimension uniformity,CDU)性能等方面要求與以往同等以上的抗蝕劑各性能。然而,利用既有的感放射線性樹脂組成物無法以充分的水準獲得該些特性。 [The problem to be solved by the invention] In efforts for such next-generation technology, the performance of Line Width Roughness (LWR), which represents the variation in sensitivity or the line width of the resist pattern, as an index of the uniformity of the line width or aperture, is the key Dimensional uniformity (critical dimension uniformity, CDU) performance and other aspects require resist performance that is equal to or higher than that of the past. However, these characteristics cannot be obtained at a sufficient level with the existing radiation-sensitive resin composition.

本發明的目的在於提供一種可以充分的水準發揮感度或LWR性能、CDU性能的感放射線性樹脂組成物、圖案形成方法及鎓鹽化合物。 [解決課題之手段] An object of the present invention is to provide a radiation-sensitive resin composition, a pattern forming method, and an onium salt compound that can exhibit sensitivity, LWR performance, and CDU performance at a sufficient level. [Means of Solving Problems]

本發明者等人為了解決本課題而重覆努力研究,結果發現藉由採用下述結構,可達成所述目的,從而完成了本發明。The inventors of the present invention made repeated efforts to solve the problem, and as a result, they found that the object can be achieved by adopting the following structure, and completed the present invention.

即,本發明在一實施方式中,是有關於一種感放射線性樹脂組成物,其包括: 由下述式(1)表示的鎓鹽化合物(以下,亦稱為「鎓鹽化合物(1)」)、 包含具有酸解離性基的結構單元的樹脂、以及 溶劑。 [化1]

Figure 02_image002
(所述式(1)中, R f為氟原子或碳數1~10的一價氟化烴基。 R 1~R 3分別獨立地為氫原子或碳數1~20的一價烴基,或者表示R 1~R 3中的兩個相互結合並與該些所鍵結的碳原子一起構成的碳數3~20的環狀結構。 n為1~4的整數。於n為2以上的情況下,多個R 2及R 3彼此相同或不同, Z +為一價的感放射線性鎓陽離子)。 That is, one embodiment of the present invention relates to a radiation-sensitive resin composition comprising: an onium salt compound represented by the following formula (1) (hereinafter, also referred to as "onium salt compound (1)" ), a resin containing a structural unit having an acid dissociable group, and a solvent. [hua 1]
Figure 02_image002
(In the above formula (1), R f is a fluorine atom or a monovalent fluorinated hydrocarbon group having 1 to 10 carbon atoms. R 1 to R 3 are each independently a hydrogen atom or a monovalent hydrocarbon group having 1 to 20 carbon atoms, or Represents a cyclic structure having 3 to 20 carbon atoms in which two of R 1 to R 3 are bonded to each other and together with these bonded carbon atoms. n is an integer of 1 to 4. When n is 2 or more In the following, a plurality of R 2 and R 3 are the same or different from each other, and Z + is a monovalent radioactive onium cation).

該感放射線性樹脂組成物由於包含鎓鹽化合物(1)作為淬滅劑(酸擴散控制劑),故於形成抗蝕劑圖案時可發揮優異的感度或LWR性能、CDU性能。作為其理由,雖然不受任何理論的限制,但推測受如下情況影響:鎓鹽化合物(1)在抗蝕劑膜中的透明性(曝光的波長帶的低吸收性)高,因此感度良好,同時使氟化烴基與羧酸陰離子適度分離,並且使氟化烴基的數量為1個(結果帶來羧酸陰離子的不穩定化及羥基的穩定化),鎓鹽化合物(1)的鹼性相對增強,未曝光部的酸捕捉性變高。Since the radiation-sensitive resin composition contains the onium salt compound (1) as a quencher (acid diffusion control agent), it can exhibit excellent sensitivity, LWR performance, and CDU performance when forming a resist pattern. The reason for this, although not limited by any theory, is presumed to be influenced by the fact that the onium salt compound (1) has high transparency (low absorption in the wavelength band of exposure) in the resist film, so that the sensitivity is good, At the same time, the fluorinated hydrocarbon group and the carboxylate anion are appropriately separated, and the number of the fluorinated hydrocarbon group is reduced to one (as a result, the carboxylate anion is destabilized and the hydroxyl group is stabilized), and the basicity of the onium salt compound (1) is relatively It is enhanced, and the acid capture property of the unexposed part becomes high.

本發明在另一實施方式中是有關於一種圖案形成方法,所述圖案形成方法包括: 將所述感放射線性樹脂組成物直接或間接地塗佈於基板上來形成抗蝕劑膜的步驟; 對所述抗蝕劑膜進行曝光的步驟;以及 藉由顯影液對經曝光的所述抗蝕劑膜進行顯影的步驟。 In another embodiment, the present invention relates to a pattern forming method, the pattern forming method includes: a step of directly or indirectly coating the radiation-sensitive resin composition on a substrate to form a resist film; the step of exposing the resist film; and The step of developing the exposed resist film with a developing solution.

於該圖案形成方法中,由於使用感度、LWR性能及CDU性能優異的所述感放射線性樹脂組成物,故可有效率地形成高品質的抗蝕劑圖案。In this pattern forming method, since the radiation-sensitive resin composition excellent in sensitivity, LWR performance, and CDU performance is used, a high-quality resist pattern can be efficiently formed.

本發明在又一實施方式中是有關於一種由下述式(1)表示的鎓鹽化合物(即,鎓鹽化合物(1))。 [化2]

Figure 02_image003
(所述式(1)中, R f為氟原子或碳數1~10的一價氟化烴基。 R 1~R 3分別獨立地為氫原子或碳數1~20的一價烴基,或者表示R 1~R 3中的兩個相互結合並與該些所鍵結的碳原子一起構成的碳數3~20的環狀結構。 n為1~4的整數。於n為2以上的情況下,多個R 2及R 3彼此相同或不同, Z +為一價的感放射線性鎓陽離子)。 In still another embodiment, the present invention relates to an onium salt compound represented by the following formula (1) (ie, an onium salt compound (1)). [hua 2]
Figure 02_image003
(In the above formula (1), R f is a fluorine atom or a monovalent fluorinated hydrocarbon group having 1 to 10 carbon atoms. R 1 to R 3 are each independently a hydrogen atom or a monovalent hydrocarbon group having 1 to 20 carbon atoms, or Represents a cyclic structure having 3 to 20 carbon atoms in which two of R 1 to R 3 are bonded to each other and together with these bonded carbon atoms. n is an integer of 1 to 4. When n is 2 or more In the following, a plurality of R 2 and R 3 are the same or different from each other, and Z + is a monovalent radioactive onium cation).

該鎓鹽化合物(1)可發揮抗蝕劑膜中的透明性及強鹼性,因此在調配到感放射線性樹脂組成物中的情況下,可對該組成物賦予抗蝕劑圖案形成時的優異的感度、或LWR性能、CDU性能。The onium salt compound (1) exhibits transparency and strong alkalinity in a resist film, and therefore, when it is formulated into a radiation-sensitive resin composition, it can impart to the composition a resistance to resist pattern formation. Excellent sensitivity, or LWR performance, CDU performance.

以下,對本發明的實施方式進行詳細說明,但本發明並不限定於該些實施方式。Hereinafter, the embodiments of the present invention will be described in detail, but the present invention is not limited to these embodiments.

<感放射線性樹脂組成物> 本實施方式的感放射線性樹脂組成物(以下,亦簡稱為「組成物」)包含規定的鎓鹽化合物(1)、樹脂及溶劑。進而視需要包含感放射線性酸產生劑。只要不損及本發明的效果,則所述組成物亦可包含其他任意成分。藉由感放射線性樹脂組成物包含規定的鎓鹽化合物(1),可對該感放射線性樹脂組成物賦予高水準的感度、LWR性能及CDU性能。 <Radiation sensitive resin composition> The radiation-sensitive resin composition (hereinafter, also simply referred to as "composition") of the present embodiment includes a predetermined onium salt compound (1), a resin, and a solvent. Furthermore, a radiation sensitive acid generator is contained as needed. As long as the effect of this invention is not impaired, the said composition may contain other arbitrary components. By including the predetermined onium salt compound (1) in the radiation-sensitive resin composition, high-level sensitivity, LWR performance, and CDU performance can be imparted to the radiation-sensitive resin composition.

(鎓鹽化合物(1)) 所述鎓鹽化合物(1)可作為捕捉曝光前或未曝光部的酸的淬滅劑(亦稱作「光降解性鹼」、「酸擴散控制劑」)發揮功能。鎓鹽化合物(1)由下述式(1)表示。 (Onium salt compound (1)) The onium salt compound (1) functions as a quencher (also referred to as a "photodegradable base" or "acid diffusion controller") that captures an acid in a pre-exposed or unexposed portion. The onium salt compound (1) is represented by the following formula (1).

[化3]

Figure 02_image004
(所述式(1)中, R f為氟原子或碳數1~10的一價氟化烴基。 R 1~R 3分別獨立地為氫原子或碳數1~20的一價烴基,或者表示R 1~R 3中的兩個相互結合並與該些所鍵結的碳原子一起構成的碳數3~20的環狀結構。 n為1~4的整數。於n為2以上的情況下,多個R 2及R 3彼此相同或不同, Z +為一價的感放射線性鎓陽離子)。 [hua 3]
Figure 02_image004
(In the above formula (1), R f is a fluorine atom or a monovalent fluorinated hydrocarbon group having 1 to 10 carbon atoms. R 1 to R 3 are each independently a hydrogen atom or a monovalent hydrocarbon group having 1 to 20 carbon atoms, or Represents a cyclic structure having 3 to 20 carbon atoms in which two of R 1 to R 3 are bonded to each other and together with these bonded carbon atoms. n is an integer of 1 to 4. When n is 2 or more In the following, a plurality of R 2 and R 3 are the same or different from each other, and Z + is a monovalent radioactive onium cation).

所述式(1)中,作為R f所表示的碳數1~20的一價氟化烴基,例如可列舉:碳數1~20的一價氟化鏈狀烴基、碳數3~20的一價氟化脂環式烴基等。 In the above formula (1), examples of the monovalent fluorinated hydrocarbon group having 1 to 20 carbon atoms represented by R f include: a monovalent fluorinated chain hydrocarbon group having 1 to 20 carbon atoms, Monovalent fluorinated alicyclic hydrocarbon group, etc.

作為所述碳數1~20的一價氟化鏈狀烴基,例如可列舉: 三氟甲基、2,2,2-三氟乙基、五氟乙基、2,2,3,3,3-五氟丙基、1,1,1,3,3,3-六氟丙基、七氟正丙基、七氟異丙基、九氟正丁基、九氟異丁基、九氟第三丁基、2,2,3,3,4,4,5,5-八氟正戊基、十三氟正己基、5,5,5-三氟-1,1-二乙基戊基等氟化烷基; 三氟乙烯基、五氟丙烯基等氟化烯基; 氟乙炔基、三氟丙炔基等氟化炔基等。 Examples of the monovalent fluorinated chain hydrocarbon group having 1 to 20 carbon atoms include: Trifluoromethyl, 2,2,2-trifluoroethyl, pentafluoroethyl, 2,2,3,3,3-pentafluoropropyl, 1,1,1,3,3,3-hexafluoro Propyl, heptafluoro-n-propyl, heptafluoroisopropyl, nonafluoro-n-butyl, nonafluoroisobutyl, nonafluorotert-butyl, 2,2,3,3,4,4,5,5- Fluorinated alkyl groups such as octafluoro-n-pentyl, tridecafluoro-n-hexyl, 5,5,5-trifluoro-1,1-diethylpentyl; Fluorinated alkenyl such as trifluorovinyl and pentafluoropropenyl; Fluorinated alkynyl groups such as fluoroethynyl, trifluoropropynyl, and the like.

作為所述碳數3~20的一價氟化脂環式烴基,例如可列舉: 氟環戊基、二氟環戊基、九氟環戊基、氟環己基、二氟環己基、十一氟環己基甲基、氟降冰片基、氟金剛烷基、氟冰片基、氟異冰片基、氟三環癸基、氟四環癸基等氟化環烷基; 氟環戊烯基、九氟環己烯基等氟化環烯基等。 Examples of the monovalent fluorinated alicyclic hydrocarbon group having 3 to 20 carbon atoms include: Fluorocyclopentyl, difluorocyclopentyl, nonafluorocyclopentyl, fluorocyclohexyl, difluorocyclohexyl, undecafluorocyclohexylmethyl, fluoronorbornyl, fluoroadamantyl, fluorobornyl, fluoroiso Fluorinated cycloalkyl groups such as bornyl, fluorotricyclodecyl, and fluorotetracyclodecyl; Fluorinated cycloalkenyl such as fluorocyclopentenyl, nonafluorocyclohexenyl, etc.

作為所述氟化烴基,較佳為所述碳數1~20的一價氟化鏈狀烴基,更佳為碳數1~10的一價氟化烷基,進而佳為碳數1~6的全氟烷基,特佳為碳數1~6的直鏈狀全氟烷基。The fluorinated hydrocarbon group is preferably the monovalent fluorinated chain hydrocarbon group having 1 to 20 carbon atoms, more preferably a monovalent fluorinated alkyl group having 1 to 10 carbon atoms, and still more preferably a monovalent fluorinated alkyl group having 1 to 6 carbon atoms. The perfluoroalkyl group is particularly preferably a linear perfluoroalkyl group having 1 to 6 carbon atoms.

作為所述式(1)中,由R 1、R 2及R 3表示的碳數1~20的一價烴基,並無特別限定,可列舉碳數1~20的一價鏈狀烴基、或碳數3~20的一價脂環式烴基、碳數6~20的一價芳香族烴基等。 The monovalent hydrocarbon group having 1 to 20 carbon atoms represented by R 1 , R 2 and R 3 in the formula (1) is not particularly limited, and examples thereof include a monovalent chain hydrocarbon group having 1 to 20 carbon atoms, or A monovalent alicyclic hydrocarbon group having 3 to 20 carbon atoms, a monovalent aromatic hydrocarbon group having 6 to 20 carbon atoms, and the like.

作為所述碳數1~20的一價的鏈狀烴基,例如,可列舉碳數1~20的直鏈或分支鏈飽和烴基、或者碳數1~20的直鏈或分支鏈不飽和烴基等。Examples of the monovalent chain hydrocarbon group having 1 to 20 carbon atoms include a linear or branched saturated hydrocarbon group having 1 to 20 carbon atoms, or a linear or branched unsaturated hydrocarbon group having 1 to 20 carbon atoms. .

作為所述碳數3~20的一價脂環式烴基,例如,可列舉單環或多環的飽和烴基、或者單環或多環的不飽和烴基等。作為單環的飽和烴基,較佳為環戊基、環己基、環庚基、環辛基。作為多環的環烷基,較佳為降冰片基、金剛烷基、三環癸基、四環十二烷基等橋環脂環式烴基。作為單環的不飽和烴基,可列舉環丙烯基、環丁烯基、環戊烯基、環己烯基等單環的環烯基。作為多環的不飽和烴基,可列舉降冰片烯基、三環癸烯基、四環十二烯基等多環的環烯基。再者,所謂橋環脂環式烴基,是指構成脂環的碳原子中不相互鄰接的兩個碳原子間藉由包含一個以上碳原子的結合鏈鍵結的多環性脂環式烴基。Examples of the monovalent alicyclic hydrocarbon group having 3 to 20 carbon atoms include a monocyclic or polycyclic saturated hydrocarbon group, or a monocyclic or polycyclic unsaturated hydrocarbon group. The monocyclic saturated hydrocarbon group is preferably a cyclopentyl group, a cyclohexyl group, a cycloheptyl group, and a cyclooctyl group. The polycyclic cycloalkyl group is preferably a bridged alicyclic hydrocarbon group such as norbornyl, adamantyl, tricyclodecyl, and tetracyclododecyl. Examples of the monocyclic unsaturated hydrocarbon group include monocyclic cycloalkenyl groups such as a cyclopropenyl group, a cyclobutenyl group, a cyclopentenyl group, and a cyclohexenyl group. Examples of the polycyclic unsaturated hydrocarbon group include polycyclic cycloalkenyl groups such as norbornenyl, tricyclodecenyl, and tetracyclododecenyl. In addition, the bridged alicyclic hydrocarbon group refers to a polycyclic alicyclic hydrocarbon group in which two carbon atoms which are not adjacent to each other among the carbon atoms constituting the alicyclic ring are bonded by a bonding chain containing one or more carbon atoms.

作為所述碳數6~20的一價芳香族烴基,例如可列舉:苯基、甲苯基、二甲苯基、萘基、蒽基等芳基;苄基、苯乙基、萘甲基等芳烷基等。Examples of the monovalent aromatic hydrocarbon group having 6 to 20 carbon atoms include aryl groups such as phenyl, tolyl, xylyl, naphthyl, and anthracenyl; aromatic groups such as benzyl, phenethyl, and naphthylmethyl. Alkyl etc.

作為R 1~R 3中的兩個相互結合並與該些所鍵結的碳原子一起構成的碳數3~20的環狀結構,可列舉自所述碳數3~20的一價脂環式烴基進一步除去一個氫原子而得的結構。 Examples of the cyclic structure having 3 to 20 carbon atoms in which two of R 1 to R 3 are bonded to each other and constituted together with the bonded carbon atoms include the monovalent alicyclic having 3 to 20 carbon atoms described above. A structure obtained by further removing a hydrogen atom from a hydrocarbyl group of the formula.

就提高鎓鹽化合物(1)的鹼性以有效率地控制酸的擴散的觀點而言,所述式(1)中的n為1以上的整數,以抑制由吸電子性的氟化烴基引起的羧酸陰離子的穩定化。From the viewpoint of increasing the basicity of the onium salt compound (1) to efficiently control the diffusion of the acid, n in the formula (1) is an integer of 1 or more to suppress the generation of electron-withdrawing fluorinated hydrocarbon groups. stabilization of the carboxylate anion.

另一方面,鎓鹽化合物(1)的鹼性過高時,難以作為鹽穩定地存在,因此就羥基容易經由分子內氫鍵使羧酸陰離子穩定化的觀點而言,所述式(1)中的n較佳為1~3的整數,更佳為1或2,特佳為1。On the other hand, when the basicity of the onium salt compound (1) is too high, it is difficult to stably exist as a salt, so the above formula (1) Among them, n is preferably an integer of 1 to 3, more preferably 1 or 2, particularly preferably 1.

另外,就將鎓鹽化合物(1)的鹼性或結構保持恆定的觀點而言,為了僅形成特定的分子內氫鍵,較佳為所述式(1)中的R f及R 1~R 3均不含羥基(即,陰離子部分僅具有一個羥基)。 In addition, from the viewpoint of keeping the basicity and structure of the onium salt compound (1) constant, in order to form only a specific intramolecular hydrogen bond, R f and R 1 to R in the above formula (1) are preferably None of the 3 contained hydroxyl groups (ie, the anionic moiety had only one hydroxyl group).

作為所述式(1)所表示的鎓鹽化合物(1)的陰離子部分,並無特別限定,例如可列舉下述式(1a)~式(1z)所表示的結構等。Although it does not specifically limit as an anion part of the onium salt compound (1) represented by the said formula (1), For example, the structure etc. which are represented by following formula (1a) - formula (1z) are mentioned.

[化4]

Figure 02_image005
[hua 4]
Figure 02_image005

[化5]

Figure 02_image007
[hua 5]
Figure 02_image007

[化6]

Figure 02_image009
[hua 6]
Figure 02_image009

作為所述式(1)中,由所述Z +表示的一價的感放射線性鎓陽離子,例如可列舉包含S、I、O、N、P、Cl、Br、F、As、Se、Sn、Sb、Te、Bi等元素的放射線分解性鎓陽離子,例如可列舉:鋶陽離子、四氫噻吩鎓陽離子、錪陽離子、鏻陽離子、重氮鎓陽離子、吡啶鎓陽離子等。其中,較佳為鋶陽離子或錪陽離子。鋶陽離子或錪陽離子較佳為由下述式(X-1)~式(X-6)表示。 In the formula (1), the monovalent radioactive onium cation represented by Z + includes, for example, S, I, O, N, P, Cl, Br, F, As, Se, Sn Examples of radiation-decomposable onium cations of elements such as Sb, Te, and Bi include perionium cations, tetrahydrothiophenium cations, iodonium cations, phosphonium cations, diazonium cations, and pyridinium cations. Among them, pericynium cation or iodonium cation is preferable. The periconium cation or the iodonium cation is preferably represented by the following formulae (X-1) to (X-6).

[化7]

Figure 02_image010
[hua 7]
Figure 02_image010

[化8]

Figure 02_image011
[hua 8]
Figure 02_image011

[化9]

Figure 02_image012
[Chemical 9]
Figure 02_image012

[化10]

Figure 02_image013
[Chemical 10]
Figure 02_image013

[化11]

Figure 02_image014
[Chemical 11]
Figure 02_image014

[化12]

Figure 02_image015
[Chemical 12]
Figure 02_image015

所述式(X-1)中,R a1、R a2及R a3分別獨立地為經取代或未經取代的碳數1~12的直鏈狀或分支狀的烷基、烷氧基或者烷氧基羰氧基、經取代或未經取代的碳數3~12的單環或多環的環烷基、經取代或未經取代的碳數6~12的芳香族烴基、羥基、鹵素原子、-OSO 2-R P、-SO 2-R Q或-S-R T,或者表示該些基中的兩個以上相互結合而構成的環結構。該環結構於形成骨架的碳-碳鍵間可包含O或S等雜原子。R P、R Q及R T分別獨立地為經取代或未經取代的碳數1~12的直鏈狀或分支狀的烷基、經取代或未經取代的碳數5~25的脂環式烴基或者經取代或未經取代的碳數6~12的芳香族烴基。k1、k2及k3分別獨立地為0~5的整數。於R a1~R a3以及R P、R Q及R T分別為多個的情況下,多個R a1~R a3以及R P、R Q及R T可分別相同亦可不同。 In the formula (X-1), R a1 , R a2 and R a3 are each independently a substituted or unsubstituted linear or branched alkyl group, alkoxy group or alkane having 1 to 12 carbon atoms Oxycarbonyloxy, substituted or unsubstituted monocyclic or polycyclic cycloalkyl group with 3 to 12 carbon atoms, substituted or unsubstituted aromatic hydrocarbon group with 6 to 12 carbon atoms, hydroxyl group, halogen atom , -OSO 2 -R P , -SO 2 -R Q or -SR T , or a ring structure formed by combining two or more of these groups. The ring structure may contain heteroatoms such as O or S between carbon-carbon bonds forming the skeleton. R P , R Q and R T are each independently a substituted or unsubstituted linear or branched alkyl group having 1 to 12 carbon atoms, or a substituted or unsubstituted alicyclic having 5 to 25 carbon atoms. A hydrocarbon group of the formula or a substituted or unsubstituted aromatic hydrocarbon group with 6 to 12 carbon atoms. k1, k2, and k3 are each independently an integer of 0-5. When there are multiple R a1 to R a3 and R P , R Q and RT respectively, the multiple R a1 to R a3 and R P , R Q and RT may be the same or different, respectively .

所述式(X-2)中,R b1為經取代或未經取代的碳數1~20的直鏈狀或分支狀的烷基或烷氧基、經取代或未經取代的碳數2~8的醯基、或者經取代或未經取代的碳數6~8的芳香族烴基、或羥基。n k為0或1。當n k為0時,k4為0~4的整數,當n k為1時,k4為0~7的整數。於R b1為多個的情況下,多個R b1可相同亦可不同,另外,多個R b1亦可表示相互結合而構成的環結構。R b2為經取代或未經取代的碳數1~7的直鏈狀或分支狀的烷基、或者經取代或未經取代的碳數6或7的芳香族烴基。L C為單鍵或二價連結基。k5為0~4的整數。於R b2為多個的情況下,多個R b2可相同亦可不同,另外,多個R b2亦可表示相互結合而構成的環結構。q為0~3的整數。式中,包含S +的環結構於形成骨架的碳-碳鍵間可包含O或S等雜原子。 In the formula (X-2), R b1 is a substituted or unsubstituted linear or branched alkyl group or alkoxy group with 1 to 20 carbon atoms, a substituted or unsubstituted carbon number with 2 -8 acyl group, or substituted or unsubstituted aromatic hydrocarbon group having 6 to 8 carbon atoms, or hydroxy group. n k is 0 or 1. When n k is 0, k4 is an integer of 0-4, and when n k is 1, k4 is an integer of 0-7. When there are plural R b1s , the plural R b1s may be the same or different, and the plural R b1s may represent a ring structure formed by bonding with each other. R b2 is a substituted or unsubstituted linear or branched alkyl group having 1 to 7 carbon atoms, or a substituted or unsubstituted aromatic hydrocarbon group having 6 or 7 carbon atoms. L C is a single bond or a divalent linking group. k5 is an integer of 0-4. When there are plural R b2s , the plural R b2s may be the same or different, and the plural R b2s may represent a ring structure formed by bonding with each other. q is an integer of 0-3. In the formula, the ring structure containing S + may contain heteroatoms such as O or S between carbon-carbon bonds forming the skeleton.

所述式(X-3)中,R c1、R c2及R c3分別獨立地為經取代或未經取代的碳數1~12的直鏈狀或分支狀的烷基。 In the formula (X-3), R c1 , R c2 and R c3 are each independently a substituted or unsubstituted linear or branched alkyl group having 1 to 12 carbon atoms.

所述式(X-4)中,R g1為經取代或未經取代的碳數1~20的直鏈狀或分支狀的烷基或者烷氧基、經取代或未經取代的碳數2~8的醯基、或者經取代或未經取代的碳數6~8的芳香族烴基、或羥基。n k2為0或1。於n k2為0時,k10為0~4的整數,於n k2為1時,k10為0~7的整數。於R g1為多個的情況下,多個R g1可相同亦可不同,另外,多個R g1亦可表示相互結合而構成的環結構。R g2及R g3分別獨立地為經取代或未經取代的碳數1~12的直鏈狀或分支狀的烷基、烷氧基或者烷氧基羰氧基、經取代或未經取代的碳數3~12的單環或多環的環烷基、經取代或未經取代的碳數6~12的芳香族烴基、羥基、鹵素原子,或者表示該些基相互結合而構成的環結構。k11及k12分別獨立地為0~4的整數。於R g2及R g3分別為多個的情況下,多個R g2及R g3可分別相同亦可不同。 In the formula (X-4), R g1 is a substituted or unsubstituted linear or branched alkyl group having 1 to 20 carbon atoms or an alkoxy group, a substituted or unsubstituted carbon number 2 -8 acyl group, or substituted or unsubstituted aromatic hydrocarbon group having 6 to 8 carbon atoms, or hydroxy group. n k2 is 0 or 1. When n k2 is 0, k10 is an integer of 0 to 4, and when n k2 is 1, k10 is an integer of 0 to 7. When there are plural R g1s , the plural R g1s may be the same or different, and the plural R g1s may represent a ring structure formed by bonding with each other. R g2 and R g3 are each independently a substituted or unsubstituted linear or branched alkyl group having 1 to 12 carbon atoms, an alkoxy group or an alkoxycarbonyloxy group, a substituted or unsubstituted A monocyclic or polycyclic cycloalkyl group having 3 to 12 carbon atoms, a substituted or unsubstituted aromatic hydrocarbon group having 6 to 12 carbon atoms, a hydroxyl group, a halogen atom, or a ring structure formed by combining these groups with each other . k11 and k12 are each independently an integer of 0 to 4. When each of R g2 and R g3 is plural, the plural R g2 and R g3 may be the same or different, respectively.

所述式(X-5)中,R d1及R d2分別獨立地為經取代或未經取代的碳數1~12的直鏈狀或分支狀的烷基、烷氧基或者烷氧基羰基、經取代或未經取代的碳數6~12的芳香族烴基、鹵素原子、碳數1~4的鹵化烷基、硝基,或者表示該些基中的兩個以上相互結合而構成的環結構。k6及k7分別獨立為0~5的整數。於R d1及R d2分別為多個的情況下,多個R d1及R d2可分別相同亦可不同。 In the formula (X-5), R d1 and R d2 are each independently a substituted or unsubstituted linear or branched alkyl group, alkoxy group or alkoxycarbonyl group having 1 to 12 carbon atoms , substituted or unsubstituted aromatic hydrocarbon groups with 6 to 12 carbon atoms, halogen atoms, halogenated alkyl groups with 1 to 4 carbon atoms, nitro groups, or a ring formed by combining two or more of these groups with each other structure. k6 and k7 are each independently an integer of 0 to 5. When each of R d1 and R d2 is plural, the plural R d1 and R d2 may be the same or different, respectively.

所述式(X-6)中,R e1及R e2分別獨立地為鹵素原子、經取代或未經取代的碳數1~12的直鏈狀或分支狀的烷基、或者經取代或未經取代的碳數6~12的芳香族烴基。k8及k9分別獨立地為0~4的整數。 In the formula (X-6), R e1 and R e2 are each independently a halogen atom, a substituted or unsubstituted linear or branched alkyl group having 1 to 12 carbon atoms, or a substituted or unsubstituted alkyl group. A substituted aromatic hydrocarbon group having 6 to 12 carbon atoms. k8 and k9 are each independently an integer of 0-4.

鎓鹽化合物(1)由所述式(1)規定的陰離子部分與所述一價的感放射線性鎓陽離子的任意的組合形成。作為鎓鹽化合物(1)的具體例,並無特別限定,例如可列舉下述式(1-1)~式(1-26)所表示的結構等。The onium salt compound (1) is formed by any combination of the anion moiety defined by the formula (1) and the monovalent radiosensitive onium cation. Although it does not specifically limit as a specific example of an onium salt compound (1), For example, the structure etc. which are represented by following formula (1-1) - formula (1-26) are mentioned.

[化13]

Figure 02_image016
[Chemical 13]
Figure 02_image016

[化14]

Figure 02_image018
[Chemical 14]
Figure 02_image018

[化15]

Figure 02_image020
[Chemical 15]
Figure 02_image020

[化16]

Figure 02_image022
[Chemical 16]
Figure 02_image022

其中,較佳為所述式(1-1)、式(1-4)、式(1-5)、式(1-7)~式(1-20)、式(1-23)~式(1-25)所表示的鎓鹽化合物(1)。Among them, the above-mentioned formula (1-1), formula (1-4), formula (1-5), formula (1-7) to formula (1-20), formula (1-23) to formula are preferred The onium salt compound (1) represented by (1-25).

相對於後述的樹脂100質量份,本實施方式的感放射線性樹脂組成物中的鎓鹽化合物(1)的含量(在併用多種鎓鹽化合物的情況下為各個的合計)較佳為0.01質量份以上且30質量份以下。所述含量更佳為25質量份以下,進而佳為20質量份以下,特佳為15質量份以下。另外,所述含量更佳為0.05質量份以上,進而佳為0.1質量份以上,特佳為0.5質量份以上。鎓鹽化合物(1)的含量根據所使用的樹脂的種類、曝光條件或所要求的感度、後述的感放射線性酸產生劑的種類或含量來適宜選擇。藉此,於形成抗蝕劑圖案時可發揮優異的感度或LWR性能、CDU性能。The content of the onium salt compound (1) in the radiation-sensitive resin composition of the present embodiment (in the case of using a plurality of types of onium salt compounds in combination, the total of each) is preferably 0.01 part by mass relative to 100 parts by mass of the resin described later. more than 30 parts by mass or less. The content is more preferably 25 parts by mass or less, still more preferably 20 parts by mass or less, and particularly preferably 15 parts by mass or less. In addition, the content is more preferably 0.05 part by mass or more, still more preferably 0.1 part by mass or more, and particularly preferably 0.5 part by mass or more. The content of the onium salt compound (1) is appropriately selected depending on the type of resin to be used, exposure conditions or required sensitivity, and the type and content of the radiation-sensitive acid generator described later. Thereby, excellent sensitivity, LWR performance, and CDU performance can be exhibited when forming a resist pattern.

(鎓鹽化合物(1)的合成方法) 作為鎓鹽化合物(1),以R 1~R 3全部為氫原子、n為1的情況為例進行說明。代表性地,如下述流程所示,將氟化酮酯體的酮藉由還原劑(流程中,作為氫化物錯合物的硼氫化鈉)製成醇,繼而藉由鹼將酯部分進行水解,最後使其與對應於鎓陽離子部分的鎓陽離子鹵化物反應,進行鹽交換,藉此可合成目標鎓鹽化合物(1)。 (Synthesis method of onium salt compound (1)) As the onium salt compound (1), the case where all of R 1 to R 3 are hydrogen atoms and n is 1 will be described as an example. Typically, as shown in the following scheme, the ketone of the fluorinated ketone ester is converted into an alcohol with a reducing agent (sodium borohydride as a hydride complex in the scheme), and then the ester moiety is hydrolyzed with a base. , and finally react with an onium cation halide corresponding to the onium cation moiety to perform salt exchange, whereby the target onium salt compound (1) can be synthesized.

[化17]

Figure 02_image024
(式中,R 1及Z +與所述式(1)為相同含義。M為鹼金屬。Hal -是鹵化物離子。) [Chemical 17]
Figure 02_image024
(In the formula, R 1 and Z + have the same meanings as in the above formula (1). M is an alkali metal. Hal - is a halide ion.)

對於具有其他結構的鎓鹽化合物(1),亦可同樣地藉由適宜選擇成為陰離子部分的基礎的氟化酮酯體或還原劑、鎓陽離子部分所對應的前驅物來合成。例如,可藉由使用格任亞試劑(Grignard reagent)代替作為酮還原劑的氫化物錯合物,而導入烴基作為R 1The onium salt compound (1) having another structure can also be synthesized similarly by appropriately selecting the fluorinated ketone ester or reducing agent serving as the base of the anion moiety, and a precursor corresponding to the onium cation moiety. For example, a hydrocarbyl group can be introduced as R 1 by using a Grignard reagent in place of a hydride complex as a ketone reducing agent.

(樹脂) 樹脂為具有包含酸解離性基的結構單元(以下,亦稱為「結構單元(I)」)的聚合物的集合體(以下,亦將該樹脂稱為「基礎樹脂」)。所謂「酸解離性基」是指對羧基、酚性羥基、醇性羥基、磺基等所具有的氫原子進行取代的基,且利用酸的作用而進行解離的基。該感放射線性樹脂組成物藉由樹脂具有結構單元(I),圖案形成性優異。 (resin) The resin is an aggregate of polymers having a structural unit (hereinafter, also referred to as "structural unit (I)") containing an acid dissociable group (hereinafter, this resin is also referred to as "base resin"). The "acid-dissociable group" refers to a group that substitutes a hydrogen atom contained in a carboxyl group, a phenolic hydroxyl group, an alcoholic hydroxyl group, a sulfo group, and the like, and is a group that is dissociated by the action of an acid. The radiation-sensitive resin composition has the structural unit (I) due to the resin, and is excellent in pattern formability.

除結構單元(I)以外,基礎樹脂較佳為亦具有後述的包含選自由內酯結構、環狀碳酸酯結構及磺內酯結構所組成的群組中的至少一種的結構單元(II),亦可具有結構單元(I)及結構單元(II)以外的其他結構單元。以下,對各結構單元進行說明。In addition to the structural unit (I), the base resin preferably also has a structural unit (II) including at least one selected from the group consisting of a lactone structure, a cyclic carbonate structure, and a sultone structure, which will be described later, You may have other structural units other than the structural unit (I) and the structural unit (II). Hereinafter, each structural unit will be described.

[結構單元(I)] 結構單元(I)為含有酸解離性基的結構單元。作為結構單元(I),只要包含酸解離性基則並無特別限定,例如可列舉:具有三級烷基酯部分的結構單元、具有酚性羥基的氫原子經三級烷基取代的結構的結構單元、具有縮醛鍵的結構單元等,就該感放射線性樹脂組成物的圖案形成性的提高的觀點而言,較佳為下述式(3)所表示的結構單元(以下,亦稱為「結構單元(I-1)」)。 [Structural unit (I)] The structural unit (I) is a structural unit containing an acid dissociable group. The structural unit (I) is not particularly limited as long as it contains an acid dissociable group, and examples thereof include a structural unit having a tertiary alkyl ester moiety, and a structure in which a hydrogen atom having a phenolic hydroxyl group is substituted with a tertiary alkyl group. A structural unit, a structural unit having an acetal bond, etc., is preferably a structural unit represented by the following formula (3) (hereinafter, also referred to as the is "structural unit (I-1)").

[化18]

Figure 02_image026
[Chemical 18]
Figure 02_image026

所述式(3)中,R 7為氫原子、氟原子、甲基或三氟甲基。R 8為碳數1~20的一價烴基。R 9及R 1 0分別獨立地為碳數1~10的一價鏈狀烴基或碳數3~20的一價脂環式烴基,或者表示該些基相互結合並與該些所鍵結的碳原子一起構成的碳數3~20的二價脂環式基。 In the formula (3), R 7 is a hydrogen atom, a fluorine atom, a methyl group or a trifluoromethyl group. R 8 is a monovalent hydrocarbon group having 1 to 20 carbon atoms. R 9 and R 10 are each independently a monovalent chain hydrocarbon group having 1 to 10 carbon atoms or a monovalent alicyclic hydrocarbon group having 3 to 20 carbon atoms, or represent that these groups are bonded to each other and to the A divalent alicyclic group with 3 to 20 carbon atoms formed by carbon atoms together.

作為所述R 7,就提供結構單元(I-1)的單量體的共聚性的觀點而言,較佳為氫原子、甲基,更佳為甲基。 As said R< 7 >, from a viewpoint of the copolymerizability of the monomer which provides a structural unit (I-1), a hydrogen atom and a methyl group are preferable, and a methyl group is more preferable.

作為所述R 8所表示的碳數1~20的一價烴基,例如可列舉:碳數1~10的鏈狀烴基、碳數3~20的一價脂環式烴基、碳數6~20的一價芳香族烴基等。 Examples of the monovalent hydrocarbon group having 1 to 20 carbon atoms represented by R 8 include a chain hydrocarbon group having 1 to 10 carbon atoms, a monovalent alicyclic hydrocarbon group having 3 to 20 carbon atoms, and a monovalent alicyclic hydrocarbon group having 3 to 20 carbon atoms. The monovalent aromatic hydrocarbon group, etc.

作為所述R 8~R 1 0所表示的碳數1~10的鏈狀烴基,可列舉:碳數1~10的直鏈或分支鏈飽和烴基,或者碳數1~10的直鏈或分支鏈不飽和烴基。 Examples of the chain hydrocarbon group having 1 to 10 carbon atoms represented by R 8 to R 10 include a linear or branched saturated hydrocarbon group having 1 to 10 carbon atoms, or a linear or branched hydrocarbon group having 1 to 10 carbon atoms. Chain unsaturated hydrocarbon group.

作為所述R 8~R 1 0所表示的碳數3~20的脂環式烴基,可列舉單環或多環的飽和烴基、或者單環或多環的不飽和烴基。作為單環的飽和烴基,較佳為環戊基、環己基、環庚基、環辛基。作為多環的環烷基,較佳為降冰片基、金剛烷基、三環癸基、四環十二烷基等橋環脂環式烴基。再者,所謂橋環脂環式烴基,是指構成脂環的碳原子中不相互鄰接的兩個碳原子間藉由包含一個以上碳原子的結合鏈鍵結的多環性脂環式烴基。 Examples of the alicyclic hydrocarbon group having 3 to 20 carbon atoms represented by R 8 to R 10 include a monocyclic or polycyclic saturated hydrocarbon group, or a monocyclic or polycyclic unsaturated hydrocarbon group. The monocyclic saturated hydrocarbon group is preferably a cyclopentyl group, a cyclohexyl group, a cycloheptyl group, and a cyclooctyl group. The polycyclic cycloalkyl group is preferably a bridged alicyclic hydrocarbon group such as norbornyl, adamantyl, tricyclodecyl, and tetracyclododecyl. In addition, the bridged alicyclic hydrocarbon group refers to a polycyclic alicyclic hydrocarbon group in which two carbon atoms which are not adjacent to each other among the carbon atoms constituting the alicyclic ring are bonded by a bonding chain containing one or more carbon atoms.

作為所述R 8所表示的碳數6~20的一價的芳香族烴基,例如可列舉: 苯基、甲苯基、二甲苯基、萘基、蒽基等芳基;苄基、苯乙基、萘基甲基等芳烷基等。 Examples of the monovalent aromatic hydrocarbon group having 6 to 20 carbon atoms represented by R 8 include: aryl groups such as phenyl, tolyl, xylyl, naphthyl, and anthracenyl; benzyl, phenethyl, etc. , naphthylmethyl and other aralkyl groups, etc.

作為所述R 8,較佳為碳數1~10的直鏈或分支鏈飽和烴基、碳數3~20的脂環式烴基。 The R 8 is preferably a linear or branched saturated hydrocarbon group having 1 to 10 carbon atoms, or an alicyclic hydrocarbon group having 3 to 20 carbon atoms.

所述R 9及R 1 0所表示的鏈狀烴基或脂環式烴基相互結合並與該些所鍵結的碳原子一起構成的碳數3~20的二價脂環式基只要為自構成所述碳數的單環或多環的脂環式烴的碳環的同一碳原子去除兩個氫原子而成的基,則並無特別限定。可為單環式烴基及多環式烴基的任一種,作為多環式烴基,可為橋環脂環式烴基及縮合脂環式烴基的任一種,亦可為飽和烴基及不飽和烴基的任一種。再者,所謂縮合脂環式烴基是指以多個脂環共有邊(鄰接的兩個碳原子間的鍵)的形式構成的多環性的脂環式烴基。 The divalent alicyclic group having 3 to 20 carbon atoms in which the chain hydrocarbon groups or alicyclic hydrocarbon groups represented by R 9 and R 10 are bonded to each other and constituted together with these bonded carbon atoms may be self-constituting. The group obtained by removing two hydrogen atoms from the same carbon atom of the carbon ring of the monocyclic or polycyclic alicyclic hydrocarbon having the carbon number is not particularly limited. It can be either a monocyclic hydrocarbon group or a polycyclic hydrocarbon group. The polycyclic hydrocarbon group can be either a bridged alicyclic hydrocarbon group or a condensed alicyclic hydrocarbon group, or a saturated hydrocarbon group or an unsaturated hydrocarbon group. A sort of. In addition, the condensed alicyclic hydrocarbon group refers to a polycyclic alicyclic hydrocarbon group constituted by a plurality of alicyclic rings sharing a side (a bond between two adjacent carbon atoms).

作為單環的脂環式烴基中飽和烴基,較佳為環戊烷二基、環己烷二基、環庚烷二基、環辛烷二基等,作為不飽和烴基,較佳為環戊烯二基、環己烯二基、環庚烯二基、環辛烯二基、環癸烯二基等。作為多環的脂環式烴基,較佳為橋環脂環式飽和烴基,例如較佳為雙環[2.2.1]庚烷-2,2-二基(降冰片烷-2,2-二基)、雙環[2.2.2]辛烷-2,2-二基、三環[3.3.1.1 3,7]癸烷-2,2-二基(金剛烷-2,2-二基)等。 The saturated hydrocarbon group in the monocyclic alicyclic hydrocarbon group is preferably cyclopentanediyl, cyclohexanediyl, cycloheptanediyl, cyclooctanediyl and the like, and the unsaturated hydrocarbon group is preferably cyclopentanediyl Alkenediyl, cyclohexenediyl, cycloheptenediyl, cyclooctenediyl, cyclodecenediyl, etc. The polycyclic alicyclic hydrocarbon group is preferably a bridged alicyclic saturated hydrocarbon group, for example, bicyclo[2.2.1]heptane-2,2-diyl (norbornane-2,2-diyl) is preferred ), bicyclo[2.2.2]octane-2,2-diyl, tricyclo[3.3.1.1 3,7 ]decane-2,2-diyl (adamantane-2,2-diyl), etc.

該些中,較佳為R 8為碳數1~4的烷基,R 9及R 1 0相互結合並與該些所鍵結的碳原子一起構成的脂環結構為多環或單環的環烷烴結構。 Among these, it is preferable that R 8 is an alkyl group having 1 to 4 carbon atoms, and the alicyclic structure formed by R 9 and R 1 0 combined with each other and these bonded carbon atoms is polycyclic or monocyclic Cycloalkane structure.

作為結構單元(I-1),例如可列舉下述式(3-1)~式(3-6)所表示的結構單元(以下,亦稱為「結構單元(I-1-1)~結構單元(I-1-6)」)等。As the structural unit (I-1), for example, structural units represented by the following formulae (3-1) to (3-6) (hereinafter, also referred to as "structural unit (I-1-1) to unit (I-1-6)”), etc.

[化19]

Figure 02_image027
[Chemical 19]
Figure 02_image027

所述式(3-1)~式(3-6)中,R 7~R 1 0與所述式(3)為相同含義。i及j分別獨立地為1~4的整數。k及l為0或1。 In the above formulas (3-1) to (3-6), R 7 to R 1 0 have the same meanings as in the above formula (3). i and j are each independently an integer of 1 to 4. k and l are 0 or 1.

作為i及j,較佳為1。作為R 8,較佳為甲基、乙基或異丙基。作為R 9及R 1 0,較佳為甲基或乙基。 As i and j, 1 is preferable. As R 8 , methyl, ethyl or isopropyl is preferred. As R 9 and R 10 , a methyl group or an ethyl group is preferable.

基礎樹脂亦可包含一種或組合包含兩種以上的結構單元(I)。The base resin may contain one kind or two or more kinds of structural units (I) in combination.

相對於構成基礎樹脂的所有結構單元,結構單元(I)的含有比例(在包含多種的情況下為合計含有比例)較佳為10莫耳%以上,更佳為20莫耳%以上,進而佳為30莫耳%以上,特佳為35莫耳%以上。另外,較佳為80莫耳%以下,更佳為75莫耳%以下,進而佳為70莫耳%以下,特佳為65莫耳%以下。藉由將結構單元(I)的含有比例設為所述範圍,可進一步提高該感放射線性樹脂組成物的圖案形成性。With respect to all the structural units constituting the base resin, the content ratio of the structural unit (I) (the total content ratio when multiple types are included) is preferably 10 mol % or more, more preferably 20 mol % or more, and still more preferably It is 30 mol% or more, particularly preferably 35 mol% or more. In addition, it is preferably 80 mol % or less, more preferably 75 mol % or less, still more preferably 70 mol % or less, and particularly preferably 65 mol % or less. By making the content ratio of a structural unit (I) into the said range, the pattern formability of this radiation sensitive resin composition can be improved further.

[結構單元(II)] 結構單元(II)為包含選自由內酯結構、環狀碳酸酯結構及磺內酯結構所組成的群組中的至少一種的結構單元。基礎樹脂藉由更具有結構單元(II),可調整對於顯影液的溶解性,其結果,該感放射線性樹脂組成物可提高解析性等微影性能。另外,可提高由基礎樹脂所形成的抗蝕劑圖案與基板的密接性。 [Structural unit (II)] The structural unit (II) is a structural unit containing at least one selected from the group consisting of a lactone structure, a cyclic carbonate structure, and a sultone structure. Since the base resin further has the structural unit (II), the solubility to the developer can be adjusted, and as a result, the radiation-sensitive resin composition can improve lithography performance such as resolution. Moreover, the adhesiveness of the resist pattern formed with the base resin and a board|substrate can be improved.

作為結構單元(II),例如可列舉下述式(T-1)~式(T-10)所表示的結構單元等。As a structural unit (II), the structural unit etc. which are represented by following formula (T-1) - formula (T-10) are mentioned, for example.

[化20]

Figure 02_image029
[hua 20]
Figure 02_image029

所述式中,R L1為氫原子、氟原子、甲基或三氟甲基。R L2~R L5分別獨立地為氫原子、碳數1~4的烷基、氰基、三氟甲基、甲氧基、甲氧基羰基、羥基、羥基甲基、二甲基胺基。R L4及R L5亦可為相互結合並與該些所鍵結的碳原子一起構成的碳數3~8的二價脂環式基。L 2為單鍵或二價連結基。X為氧原子或亞甲基。k為0~3的整數。m為1~3的整數。 In the formula, R L1 is a hydrogen atom, a fluorine atom, a methyl group or a trifluoromethyl group. R L2 to R L5 are each independently a hydrogen atom, an alkyl group having 1 to 4 carbon atoms, a cyano group, a trifluoromethyl group, a methoxy group, a methoxycarbonyl group, a hydroxyl group, a hydroxymethyl group, and a dimethylamino group. R L4 and R L5 may be a divalent alicyclic group having 3 to 8 carbon atoms which are bonded to each other and constituted together with these bonded carbon atoms. L 2 is a single bond or a divalent linking group. X is an oxygen atom or a methylene group. k is an integer of 0-3. m is an integer of 1-3.

作為所述R L4及R L5相互結合並與該些所鍵結的碳原子一起構成的碳數3~8的二價脂環式基,可列舉所述式(3)中的R 9及R 1 0所表示的鏈狀烴基或脂環式烴基相互結合並與該些所鍵結的碳原子一起構成的碳數3~20的二價脂環式基中碳數為3~8的基。該脂環式基上的一個以上的氫原子亦可經羥基取代。 Examples of the divalent alicyclic group having 3 to 8 carbon atoms in which the R L4 and R L5 are bonded to each other and constituted together with the bonded carbon atoms include R 9 and R in the above formula (3). A group having 3 to 8 carbon atoms among the divalent alicyclic groups having 3 to 20 carbon atoms in which the chain hydrocarbon group or alicyclic hydrocarbon group represented by 1 0 is bonded to each other and constituted together with the bonded carbon atoms. One or more hydrogen atoms on the alicyclic group may be substituted with a hydroxyl group.

作為所述L 2所表示的二價連結基,例如可列舉:碳數1~10的二價的直鏈狀或分支狀的烴基、碳數4~12的二價的脂環式烴基、或者由該些烴基的一個以上與-CO-、-O-、-NH-及-S-中的至少一種基構成的基等。 Examples of the divalent linking group represented by L 2 include a divalent linear or branched hydrocarbon group having 1 to 10 carbon atoms, a divalent alicyclic hydrocarbon group having 4 to 12 carbon atoms, or A group consisting of one or more of these hydrocarbon groups and at least one of -CO-, -O-, -NH-, and -S-, and the like.

作為結構單元(II),該些中較佳為包含內酯結構的結構單元,更佳為包含降冰片烷內酯結構的結構單元,進而佳為源自(甲基)丙烯酸降冰片烷內酯-基酯的結構單元。As the structural unit (II), among these, a structural unit containing a lactone structure is preferable, a structural unit containing a norbornane lactone structure is more preferable, and a norbornane lactone derived (meth)acrylate is further preferable. - Structural unit of base ester.

相對於構成基礎樹脂的所有結構單元,結構單元(II)的含有比例較佳為20莫耳%以上,更佳為25莫耳%以上,進而佳為30莫耳%以上。另外,較佳為80莫耳%以下,更佳為75莫耳%以下,進而佳為70莫耳%以下。藉由將結構單元(II)的含有比例設為所述範圍,該感放射線性樹脂組成物可進一步提高解析性等微影性能及所形成的抗蝕劑圖案與基板的密接性。The content ratio of the structural unit (II) is preferably 20 mol % or more, more preferably 25 mol % or more, and still more preferably 30 mol % or more with respect to all the structural units constituting the base resin. In addition, it is preferably 80 mol % or less, more preferably 75 mol % or less, still more preferably 70 mol % or less. By setting the content ratio of the structural unit (II) to the above-mentioned range, the radiation-sensitive resin composition can further improve the lithography performance such as resolution and the adhesion between the formed resist pattern and the substrate.

[結構單元(III)] 基礎樹脂除所述結構單元(I)及結構單元(II)以外,亦任意地具有其他結構單元。作為所述其他結構單元,例如可列舉包含極性基的結構單元(III)等(其中,相當於結構單元(II)者除外)。基礎樹脂藉由更具有結構單元(III),可調整對於顯影液的溶解性,其結果,可提高該感放射線性樹脂組成物的解析性等微影性能。作為所述極性基,例如可列舉:羥基、羧基、氰基、硝基、磺醯胺基等。該些中,較佳為羥基、羧基,更佳為羥基。 [Structural unit (III)] The base resin optionally has other structural units in addition to the structural unit (I) and the structural unit (II). As said other structural unit, the structural unit (III) containing a polar group etc. are mentioned, for example (however, the thing corresponding to a structural unit (II) is excluded). By having the structural unit (III) more, the base resin can adjust the solubility to the developing solution, and as a result, can improve the lithography performance such as the analytical properties of the radiation-sensitive resin composition. As said polar group, a hydroxyl group, a carboxyl group, a cyano group, a nitro group, a sulfonamido group etc. are mentioned, for example. Among these, a hydroxyl group and a carboxyl group are preferable, and a hydroxyl group is more preferable.

作為結構單元(III),例如可列舉下述式所表示的結構單元等。As a structural unit (III), the structural unit etc. which are represented by the following formula are mentioned, for example.

[化21]

Figure 02_image031
[Chemical 21]
Figure 02_image031

所述式中,R A為氫原子、氟原子、甲基或三氟甲基。 In the formula, RA is a hydrogen atom, a fluorine atom, a methyl group or a trifluoromethyl group.

於所述基礎樹脂含有所述具有極性基的結構單元(III)的情況下,相對於構成基礎樹脂的所有結構單元,所述結構單元(III)的含有比例較佳為5莫耳%以上,更佳為8莫耳%以上,進而佳為10莫耳%以上。另外,較佳為40莫耳%以下,更佳為35莫耳%以下,進而佳為30莫耳%以下。藉由將結構單元(III)的含有比例設為所述範圍,可進一步提高該感放射線性樹脂組成物的解析性等微影性能。When the base resin contains the structural unit (III) having a polar group, the content of the structural unit (III) is preferably 5 mol % or more relative to all the structural units constituting the base resin, More preferably, it is 8 mol % or more, and still more preferably 10 mol % or more. In addition, it is preferably 40 mol % or less, more preferably 35 mol % or less, still more preferably 30 mol % or less. By setting the content ratio of the structural unit (III) to the above-mentioned range, the lithography performance such as the resolution of the radiation-sensitive resin composition can be further improved.

[結構單元(IV)] 作為其他結構單元,除所述具有極性基的結構單元(III)以外,基礎樹脂任意地具有源自羥基苯乙烯的結構單元或具有酚性羥基的結構單元(以下,亦將兩者一起稱為「結構單元(IV)」)。結構單元(IV)有助於耐蝕刻性的提高、及曝光部與未曝光部之間的顯影液溶解性的差(溶解對比度)的提高。特別是可較佳地應用於使用藉由電子束或EUV等波長50 nm以下的放射線的曝光的圖案形成。於該情況下,樹脂較佳為一併具有結構單元(IV)以及結構單元(I)。 [Structural Unit (IV)] As other structural units, in addition to the structural unit (III) having a polar group, the base resin optionally has a structural unit derived from hydroxystyrene or a structural unit having a phenolic hydroxyl group (hereinafter, both are also referred to together). "Structural Unit (IV)"). The structural unit (IV) contributes to the improvement of etching resistance and the improvement of the difference in developer solubility (dissolution contrast) between the exposed part and the unexposed part. In particular, it can be preferably applied to pattern formation using exposure with radiation having a wavelength of 50 nm or less, such as electron beams or EUV. In this case, it is preferable that resin has a structural unit (IV) and a structural unit (I) together.

於該情況下,較佳為於聚合時以藉由鹼解離性基等保護基保護酚性羥基的狀態進行聚合,然後進行水解並脫保護,藉此獲得結構單元(IV)。作為藉由水解而提供結構單元(IV)的結構單元,較佳為由下述式(4-1)、式(4-2)表示。In this case, it is preferable to carry out the polymerization in a state in which the phenolic hydroxyl group is protected by a protecting group such as an alkali dissociable group during the polymerization, and then hydrolyze and deprotect, whereby the structural unit (IV) is obtained. The structural unit which provides the structural unit (IV) by hydrolysis is preferably represented by the following formula (4-1) and formula (4-2).

[化22]

Figure 02_image033
[Chemical 22]
Figure 02_image033

所述式(4-1)、式(4-2)中,R 1 1為氫原子、氟原子、甲基或三氟甲基。R 1 2為碳數1~20的一價烴基或烷氧基。作為R 1 2中的碳數1~20的一價烴基,可列舉結構單元(I)中的R 8中的碳數1~20的一價烴基。作為烷氧基,例如可列舉:甲氧基、乙氧基及第三丁氧基等。 In the formula (4-1) and formula (4-2), R 1 1 is a hydrogen atom, a fluorine atom, a methyl group or a trifluoromethyl group. R 1 2 is a monovalent hydrocarbon group or an alkoxy group having 1 to 20 carbon atoms. Examples of the monovalent hydrocarbon group having 1 to 20 carbon atoms in R 1 2 include monovalent hydrocarbon groups having 1 to 20 carbon atoms in R 8 in the structural unit (I). As an alkoxy group, a methoxy group, an ethoxy group, a 3rd butoxy group, etc. are mentioned, for example.

所述R 1 2較佳為烷基及烷氧基,其中更佳為甲基、第三丁氧基。 The R 1 2 is preferably an alkyl group and an alkoxy group, and more preferably a methyl group and a tertiary butoxy group.

於藉由波長50 nm以下的放射線的曝光用的樹脂的情況下,相對於構成樹脂的所有結構單元,結構單元(IV)的含有比例較佳為10莫耳%以上,更佳為20莫耳%以上。另外,較佳為70莫耳%以下,更佳為60莫耳%以下。In the case of a resin for exposure by radiation with a wavelength of 50 nm or less, the content ratio of the structural unit (IV) is preferably 10 mol % or more, more preferably 20 mol % with respect to all the structural units constituting the resin. %above. In addition, it is preferably 70 mol % or less, more preferably 60 mol % or less.

(基礎樹脂的合成方法) 基礎樹脂例如可藉由使用自由基聚合起始劑等,使提供各結構單元的單量體於適當的溶劑中進行聚合來合成。 (Synthesis method of base resin) The base resin can be synthesized by, for example, polymerizing a monomer that provides each structural unit in an appropriate solvent using a radical polymerization initiator or the like.

作為所述自由基聚合起始劑,可列舉:偶氮雙異丁腈(Azobisisobutyronitrile,AIBN)、2,2'-偶氮雙(4-甲氧基-2,4-二甲基戊腈)、2,2'-偶氮雙(2-環丙基丙腈)、2,2'-偶氮雙(2,4-二甲基戊腈)、2,2'-偶氮雙異丁酸二甲酯等偶氮系自由基起始劑;過氧化苯甲醯、第三丁基過氧化氫、枯烯過氧化氫等過氧化物系自由基起始劑等。該些中,較佳為AIBN、2,2'-偶氮雙異丁酸二甲酯,更佳為AIBN。該些自由基起始劑可單獨使用一種或者將兩種以上混合使用。Examples of the radical polymerization initiator include: azobisisobutyronitrile (AIBN), 2,2'-azobis(4-methoxy-2,4-dimethylvaleronitrile) , 2,2'-azobis(2-cyclopropylpropanenitrile), 2,2'-azobis(2,4-dimethylvaleronitrile), 2,2'-azobisisobutyric acid Azo radical initiators such as dimethyl ester; peroxide radical initiators such as benzyl peroxide, tert-butyl hydroperoxide, cumene hydroperoxide, etc. Among these, AIBN and dimethyl 2,2'-azobisisobutyrate are preferable, and AIBN is more preferable. These radical initiators may be used alone or in combination of two or more.

作為所述聚合中所使用的溶劑,例如可列舉: 正戊烷、正己烷、正庚烷、正辛烷、正壬烷、正癸烷等烷烴類; 環己烷、環庚烷、環辛烷、十氫萘、降冰片烷等環烷烴類; 苯、甲苯、二甲苯、乙基苯、枯烯等芳香族烴類; 氯丁烷類、溴己烷類、二氯乙烷類、六亞甲基二溴(hexamethylene dibromide)、氯苯等鹵化烴類; 乙酸乙酯、乙酸正丁酯、乙酸異丁酯、丙酸甲酯等飽和羧酸酯類; 丙酮、甲基乙基酮、4-甲基-2-戊酮、2-庚酮等酮類; 四氫呋喃、二甲氧基乙烷類、二乙氧基乙烷類等醚類; 甲醇、乙醇、1-丙醇、2-丙醇、4-甲基-2-戊醇等醇類等。該些於聚合中使用的溶劑可單獨使用一種或併用兩種以上。 Examples of the solvent used in the polymerization include: n-pentane, n-hexane, n-heptane, n-octane, n-nonane, n-decane and other alkanes; Cycloalkanes such as cyclohexane, cycloheptane, cyclooctane, decalin, norbornane; Aromatic hydrocarbons such as benzene, toluene, xylene, ethylbenzene, cumene; Halogenated hydrocarbons such as chlorobutane, bromohexane, dichloroethane, hexamethylene dibromide, and chlorobenzene; Saturated carboxylic acid esters such as ethyl acetate, n-butyl acetate, isobutyl acetate, methyl propionate; Acetone, methyl ethyl ketone, 4-methyl-2-pentanone, 2-heptanone and other ketones; Ethers such as tetrahydrofuran, dimethoxyethane, and diethoxyethane; Alcohols such as methanol, ethanol, 1-propanol, 2-propanol, 4-methyl-2-pentanol, etc. These solvents used for the polymerization may be used alone or in combination of two or more.

作為所述聚合中的反應溫度,通常為40℃~150℃,較佳為50℃~120℃。作為反應時間,通常為1小時~48小時,較佳為1小時~24小時。The reaction temperature in the polymerization is usually 40°C to 150°C, preferably 50°C to 120°C. The reaction time is usually 1 hour to 48 hours, preferably 1 hour to 24 hours.

基礎樹脂的分子量並無特別限定,藉由凝膠滲透層析(Gel Permeation Chromatography,GPC)法的聚苯乙烯換算重量平均分子量(Mw)較佳為1,000以上且50,000以下,更佳為2,000以上且30,000以下,進而佳為3,000以上且15,000以下,特佳為4,000以上且12,000以下。若基礎樹脂的Mw未滿所述下限,則有時所獲得的抗蝕劑膜的耐熱性降低。若基礎樹脂的Mw超過所述上限,則有時抗蝕劑膜的顯影性降低。The molecular weight of the base resin is not particularly limited, and the polystyrene-equivalent weight average molecular weight (Mw) by Gel Permeation Chromatography (GPC) is preferably 1,000 or more and 50,000 or less, more preferably 2,000 or more and 30,000 or less, more preferably 3,000 or more and 15,000 or less, and particularly preferably 4,000 or more and 12,000 or less. If the Mw of the base resin is less than the lower limit, the heat resistance of the obtained resist film may decrease. When Mw of a base resin exceeds the said upper limit, the developability of a resist film may fall.

基礎樹脂的Mw相對於藉由GPC的聚苯乙烯換算數量平均分子量(Mn)的比(Mw/Mn)通常為1以上、5以下,較佳為1以上、3以下,進而佳為1以上、2以下。The ratio (Mw/Mn) of Mw of the base resin to the number average molecular weight (Mn) in terms of polystyrene by GPC is usually 1 or more and 5 or less, preferably 1 or more and 3 or less, and more preferably 1 or more, 2 or less.

本說明書中的樹脂的Mw及Mn是使用以下條件下的凝膠滲透層析法(GPC)而測定的值。The Mw and Mn of resin in this specification are values measured using gel permeation chromatography (GPC) under the following conditions.

GPC管柱:G2000HXL 2根、G3000HXL 1根、G4000HXL 1根(以上為東曹(Tosoh)製造) 管柱溫度:40℃ 溶出溶劑:四氫呋喃 流速:1.0 mL/分 試樣濃度:1.0質量% 試樣注入量:100 μL 檢測器:示差折射計 標準物質:單分散聚苯乙烯 GPC columns: 2 G2000HXL, 1 G3000HXL, 1 G4000HXL (the above are manufactured by Tosoh) Column temperature: 40℃ Dissolution solvent: tetrahydrofuran Flow rate: 1.0 mL/min Sample concentration: 1.0% by mass Sample injection volume: 100 μL Detector: Differential Refractometer Standard material: monodisperse polystyrene

作為基礎樹脂的含有比例,相對於該感放射線性樹脂組成物的總固體成分,較佳為70質量%以上,更佳為80質量%以上,進而佳為85質量%以上。The content of the base resin is preferably 70% by mass or more, more preferably 80% by mass or more, and still more preferably 85% by mass or more with respect to the total solid content of the radiation-sensitive resin composition.

(其他樹脂) 本實施方式的感放射線性樹脂組成物亦可包含氟原子的質量含有率較所述基礎樹脂更大的樹脂(以下,亦稱為「高氟含量樹脂」)作為其他樹脂。於該感放射線性樹脂組成物含有高氟含量樹脂的情況下,可相對於所述基礎樹脂而偏向存在於抗蝕劑膜的表層,其結果,可提昇液浸曝光時的抗蝕劑膜的表面的撥水性。 (other resins) The radiation-sensitive resin composition of the present embodiment may contain, as another resin, a resin having a larger mass content of fluorine atoms than the base resin (hereinafter, also referred to as a "high fluorine content resin"). In the case where the radiation-sensitive resin composition contains a resin with a high fluorine content, it can be biased to exist in the surface layer of the resist film relative to the base resin, and as a result, the resistance of the resist film during immersion exposure can be improved. Water repellency of the surface.

作為高氟含量樹脂,例如較佳為具有下述式(5)所表示的結構單元(以下,亦稱為「結構單元(V)」),視需要亦可具有所述基礎樹脂中的結構單元(I)或結構單元(II)。The high fluorine content resin preferably has, for example, a structural unit represented by the following formula (5) (hereinafter, also referred to as "structural unit (V)"), and may have a structural unit in the base resin as necessary (I) or structural unit (II).

[化23]

Figure 02_image034
[Chemical 23]
Figure 02_image034

所述式(5)中,R 1 3為氫原子、甲基或三氟甲基。G L為單鍵、氧原子、硫原子、-COO-、-SO 2ONH-、-CONH-或-OCONH-。R 1 4為碳數1~20的一價氟化鏈狀烴基或碳數3~20的一價氟化脂環式烴基。 In the formula (5), R 1 3 is a hydrogen atom, a methyl group or a trifluoromethyl group. GL is a single bond, an oxygen atom, a sulfur atom, -COO-, -SO 2 ONH- , -CONH- or -OCONH-. R 1 4 is a monovalent fluorinated chain hydrocarbon group having 1 to 20 carbon atoms or a monovalent fluorinated alicyclic hydrocarbon group having 3 to 20 carbon atoms.

作為所述R 1 3,就提供結構單元(V)的單量體的共聚性的觀點而言,較佳為氫原子及甲基,更佳為甲基。 As said R13, a hydrogen atom and a methyl group are preferable from a viewpoint of the copolymerizability of the monomer which provides a structural unit (V), and a methyl group is more preferable.

作為所述G L,就提供結構單元(V)的單量體的共聚性的觀點而言,較佳為單鍵及-COO-,更佳為-COO-。 As said GL, a single bond and -COO- are preferable from a viewpoint of providing the copolymerizability of the monomer of a structural unit (V), and -COO- is more preferable.

作為所述R 1 4所表示的碳數1~20的一價氟化鏈狀烴基,可列舉碳數1~20的直鏈或分支鏈烷基所具有的氫原子的一部分或全部經氟原子取代而成者。 Examples of the monovalent fluorinated chain hydrocarbon group having 1 to 20 carbon atoms represented by R 1 4 include a part or all of the hydrogen atoms contained in the straight-chain or branched alkyl group having 1 to 20 carbon atoms, which are fused to a fluorine atom. replaced by.

作為所述R 1 4所表示的碳數3~20的一價氟化脂環式烴基,可列舉碳數3~20的單環或多環式烴基所具有的氫原子的一部分或全部經氟原子取代而成者。 As the monovalent fluorinated alicyclic hydrocarbon group having 3 to 20 carbon atoms represented by R 1 4 , a part or all of the hydrogen atoms contained in the monocyclic or polycyclic hydrocarbon group having 3 to 20 carbon atoms are fluorinated. Atom replacement.

作為所述R 1 4,較佳為氟化鏈狀烴基,更佳為氟化烷基,進而佳為2,2,2-三氟乙基、1,1,1,3,3,3-六氟丙基及5,5,5-三氟-1,1-二乙基戊基。 The R 1 4 is preferably a fluorinated chain hydrocarbon group, more preferably a fluorinated alkyl group, and still more preferably 2,2,2-trifluoroethyl, 1,1,1,3,3,3- Hexafluoropropyl and 5,5,5-trifluoro-1,1-diethylpentyl.

於高氟含量樹脂具有結構單元(V)的情況下,相對於構成高氟含量樹脂的所有結構單元,結構單元(V)的含有比例較佳為30莫耳%以上,更佳為40莫耳%以上,進而佳為45莫耳%以上,特佳為50莫耳%以上。另外,較佳為95莫耳%以下,更佳為90莫耳%以下,進而佳為85莫耳%以下。藉由將結構單元(V)的含有比例設為所述範圍,可更適度地調整高氟含量樹脂的氟原子的質量含有率,進一步促進於抗蝕劑膜的表層的偏向存在化,其結果,可進一步提高液浸曝光時的抗蝕劑膜的撥水性。When the high fluorine content resin has a structural unit (V), the content ratio of the structural unit (V) is preferably 30 mol % or more, more preferably 40 mol % with respect to all the structural units constituting the high fluorine content resin % or more, more preferably 45 mol % or more, and particularly preferably 50 mol % or more. In addition, it is preferably 95 mol % or less, more preferably 90 mol % or less, and still more preferably 85 mol % or less. By setting the content ratio of the structural unit (V) to the above-mentioned range, the mass content ratio of fluorine atoms in the resin with high fluorine content can be adjusted more appropriately, and the biased existence in the surface layer of the resist film can be further promoted, as a result. , the water repellency of the resist film during liquid immersion exposure can be further improved.

高氟含量樹脂亦可與結構單元(V)一併或者代替結構單元(V)而具有下述式(f-2)所表示的含氟原子的結構單元(以下,亦稱為結構單元(VI))。藉由高氟含量樹脂具有結構單元(f-2),可提高對於鹼性顯影液的溶解性,抑制顯影缺陷的產生。The high fluorine content resin may have a fluorine atom-containing structural unit (hereinafter, also referred to as a structural unit (VI) represented by the following formula (f-2) together with the structural unit (V) or in place of the structural unit (V). )). Since the high fluorine content resin has the structural unit (f-2), the solubility to an alkaline developer can be improved, and the occurrence of development defects can be suppressed.

[化24]

Figure 02_image035
[Chemical 24]
Figure 02_image035

結構單元(VI)大致區分為具有(x)鹼可溶性基的情況、以及具有(y)藉由鹼的作用解離且對於鹼性顯影液的溶解性增大的基(以下,亦簡稱為「鹼解離性基」)的情況此兩種情況。(x)、(y)兩者共通,所述式(f-2)中,R C為氫原子、氟原子、甲基或三氟甲基。R D為單鍵、碳數1~20的(s+1)價的烴基、於該烴基的R E側的末端鍵結氧原子、硫原子、-NR dd-、羰基、-COO-或-CONH-而成的結構或該烴基所具有的氫原子的一部分經具有雜原子的有機基取代而成的結構。R dd為氫原子或碳數1~10的一價烴基。s為1~3的整數。 The structural unit (VI) is roughly classified into those having (x) an alkali-soluble group, and those having (y) a group that is dissociated by the action of an alkali and has increased solubility in an alkaline developer (hereinafter, also abbreviated as "alkali"). dissociative base") in both cases. Both (x) and (y) are common, and in the formula (f-2), R C is a hydrogen atom, a fluorine atom, a methyl group or a trifluoromethyl group. R D is a single bond, a (s+1)-valent hydrocarbon group having 1 to 20 carbon atoms, and an oxygen atom, sulfur atom, -NR dd -, carbonyl group, -COO- or - is bonded to the terminal on the R E side of the hydrocarbon group A structure formed of CONH- or a structure in which a part of hydrogen atoms contained in the hydrocarbon group is substituted with an organic group having a hetero atom. R dd is a hydrogen atom or a monovalent hydrocarbon group having 1 to 10 carbon atoms. s is an integer of 1-3.

當結構單元(VI)為具有(x)鹼可溶性基時、R F為氧原子且A 1為氧原子、-COO-*或-SO 2O-*。*表示鍵結於R F的部位。W 1為單鍵、碳數1~20的烴基或二價氟化烴基。於A 1為氧原子時,W 1為A 1所鍵結的碳原子上具有氟原子或氟烷基的氟化烴基。R E為單鍵或碳數1~20的二價有機基。於s為2或3的情況下,多個R E、W 1、A 1及R F可分別相同亦可不同。藉由結構單元(VI)具有(x)鹼可溶性基,可提高對於鹼性顯影液的親和性,抑制顯影缺陷。作為具有(x)鹼可溶性基的結構單元(VI),特佳為A 1為氧原子且W 1為1,1,1,3,3,3-六氟-2,2-甲烷二基的情況。 When the structural unit (VI) has (x) an alkali-soluble group, RF is an oxygen atom and A 1 is an oxygen atom, -COO-* or -SO 2 O-*. * Indicates the site bound to RF. W 1 is a single bond, a hydrocarbon group having 1 to 20 carbon atoms or a divalent fluorinated hydrocarbon group. When A 1 is an oxygen atom, W 1 is a fluorinated hydrocarbon group having a fluorine atom or a fluoroalkyl group on the carbon atom to which A 1 is bonded. R E is a single bond or a divalent organic group having 1 to 20 carbon atoms. When s is 2 or 3, a plurality of RE, W 1 , A 1 and RF may be the same or different, respectively. By having (x) an alkali-soluble group in the structural unit (VI), the affinity for an alkaline developer can be improved and development defects can be suppressed. The structural unit (VI) having (x) an alkali-soluble group is particularly preferably one in which A 1 is an oxygen atom and W 1 is 1,1,1,3,3,3-hexafluoro-2,2-methanediyl. Happening.

於結構單元(VI)具有(y)鹼解離性基的情況下,R F為碳數1~30的一價有機基,A 1為氧原子、-NR aa-、-COO-*或-SO2O-*。R aa為氫原子或碳數1~10的一價烴基。*表示鍵結於R F的部位。W1為單鍵或碳數1~20的二價氟化烴基。R E為單鍵或碳數1~20的二價有機基。於A 1為-COO-*或-SO 2O-*的情況下,在W 1或R F與A 1鍵結的碳原子或與其相鄰的碳原子上具有氟原子。於A 1為氧原子的情況下,W 1、R E為單鍵,R D為於碳數1~20的烴基的R E側的末端鍵結有羰基而成的結構,R F為具有氟原子的有機基。於s為2或3的情況下,多個R E、W 1、A 1及R F可分別相同亦可不同。藉由結構單元(VI)具有(y)鹼解離性基,於鹼顯影步驟中,抗蝕劑膜表面自疏水性變化為親水性。其結果為可大幅提高對於顯影液的親和性,更有效率地抑制顯影缺陷。作為具有(y)鹼解離性基的結構單元(VI),特佳為A 1為-COO-*且R F或W 1或者該些兩者具有氟原子者。 When the structural unit (VI) has a base dissociable group (y), R F is a monovalent organic group having 1 to 30 carbon atoms, and A 1 is an oxygen atom, -NR aa -, -COO-* or -SO2O -*. R aa is a hydrogen atom or a monovalent hydrocarbon group having 1 to 10 carbon atoms. * Indicates the site bound to RF. W1 is a single bond or a divalent fluorinated hydrocarbon group having 1 to 20 carbon atoms. R E is a single bond or a divalent organic group having 1 to 20 carbon atoms. When A 1 is -COO-* or -SO 2 O-*, a fluorine atom is present on the carbon atom to which W 1 or R F is bonded to A 1 or a carbon atom adjacent thereto. When A 1 is an oxygen atom, W 1 and R E are a single bond, R D is a structure in which a carbonyl group is bonded to the terminal on the R E side of a hydrocarbon group having 1 to 20 carbon atoms, and R F is a structure having fluorine. The organic radical of an atom. When s is 2 or 3, a plurality of RE, W 1 , A 1 and RF may be the same or different, respectively. Since the structural unit (VI) has (y) an alkali dissociable group, in the alkali development step, the surface of the resist film is changed from hydrophobicity to hydrophilicity. As a result, the affinity for the developer can be greatly improved, and development defects can be suppressed more efficiently. As a structural unit (VI) which has a base dissociable group (y), A 1 is -COO-*, and it is especially preferable that R F or W 1 or both have a fluorine atom.

作為R C,就提供結構單元(VI)的單量體的共聚性等觀點而言,較佳為氫原子及甲基,更佳為甲基。 As R C , a hydrogen atom and a methyl group are preferable, and a methyl group is more preferable from the viewpoint of the copolymerizability of the monomer which provides the structural unit (VI).

於R E為二價有機基的情況下,較佳為具有內酯結構的基,更佳為具有多環的內酯結構的基,進而佳為具有降冰片烷內酯結構的基。 When RE is a divalent organic group, it is preferably a group having a lactone structure, more preferably a group having a polycyclic lactone structure, and still more preferably a group having a norbornane lactone structure.

於高氟含量樹脂具有結構單元(VI)的情況下,相對於構成高氟含量樹脂的所有結構單元,結構單元(VI)的含有比例較佳為50莫耳%以上,更佳為60莫耳%以上,進而佳為70莫耳%以上。另外,較佳為95莫耳%以下,更佳為90莫耳%以下,進而佳為85莫耳%以下。藉由將結構單元(VI)的含有比例設為所述範圍,可進一步提高液浸曝光時的抗蝕劑膜的撥水性。When the high fluorine content resin has a structural unit (VI), the content ratio of the structural unit (VI) is preferably 50 mol % or more, more preferably 60 mol % with respect to all the structural units constituting the high fluorine content resin % or more, more preferably 70 mol % or more. In addition, it is preferably 95 mol % or less, more preferably 90 mol % or less, and still more preferably 85 mol % or less. By setting the content ratio of the structural unit (VI) to the above range, the water repellency of the resist film at the time of liquid immersion exposure can be further improved.

[其他結構單元] 高氟含量樹脂亦可含有具有下述式(6)所表示的脂環結構的結構單元作為所述列舉的結構單元以外的結構單元。 [化25]

Figure 02_image037
(所述式(6)中,R 為氫原子、氟原子、甲基或三氟甲基。R 為碳數3~20的一價脂環式烴基。) [Other Structural Units] The high fluorine-content resin may contain, as structural units other than those listed above, a structural unit having an alicyclic structure represented by the following formula (6). [Chemical 25]
Figure 02_image037
(In the above formula (6), R is a hydrogen atom, a fluorine atom, a methyl group or a trifluoromethyl group. R is a monovalent alicyclic hydrocarbon group having 3 to 20 carbon atoms.)

所述式(6)中,作為R 所表示的碳數3~20的一價脂環式烴基,可較佳地採用所述式(1)中的R 8所表示的碳數3~20的一價脂環式烴基。 In the above formula (6), as the monovalent alicyclic hydrocarbon group having 3 to 20 carbon atoms represented by R , the carbon number 3 to 20 represented by R 8 in the above formula (1) can be preferably used The monovalent alicyclic hydrocarbon group.

於高氟含量樹脂包含所述具有脂環結構的結構單元的情況下,相對於構成高氟含量樹脂的所有結構單元,所述具有脂環結構的結構單元的含有比例較佳為10莫耳%以上,更佳為20莫耳%以上,進而佳為30莫耳%以上。另外,較佳為70莫耳%以下,更佳為60莫耳%以下,進而佳為50莫耳%以下。When the high fluorine content resin contains the structural unit having an alicyclic structure, the content ratio of the structural unit having an alicyclic structure is preferably 10 mol % relative to all the structural units constituting the high fluorine content resin Above, more preferably 20 mol % or more, still more preferably 30 mol % or more. In addition, it is preferably 70 mol % or less, more preferably 60 mol % or less, and still more preferably 50 mol % or less.

作為高氟含量樹脂的Mw的下限,較佳為1,000,更佳為2,000,進而佳為3,000,特佳為5,000。作為所述Mw的上限,較佳為50,000,更佳為30,000,進而佳為20,000,特佳為15,000。As a lower limit of Mw of a high fluorine content resin, 1,000 is preferable, 2,000 is more preferable, 3,000 is still more preferable, and 5,000 is especially preferable. The upper limit of the Mw is preferably 50,000, more preferably 30,000, still more preferably 20,000, and particularly preferably 15,000.

作為高氟含量樹脂的Mw/Mn的下限,通常為1,更佳為1.1。作為所述Mw/Mn的上限,通常為5,較佳為3,更佳為2,進而佳為1.9。The lower limit of Mw/Mn of the high fluorine content resin is usually 1, and more preferably 1.1. The upper limit of the Mw/Mn is usually 5, preferably 3, more preferably 2, still more preferably 1.9.

相對於所述基礎樹脂100質量份,高氟含量樹脂的含量較佳為0.1質量份以上,更佳為0.5質量份以上,進而佳為1質量份以上,特佳為1.5質量份以上。另外,較佳為15質量份以下,更佳為12質量份以下,進而佳為10質量份以下,特佳為8質量份以下。The content of the high fluorine content resin is preferably 0.1 part by mass or more, more preferably 0.5 part by mass or more, still more preferably 1 part by mass or more, and particularly preferably 1.5 part by mass or more, relative to 100 parts by mass of the base resin. Moreover, 15 mass parts or less are preferable, 12 mass parts or less are more preferable, 10 mass parts or less are still more preferable, and 8 mass parts or less are especially preferable.

藉由將高氟含量樹脂的含量設為所述範圍,可使高氟含量樹脂更有效地偏向存在於抗蝕劑膜的表層,其結果,可進一步提昇液浸曝光時的抗蝕劑膜的表面的撥水性。該感放射線性樹脂組成物可含有一種或兩種以上的高氟含量樹脂。By setting the content of the high-fluorine-containing resin to the above-mentioned range, the high-fluorine-containing resin can be more effectively biased to exist in the surface layer of the resist film, and as a result, the resistance of the resist film at the time of immersion exposure can be further improved. Water repellency of the surface. The radiation-sensitive resin composition may contain one kind or two or more kinds of high fluorine content resins.

(高氟含量樹脂的合成方法) 高氟含量樹脂可利用與所述基礎樹脂的合成方法相同的方法來合成。 (Synthesis method of resin with high fluorine content) The high fluorine content resin can be synthesized by the same method as the synthesis method of the base resin.

(感放射線性酸產生劑) 本實施方式的感放射線性樹脂組成物較佳為更包含感放射線性酸產生劑,所述感放射線性酸產生劑藉由放射線的照射(曝光)而產生pKa較自所述鎓鹽化合物產生的酸更小的酸、即,相對強的酸。於樹脂包含具有酸解離性基的結構單元(I)的情況下,藉由曝光而自該感放射線性酸產生劑產生的酸可使該結構單元(I)所具有的酸解離性基解離,從而產生羧基等。該功能與所述鎓鹽化合物的功能不同,所述鎓鹽化合物於使用所述感放射線性樹脂組成物的圖案形成條件下,實質上不解離樹脂的結構單元(I)等所具有的酸解離性基等,於未曝光部抑制自所述感放射線性酸產生劑產生的酸的擴散。所述鎓鹽化合物及感放射線性酸產生劑的功能的不同由樹脂的結構單元(I)等所具有的酸解離性基解離所需要的能量、以及使用感放射線性樹脂組成物形成圖案時賦予的熱能條件等決定。作為感放射線性樹脂組成物中的感放射線性酸產生劑的含有形態,可為其單獨作為化合物存在(自聚合體游離)的形態,亦可為作為聚合體的一部分而組入的形態,抑或可為該些兩種形態,但較佳為單獨作為化合物存在的形態。 (radiosensitive acid generator) The radiation-sensitive resin composition of the present embodiment preferably further contains a radiation-sensitive acid generator that generates a pKa by irradiation (exposure) with radiation, rather than a radiation-sensitive acid generator generated from the onium salt compound. Acids that are less acidic, ie, relatively strong acids. When the resin contains the structural unit (I) having an acid dissociable group, the acid generated from the radiation-sensitive acid generator by exposure to light can dissociate the acid dissociable group contained in the structural unit (I), Thereby producing a carboxyl group and the like. This function is different from the function of the onium salt compound, which does not substantially dissociate the acid contained in the structural unit (I) and the like of the resin under the pattern forming conditions using the radiation-sensitive resin composition. In the unexposed part, the diffusion of the acid generated from the radiation-sensitive acid generator is suppressed. The difference in the functions of the onium salt compound and the radiation-sensitive acid generator is given by the energy required for dissociation of the acid-dissociable group possessed by the structural unit (I) of the resin, etc., and the formation of a pattern using the radiation-sensitive resin composition. the thermal energy conditions, etc. The radiation-sensitive acid generator in the radiation-sensitive resin composition may be contained in a form in which it exists alone as a compound (free from a polymer), or a form in which it is incorporated as a part of a polymer, or Although these two forms may be sufficient, the form which exists individually as a compound is preferable.

藉由感放射線性樹脂組成物含有所述感放射線性酸產生劑,曝光部的樹脂的極性增大,曝光部的樹脂於鹼性水溶液顯影的情況下相對於顯影液成為溶解性,另一方面,於有機溶媒顯影的情況下相對於顯影液成為難溶性。When the radiation-sensitive resin composition contains the radiation-sensitive acid generator, the polarity of the resin in the exposed part increases, and when the resin in the exposed part is developed in an alkaline aqueous solution, it becomes soluble in the developing solution. , in the case of organic solvent development, it becomes poorly soluble with respect to the developer.

作為酸產生劑,例如可列舉:鎓鹽化合物(其中,將所述鎓鹽化合物(1)除外)、磺醯亞胺化合物、含鹵素的化合物、重氮酮化合物等。作為鎓鹽化合物,例如可列舉:鋶鹽、四氫噻吩鎓鹽、錪鹽、鏻鹽、重氮鎓鹽、吡啶鎓鹽等。該些中,較佳為鋶鹽、錪鹽。Examples of the acid generator include onium salt compounds (excluding the above-mentioned onium salt compound (1)), sulfonimide compounds, halogen-containing compounds, diazoketone compounds, and the like. As an onium salt compound, a pernium salt, a tetrahydrothiophenium salt, an iodonium salt, a phosphonium salt, a diazonium salt, a pyridinium salt, etc. are mentioned, for example. Among these, pericynium salts and iodonium salts are preferred.

作為藉由曝光而產生的酸,可列舉藉由曝光而產生磺酸者。作為此種酸,可列舉於與磺基鄰接的碳原子取代有一個以上的氟原子或氟化烴基的化合物。其中,作為感放射線性酸產生劑,特佳為具有環狀結構者。As an acid generated by exposure, a sulfonic acid is generated by exposure. Examples of such an acid include compounds in which one or more fluorine atoms or fluorinated hydrocarbon groups are substituted with carbon atoms adjacent to the sulfo group. Among them, as the radiation-sensitive acid generator, those having a cyclic structure are particularly preferred.

該些感放射線性酸產生劑可單獨使用,亦可併用兩種以上。相對於所述基礎樹脂100質量份,感放射線性酸產生劑的含量(在併用多種感放射線性酸產生劑的情況下為該些的合計)較佳為0.1質量份以上,更佳為1質量份以上,進而佳為5質量份以上。另外,相對於所述樹脂100質量份,較佳為40質量份以下,更佳為35質量份以下,進而佳為30質量份以下,特佳為20質量份以下。藉此,於形成抗蝕劑圖案時可發揮優異的感度或LWR性能、CDU性能。These radiation-sensitive acid generators may be used alone or in combination of two or more. With respect to 100 parts by mass of the base resin, the content of the radiation-sensitive acid generator (when a plurality of types of radiation-sensitive acid generators are used in combination, the sum of these) is preferably 0.1 part by mass or more, more preferably 1 part by mass part or more, more preferably 5 parts by mass or more. Moreover, 40 mass parts or less are preferable with respect to 100 mass parts of said resins, 35 mass parts or less are more preferable, 30 mass parts or less are further more preferable, and 20 mass parts or less are especially preferable. Thereby, excellent sensitivity, LWR performance, and CDU performance can be exhibited when forming a resist pattern.

(溶劑) 本實施形態的感放射線性樹脂組成物含有溶劑。溶劑只要為至少可溶解或分散化合物(1)及樹脂、以及視需要含有的感放射線性酸產生劑等的溶劑,則並無特別限定。 (solvent) The radiation-sensitive resin composition of the present embodiment contains a solvent. The solvent is not particularly limited as long as it can dissolve or disperse at least the compound (1), the resin, and, if necessary, a radiation-sensitive acid generator and the like.

作為溶劑,例如可列舉:醇系溶劑、醚系溶劑、酮系溶劑、醯胺系溶劑、酯系溶劑、烴系溶劑等。Examples of the solvent include alcohol-based solvents, ether-based solvents, ketone-based solvents, amide-based solvents, ester-based solvents, hydrocarbon-based solvents, and the like.

作為醇系溶劑,例如可列舉: 異丙醇、4-甲基-2-戊醇、3-甲氧基丁醇、正己醇、2-乙基己醇、糠醇、環己醇、3,3,5-三甲基環己醇、二丙酮醇等碳數1~18的單醇系溶劑; 乙二醇、1,2-丙二醇、2-甲基-2,4-戊二醇、2,5-己二醇、二乙二醇、二丙二醇、三乙二醇、三丙二醇等碳數2~18的多元醇系溶劑; 將所述多元醇系溶劑所具有的羥基的一部分醚化而成的多元醇部分醚系溶劑等。 Examples of alcohol-based solvents include: Isopropanol, 4-methyl-2-pentanol, 3-methoxybutanol, n-hexanol, 2-ethylhexanol, furfuryl alcohol, cyclohexanol, 3,3,5-trimethylcyclohexanol , Diacetone alcohol and other mono-alcohol solvents with carbon number of 1 to 18; Ethylene glycol, 1,2-propylene glycol, 2-methyl-2,4-pentanediol, 2,5-hexanediol, diethylene glycol, dipropylene glycol, triethylene glycol, tripropylene glycol, etc. carbon number 2 ~18 polyol-based solvents; A polyhydric alcohol partial ether type solvent etc. which etherify a part of the hydroxyl group which the said polyhydric alcohol type solvent has.

作為醚系溶劑,例如可列舉: 二乙醚、二丙醚、二丁醚等二烷基醚系溶劑; 四氫呋喃、四氫吡喃等環狀醚系溶劑; 二苯醚、苯甲醚(甲基苯醚)等含芳香環的醚系溶劑; 將所述多元醇系溶劑所具有的羥基醚化而成的多元醇醚系溶劑等。 Examples of ether-based solvents include: Dialkyl ether solvents such as diethyl ether, dipropyl ether, and dibutyl ether; Cyclic ether solvents such as tetrahydrofuran and tetrahydropyran; Diphenyl ether, anisole (methyl phenyl ether) and other ether solvents containing aromatic rings; A polyol ether-based solvent or the like obtained by etherifying a hydroxyl group contained in the polyol-based solvent.

作為酮系溶劑,例如可列舉:丙酮、丁酮、甲基-異丁基酮等鏈狀酮系溶劑; 環戊酮、環己酮、甲基環己酮等環狀酮系溶劑; 2,4-戊二酮、丙酮基丙酮、苯乙酮等。 Examples of the ketone-based solvent include chain ketone-based solvents such as acetone, methyl ethyl ketone, and methyl-isobutyl ketone; Cyclic ketone solvents such as cyclopentanone, cyclohexanone, methyl cyclohexanone; 2,4-pentanedione, acetone acetone, acetophenone, etc.

作為醯胺系溶劑,例如可列舉:N,N'-二甲基咪唑啶酮、N-甲基吡咯啶酮等環狀醯胺系溶劑; N-甲基甲醯胺、N,N-二甲基甲醯胺、N,N-二乙基甲醯胺、乙醯胺、N-甲基乙醯胺、N,N-二甲基乙醯胺、N-甲基丙醯胺等鏈狀醯胺系溶劑等。 Examples of the amide-based solvent include cyclic amide-based solvents such as N,N'-dimethylimidazolidinone and N-methylpyrrolidone; N-methylformamide, N,N-dimethylformamide, N,N-diethylformamide, acetamide, N-methylacetamide, N,N-dimethylacetamide Chain amide solvents such as amide and N-methylpropionamide, etc.

作為酯系溶劑,例如可列舉: 乙酸正丁酯、乳酸乙酯等單羧酸酯系溶劑; 二乙二醇單正丁醚乙酸酯、丙二醇單甲醚乙酸酯、二丙二醇單甲醚乙酸酯等多元醇部分醚乙酸酯系溶劑; γ-丁內酯、戊內酯等內酯系溶劑; 碳酸二乙酯、碳酸伸乙酯、碳酸伸丙酯等碳酸酯系溶劑; 二乙酸丙二醇、乙酸甲氧基三甘醇酯、乙二酸二乙酯、乙醯乙酸乙酯、乳酸乙酯、鄰苯二甲酸二乙酯等多元羧酸二酯系溶劑。 Examples of ester-based solvents include: Monocarboxylate solvents such as n-butyl acetate and ethyl lactate; Diethylene glycol mono-n-butyl ether acetate, propylene glycol monomethyl ether acetate, dipropylene glycol monomethyl ether acetate and other polyhydric alcohol partial ether acetate solvents; Lactone solvents such as γ-butyrolactone and valerolactone; Carbonate-based solvents such as diethyl carbonate, ethylene carbonate, and propyl carbonate; Polycarboxylic acid diester-based solvents such as propylene glycol diacetate, methoxytriethylene glycol acetate, diethyl oxalate, ethyl acetate, ethyl lactate, and diethyl phthalate.

作為烴系溶劑,例如可列舉: 正己烷、環己烷、甲基環己烷等脂肪族烴系溶劑; 苯、甲苯、二異丙基苯、正戊基萘等芳香族烴系溶劑等。 Examples of hydrocarbon-based solvents include: Aliphatic hydrocarbon solvents such as n-hexane, cyclohexane and methylcyclohexane; Aromatic hydrocarbon-based solvents such as benzene, toluene, diisopropylbenzene, n-pentylnaphthalene, and the like.

該些中,較佳為酯系溶劑、酮系溶劑,更佳為多元醇部分醚乙酸酯系溶劑、環狀酮系溶劑、內酯系溶劑,進而佳為丙二醇單甲醚乙酸酯、環己酮、γ-丁內酯。該感放射線性樹脂組成物亦可含有一種或兩種以上的溶劑。Among these, ester-based solvents and ketone-based solvents are preferred, polyol partial ether acetate-based solvents, cyclic ketone-based solvents, and lactone-based solvents are more preferred, and propylene glycol monomethyl ether acetate, Cyclohexanone, gamma-butyrolactone. The radiation-sensitive resin composition may contain one or two or more kinds of solvents.

(其他任意成分) 所述感放射線性樹脂組成物除所述成分以外,亦可含有其他任意成分。作為所述其他任意成分,例如可列舉:交聯劑、偏向存在化促進劑、界面活性劑、含有脂環式骨架的化合物、增感劑等。該些其他任意成分可分別使用一種或併用兩種以上。 (any other ingredients) The radiation-sensitive resin composition may contain other arbitrary components in addition to the above-mentioned components. Examples of the other optional components include a crosslinking agent, a biasing accelerator, a surfactant, an alicyclic skeleton-containing compound, a sensitizer, and the like. These other optional components may be used alone or in combination of two or more.

(交聯劑) 交聯劑為具有兩個以上的官能基的化合物,於總括曝光步驟後的烘烤步驟中,藉由酸觸媒反應而於所述樹脂成分中引起交聯反應,使所述樹脂成分的分子量增加,藉此使圖案曝光部對於顯影液的溶解度降低。作為所述官能基,例如可列舉:(甲基)丙烯醯基、羥基甲基、烷氧基甲基、環氧基、乙烯基醚基等。 (crosslinking agent) The crosslinking agent is a compound having two or more functional groups, and in the baking step after the general exposure step, a crosslinking reaction is induced in the resin component by an acid catalyst reaction, and the molecular weight of the resin component is increased. By increasing, the solubility of the pattern exposure portion with respect to the developing solution is decreased. As said functional group, a (meth)acryloyl group, a hydroxymethyl group, an alkoxymethyl group, an epoxy group, a vinyl ether group, etc. are mentioned, for example.

(偏向存在化促進劑) 偏向存在化促進劑為具有使所述高氟含量樹脂更有效率地偏向存在於抗蝕劑膜表面的效果者。藉由使所述感放射線性樹脂組成物含有該偏向存在化促進劑,可較先前減少所述高氟含量樹脂的添加量。因此,維持所述感放射線性樹脂組成物的微影性能的同時,進一步抑制成分自抗蝕劑膜向液浸介質的溶出,或者可藉由高速掃描來更高速地進行液浸曝光,結果,可提高抑制水印缺陷等源自液浸的缺陷的抗蝕劑膜表面的疏水性。作為可用作此種偏向存在化促進劑者,例如可列舉相對介電常數為30以上且200以下、1氣壓下的沸點為100℃以上的低分子化合物。作為此種化合物,具體而言,可列舉:內酯化合物、碳酸酯化合物、腈化合物、多元醇等。 (biased towards existential accelerators) The localization accelerator has the effect of making the high fluorine content resin more efficiently localized on the surface of the resist film. By making the radiation-sensitive resin composition contain the biased existence accelerator, the addition amount of the high-fluorine-content resin can be reduced compared with the conventional method. Therefore, while maintaining the lithography performance of the radiation-sensitive resin composition, the elution of components from the resist film to the liquid immersion medium can be further suppressed, or the liquid immersion exposure can be performed at a higher speed by high-speed scanning. As a result, It is possible to improve the hydrophobicity of the surface of the resist film which suppresses defects derived from liquid immersion such as watermark defects. As a thing which can be used as such a biasing accelerator, for example, the relative dielectric constant is 30 or more and 200 or less, and a low molecular weight compound whose boiling point is 100 degreeC or more at 1 atmospheric pressure is mentioned. As such a compound, a lactone compound, a carbonate compound, a nitrile compound, a polyhydric alcohol etc. are mentioned specifically,.

作為所述內酯化合物,例如可列舉:γ-丁內酯、戊內酯、甲羥戊酸內酯(mevalonic lactone)、降冰片烷內酯等。As said lactone compound, gamma-butyrolactone, valerolactone, mevalonic lactone, norbornane lactone etc. are mentioned, for example.

作為所述碳酸酯化合物,例如可列舉:碳酸伸丙酯、碳酸伸乙酯、碳酸伸丁酯、碳酸伸乙烯酯等。As said carbonate compound, propylene carbonate, ethylene carbonate, butylene carbonate, vinylene carbonate, etc. are mentioned, for example.

作為所述腈化合物,例如可列舉丁二腈等。As said nitrile compound, succinonitrile etc. are mentioned, for example.

作為所述多元醇,例如可列舉甘油等。As said polyhydric alcohol, glycerol etc. are mentioned, for example.

相對於該感放射線性樹脂組成物中的樹脂的總量100質量份,偏向存在化促進劑的含量較佳為10質量份以上,更佳為15質量份以上,進而佳為20質量份以上,特佳為25質量份以上。另外,較佳為300質量份以下,更佳為200質量份以下,進而佳為100質量份以下,特佳為80質量份以下。該感放射線性樹脂組成物亦可含有一種或兩種以上的偏向存在化促進劑。With respect to 100 parts by mass of the total amount of resin in the radiation-sensitive resin composition, the content of the biasing accelerator is preferably 10 parts by mass or more, more preferably 15 parts by mass or more, and still more preferably 20 parts by mass or more, Particularly preferred is 25 parts by mass or more. Moreover, 300 mass parts or less are preferable, 200 mass parts or less are more preferable, 100 mass parts or less are still more preferable, and 80 mass parts or less are especially preferable. The radiation-sensitive resin composition may also contain one or two or more kinds of biased existence accelerators.

(界面活性劑) 界面活性劑起到改良塗佈性、條紋(striation)、顯影性等的效果。作為界面活性劑,例如可列舉:聚氧乙烯月桂醚、聚氧乙烯硬脂醚、聚氧乙烯油烯醚、聚氧乙烯正辛基苯基醚、聚氧乙烯正壬基苯基醚、聚乙二醇二月桂酸酯、聚乙二醇二硬脂酸酯等非離子系界面活性劑;作為市售品,可列舉:KP341(信越化學工業製造)、珀利弗洛(Polyflow)No.75、珀利弗洛(Polyflow)No.95(以上由共榮社化學製造)、艾福拓(Eftop)EF301、艾福拓(Eftop)EF303、艾福拓(Eftop)EF352(以上由濤凱姆製品(Tohchem Products)製造)、美佳法(Megafac)F171、美佳法(Megafac)F173(以上由迪愛生(DIC)製造)、弗洛德(Fluorad)FC430、弗洛德(Fluorad)FC431(以上由住友3M製造)、阿薩佳(Asahi Guard)AG710、沙福隆(Surflon)S-382、沙福隆(Surflon)SC-101、沙福隆(Surflon)SC-102、沙福隆(Surflon)SC-103、沙福隆(Surflon)SC-104、沙福隆(Surflon)SC-105、沙福隆(Surflon)SC-106(以上由旭硝子工業製造)等。作為所述感放射線性樹脂組成物中的界面活性劑的含量,相對於樹脂100質量份,通常為2質量份以下。 (surfactant) The surfactant has the effect of improving coatability, striation, developability, and the like. As the surfactant, for example, polyoxyethylene lauryl ether, polyoxyethylene stearyl ether, polyoxyethylene oleyl ether, polyoxyethylene n-octylphenyl ether, polyoxyethylene n-nonylphenyl ether, polyoxyethylene n-nonylphenyl ether, polyoxyethylene Nonionic surfactants such as ethylene glycol dilaurate and polyethylene glycol distearate; commercially available products include KP341 (manufactured by Shin-Etsu Chemical Co., Ltd.), Polyflow No. 75. Polyflow No.95 (above manufactured by Gongrongsha Chemical), Eftop EF301, Eftop EF303, Eftop EF352 (above by Taokai Tohchem Products), Megafac F171, Megafac F173 (above manufactured by DIC), Fluorad FC430, Fluorad FC431 (above manufactured by Sumitomo 3M), Asahi Guard AG710, Surflon S-382, Surflon SC-101, Surflon SC-102, Surflon ) SC-103, Surflon SC-104, Surflon SC-105, Surflon SC-106 (the above are manufactured by Asahi Glass Industries), etc. The content of the surfactant in the radiation-sensitive resin composition is usually 2 parts by mass or less with respect to 100 parts by mass of the resin.

(含有脂環式骨架的化合物) 含有脂環式骨架的化合物起到改善耐乾式蝕刻性、圖案形狀、與基板的接著性等的效果。 (compounds containing alicyclic skeleton) The compound containing an alicyclic skeleton has the effect of improving dry etching resistance, pattern shape, adhesion to a substrate, and the like.

作為含有脂環式骨架的化合物,例如可列舉: 1-金剛烷羧酸、2-金剛烷酮、1-金剛烷羧酸第三丁酯等金剛烷衍生物類; 去氧膽酸第三丁酯、去氧膽酸第三丁氧基羰基甲酯、去氧膽酸2-乙氧基乙酯等去氧膽酸酯類; 石膽酸第三丁酯、石膽酸第三丁氧基羰基甲酯、石膽酸2-乙氧基乙酯等石膽酸酯類; 3-〔2-羥基-2,2-雙(三氟甲基)乙基〕四環[4.4.0.1(2,5).1(7,10)]十二烷、2-羥基-9-甲氧基羰基-5-氧代-4-氧雜-三環[4.2.1.0(3,7)]壬烷等。作為所述感放射線性樹脂組成物中的含有脂環式骨架的化合物的含量,相對於樹脂100質量份,通常為5質量份以下。 Examples of compounds containing an alicyclic skeleton include: Adamantane derivatives such as 1-adamantane carboxylic acid, 2-adamantanone, 1-adamantane carboxylic acid tert-butyl ester; Deoxycholate esters such as 3-butyl deoxycholate, 3-butoxycarbonyl deoxycholate, and 2-ethoxyethyl deoxycholate; Lithocholic acid 3-butyl ester, lithocholic acid 3-butoxycarbonyl methyl ester, lithocholic acid 2-ethoxyethyl ester and other lithocholic acid esters; 3-[2-Hydroxy-2,2-bis(trifluoromethyl)ethyl]tetracyclo[4.4.0.1(2,5).1(7,10)]dodecane, 2-hydroxy-9- Methoxycarbonyl-5-oxo-4-oxa-tricyclo[4.2.1.0(3,7)]nonane, etc. The content of the alicyclic skeleton-containing compound in the radiation-sensitive resin composition is usually 5 parts by mass or less with respect to 100 parts by mass of the resin.

(增感劑) 增感劑顯示出使源自感放射線性酸產生劑等的酸的生成量增加的作用,起到提高所述感放射線性樹脂組成物的「表觀的感度」的效果。 (sensitizer) The sensitizer has the effect of increasing the amount of acid generated from the radiation-sensitive acid generator or the like, and has the effect of improving the "apparent sensitivity" of the radiation-sensitive resin composition.

作為增感劑,例如可列舉:咔唑類、苯乙酮類、二苯甲酮類、萘類、酚類、聯乙醯、曙紅、孟加拉玫瑰紅、芘類、蒽類、啡噻嗪類等。該些增感劑可單獨使用,亦可併用兩種以上。作為所述感放射線性樹脂組成物中的增感劑的含量,相對於樹脂100質量份,通常為2質量份以下。Examples of sensitizers include: carbazoles, acetophenones, benzophenones, naphthalenes, phenols, diacetyl, eosin, rose Bengal, pyrenes, anthracenes, phenothiazine class etc. These sensitizers may be used alone or in combination of two or more. The content of the sensitizer in the radiation-sensitive resin composition is usually 2 parts by mass or less with respect to 100 parts by mass of the resin.

<感放射線性樹脂組成物的製備方法> 所述感放射線性樹脂組成物例如可藉由以規定的比例將化合物(A)、樹脂、感放射線性酸產生劑、視需要的高氟含量樹脂等、及溶劑混合來製備。所述感放射線性樹脂組成物較佳為於混合後,例如利用孔徑0.05 μm左右的過濾器等進行過濾。作為所述感放射線性樹脂組成物的固體成分濃度,通常為0.1質量%~50質量%,較佳為0.5質量%~30質量%,更佳為1質量%~2質量%。 <Preparation method of radiation-sensitive resin composition> The radiation-sensitive resin composition can be prepared, for example, by mixing the compound (A), a resin, a radiation-sensitive acid generator, optionally a high fluorine content resin, etc., and a solvent in a predetermined ratio. The radiation-sensitive resin composition is preferably filtered, for example, with a filter having a pore size of about 0.05 μm after mixing. The solid content concentration of the radiation-sensitive resin composition is usually 0.1 to 50 mass %, preferably 0.5 to 30 mass %, and more preferably 1 to 2 mass %.

<圖案形成方法> 本發明的一實施方式的圖案形成方法包括: 步驟(1)(以下,亦稱為「抗蝕劑膜形成步驟」),於基板上直接或間接地塗佈所述感放射線性樹脂組成物而形成抗蝕劑膜; 步驟(2)(以下,亦稱為「曝光步驟」),對所述抗蝕劑膜進行曝光;以及 步驟(3)(以下,亦稱為「顯影步驟」),對經曝光的所述抗蝕劑膜進行顯影。 <Pattern formation method> A pattern forming method according to an embodiment of the present invention includes: Step (1) (hereinafter, also referred to as "resist film forming step"), directly or indirectly coating the radiation-sensitive resin composition on the substrate to form a resist film; step (2) (hereinafter, also referred to as "exposure step"), exposing the resist film; and In step (3) (hereinafter, also referred to as "development step"), the exposed resist film is developed.

根據所述抗蝕劑圖案形成方法,由於使用曝光步驟中的感度或CDU性能、LWR性能優異的所述感放射線性樹脂組成物,故可形成高品質的抗蝕劑圖案。以下,對各步驟進行說明。According to the resist pattern forming method, since the radiation-sensitive resin composition excellent in sensitivity, CDU performance, and LWR performance in the exposure step is used, a high-quality resist pattern can be formed. Hereinafter, each step will be described.

[抗蝕劑膜形成步驟] 於本步驟(所述步驟(1))中,利用所述感放射線性樹脂組成物來形成抗蝕劑膜。作為形成該抗蝕劑膜的基板,例如可列舉:矽晶圓、二氧化矽、經鋁包覆的晶圓等先前公知者等。另外,亦可將例如日本專利特公平6-12452號公報或日本專利特開昭59-93448號公報等中所揭示的有機系或無機系的抗反射膜形成於基板上。作為塗佈方法,例如可列舉:旋轉塗佈(旋塗)、流延塗佈、輥塗佈等。亦可於塗佈後,視需要進行預烘烤(prebake,PB)以使塗膜中的溶劑揮發。作為PB溫度,通常為60℃~140℃,較佳為80℃~120℃。作為PB時間,通常為5秒~600秒,較佳為10秒~300秒。作為所形成的抗蝕劑膜的膜厚,較佳為10 nm~1,000 nm,更佳為10 nm~500 nm。 [Resist film formation step] In this step (the step (1)), a resist film is formed using the radiation-sensitive resin composition. As a substrate on which the resist film is formed, for example, conventionally known ones such as silicon wafers, silicon dioxide, and aluminum-coated wafers can be mentioned. In addition, an organic or inorganic antireflection film disclosed in, for example, Japanese Patent Laid-Open No. 6-12452, Japanese Patent Laid-Open No. 59-93448, etc. may be formed on the substrate. As a coating method, spin coating (spin coating), casting coating, roll coating, etc. are mentioned, for example. After coating, prebake (PB) may be performed as necessary to volatilize the solvent in the coating film. The PB temperature is usually 60°C to 140°C, preferably 80°C to 120°C. The PB time is usually 5 seconds to 600 seconds, preferably 10 seconds to 300 seconds. The thickness of the resist film to be formed is preferably 10 nm to 1,000 nm, and more preferably 10 nm to 500 nm.

於進行液浸曝光的情況下,不管所述感放射線性樹脂組成物中的所述高氟含量樹脂等的撥水性聚合體添加劑的有無,出於避免液浸液與抗蝕劑膜的直接接觸的目的,亦可於所述形成的抗蝕劑膜上設置對液浸液而言為不溶性的液浸用保護膜。作為液浸用保護膜,亦可使用顯影步驟之前利用溶劑而剝離的溶劑剝離型保護膜(例如,參照日本專利特開2006-227632號公報)、與顯影步驟的顯影同時剝離的顯影液剝離型保護膜(例如,參照WO2005-069076號公報、WO2006-035790號公報)的任一種。其中,就產量的觀點而言,較佳為使用顯影液剝離型液浸用保護膜。In the case of liquid immersion exposure, regardless of the presence or absence of water-repellent polymer additives such as the high fluorine content resin in the radiation-sensitive resin composition, in order to avoid direct contact between the liquid immersion liquid and the resist film For the purpose of immersion, a liquid immersion protective film which is insoluble to the liquid immersion liquid may be provided on the formed resist film. As the protective film for liquid immersion, a solvent peeling type protective film that is peeled off with a solvent before the development step (for example, refer to Japanese Patent Laid-Open No. 2006-227632), and a developer peeling type that is peeled off simultaneously with the development of the developing step can also be used Any of the protective films (for example, refer to WO2005-069076 A and WO2006-035790). Among them, from the viewpoint of yield, it is preferable to use a developing solution peeling-type liquid immersion protective film.

另外,於利用波長50 nm以下的放射線進行作為下一步驟的曝光步驟的情況下,較佳為使用具有所述結構單元(I)及結構單元(IV)的樹脂作為所述組成物中的基礎樹脂。In addition, when performing the exposure step as the next step with radiation having a wavelength of 50 nm or less, it is preferable to use a resin having the structural unit (I) and the structural unit (IV) as a base in the composition resin.

[曝光步驟] 於本步驟(所述步驟(2))中,介隔光罩(視情況經由水等液浸介質)對所述步驟(1)即抗蝕劑膜形成步驟中形成的抗蝕劑膜照射放射線來進行曝光。作為用於曝光的放射線,根據目標圖案的線寬,例如可列舉:可見光線、紫外線、遠紫外線、極紫外線(EUV)、X射線、γ射線等電磁波;電子束、α射線等帶電粒子束等。該些中,較佳為遠紫外線、電子束、EUV,更佳為ArF準分子雷射光(波長193 nm)、KrF準分子雷射光(波長248 nm)、電子束、EUV,進而佳為定位為下一代曝光技術的波長50 nm以下的電子束、EUV。 [Exposure step] In this step (the step (2)), the resist film formed in the step (1), that is, the resist film forming step, is irradiated with radiation through a photomask (via a liquid immersion medium such as water as appropriate) to expose. Examples of radiation used for exposure include electromagnetic waves such as visible rays, ultraviolet rays, extreme ultraviolet rays, extreme ultraviolet rays (EUV), X rays, and γ rays, and charged particle beams such as electron beams and α rays, depending on the line width of the target pattern. . Among them, far-ultraviolet rays, electron beams, EUV are preferred, ArF excimer laser light (wavelength 193 nm), KrF excimer laser light (wavelength 248 nm), electron beams, EUV are more preferred, and further preferred are positioned as Electron beam and EUV with wavelengths below 50 nm for next-generation exposure technology.

於藉由液浸曝光來進行曝光的情況下,作為所使用的液浸液,例如可列舉水、氟系不活性液體等。液浸液較佳為對曝光波長為透明、且折射率的溫度係數儘可能小以將投影至膜上的光學像的變形抑制於最小限度般的液體,特別是於曝光光源為ArF準分子雷射光(波長193 nm)的情況下,於所述觀點的基礎上,就獲取的容易度、操作的容易度等方面而言,較佳為使用水。於使用水的情況下,亦可以稍許的比例添加使水的表面張力減少、且使界面活性力增大的添加劑。所述添加劑較佳為不將晶圓上的抗蝕劑膜溶解,並且對透鏡的下表面的光學塗層的影響可忽視。作為所使用的水,較佳為蒸餾水。When exposure is performed by liquid immersion exposure, as a liquid immersion liquid to be used, water, a fluorine-type inert liquid, etc. are mentioned, for example. The immersion liquid is preferably a liquid that is transparent to the exposure wavelength and has a temperature coefficient of refractive index as small as possible to minimize the distortion of the optical image projected on the film, especially when the exposure light source is an ArF excimer laser. In the case of irradiated light (wavelength of 193 nm), it is preferable to use water from the viewpoints of easiness of acquisition, easiness of handling, and the like. In the case of using water, an additive which reduces the surface tension of water and increases the interfacial active force may be added at a slight ratio. The additive preferably does not dissolve the resist film on the wafer and has a negligible effect on the optical coating on the lower surface of the lens. As the water to be used, distilled water is preferred.

較佳為於所述曝光後進行曝光後烘烤(post exposure bake,PEB),於抗蝕劑膜的經曝光的部分,利用藉由曝光而自感放射線性酸產生劑產生的酸來促進樹脂等所具有的酸解離性基的解離。藉由所述PEB,於曝光部與未曝光部產生對於顯影液的溶解性的差。作為PEB溫度,通常為50℃~180℃,較佳為80℃~130℃。作為PEB時間,通常為5秒~600秒,較佳為10秒~300秒。Preferably, a post exposure bake (PEB) is performed after the exposure, and in the exposed portion of the resist film, the acid generated by the self-inductive radiation acid generator by exposure is used to promote the resin Dissociation of acid dissociable groups, etc. Due to the PEB, the difference in solubility with respect to the developer occurs between the exposed portion and the unexposed portion. The PEB temperature is usually 50°C to 180°C, preferably 80°C to 130°C. The PEB time is usually 5 seconds to 600 seconds, preferably 10 seconds to 300 seconds.

[顯影步驟] 於本步驟(所述步驟(3))中,對所述步驟(2)即所述曝光步驟中經曝光的抗蝕劑膜進行顯影。藉此,可形成規定的抗蝕劑圖案。一般而言於顯影後利用水或醇等淋洗液進行清洗並加以乾燥。 [Development step] In this step (the step (3)), the resist film exposed in the step (2), that is, the exposure step, is developed. Thereby, a predetermined resist pattern can be formed. Generally, it wash|cleans with the rinse liquid, such as water or alcohol, after image development, and it is dried.

作為用於所述顯影的顯影液,於鹼顯影的情況下,例如可列舉溶解有氫氧化鈉、氫氧化鉀、碳酸鈉、矽酸鈉、偏矽酸鈉、氨水、乙基胺、正丙基胺、二乙基胺、二正丙基胺、三乙基胺、甲基二乙基胺、乙基二甲基胺、三乙醇胺、氫氧化四甲基銨(tetramethyl ammonium hydroxide,TMAH)、吡咯、哌啶、膽鹼、1,8-二氮雜雙環-[5.4.0]-7-十一烯、1,5-二氮雜雙環-[4.3.0]-5-壬烯等鹼性化合物的至少一種的鹼性水溶液等。該些顯影液中,較佳為TMAH水溶液,更佳為2.38質量%TMAH水溶液。As the developing solution used for the development, in the case of alkali development, for example, sodium hydroxide, potassium hydroxide, sodium carbonate, sodium silicate, sodium metasilicate, ammonia water, ethylamine, n-propyl amine, diethylamine, di-n-propylamine, triethylamine, methyldiethylamine, ethyldimethylamine, triethanolamine, tetramethyl ammonium hydroxide (TMAH), Bases such as pyrrole, piperidine, choline, 1,8-diazabicyclo-[5.4.0]-7-undecene, 1,5-diazabicyclo-[4.3.0]-5-nonene Alkaline aqueous solution of at least one kind of compound, etc. Among these developing solutions, a TMAH aqueous solution is preferable, and a 2.38 mass % TMAH aqueous solution is more preferable.

另外,於有機溶媒顯影的情況下,可列舉烴系溶媒、醚系溶媒、酯系溶媒、酮系溶媒、醇系溶媒等有機溶媒,或者含有有機溶媒的溶媒。作為所述有機溶媒,例如可列舉作為所述感放射線性樹脂組成物的溶劑而列舉的溶劑的一種或兩種以上等。該些中,較佳為醚系溶媒、酯系溶媒、酮系溶媒。作為醚系溶媒,較佳為二醇醚系溶媒,更佳為乙二醇單甲醚、丙二醇單甲醚。作為酯系溶媒,較佳為乙酸酯系溶媒,更佳為乙酸正丁酯、乙酸戊酯。作為酮系溶媒,較佳為鏈狀酮,更佳為2-庚酮。作為顯影液中的有機溶媒的含量,較佳為80質量%以上,更佳為90質量%以上,進而佳為95質量%以上,特佳為99質量%以上。作為顯影液中的有機溶媒以外的成分,例如可列舉水、矽油等。In addition, in the case of developing with an organic solvent, organic solvents such as hydrocarbon-based solvents, ether-based solvents, ester-based solvents, ketone-based solvents, and alcohol-based solvents, or solvents containing organic solvents are exemplified. As the organic solvent, for example, one or two or more of the solvents listed as the solvent of the radiation-sensitive resin composition may be mentioned. Among these, ether-based solvents, ester-based solvents, and ketone-based solvents are preferred. The ether-based solvent is preferably a glycol ether-based solvent, and more preferably ethylene glycol monomethyl ether and propylene glycol monomethyl ether. As the ester-based solvent, an acetate-based solvent is preferable, and n-butyl acetate and amyl acetate are more preferable. The ketone-based solvent is preferably a chain ketone, more preferably 2-heptanone. The content of the organic solvent in the developer is preferably 80% by mass or more, more preferably 90% by mass or more, still more preferably 95% by mass or more, and particularly preferably 99% by mass or more. As a component other than the organic solvent in a developer, water, a silicone oil, etc. are mentioned, for example.

如上所述,作為顯影液,可為鹼性顯影液、有機溶媒顯影液中的任一種,但較佳為所述顯影液含有有機溶媒,所獲得的圖案為負型圖案。As described above, the developer may be either an alkaline developer or an organic solvent developer, but it is preferable that the developer contains an organic solvent, and the obtained pattern is a negative pattern.

作為顯影方法,例如可列舉:使基板於充滿顯影液的槽中浸漬固定時間的方法(浸漬法);藉由利用表面張力使顯影液堆積至基板表面並靜止固定時間來進行顯影的方法(覆液(puddle)法);對基板表面噴霧顯影液的方法(噴霧法);一面以固定速度掃描顯影液塗覆噴嘴,一面朝以固定速度旋轉的基板上連續塗覆顯影液的方法(動態分配法)等。Examples of the developing method include: a method of immersing a substrate in a tank filled with a developing solution for a fixed period of time (dipping method); a method of developing by depositing a developing solution on the surface of the substrate by utilizing surface tension and standing still for a fixed period of time (overlay method) The puddle method); the method of spraying the developer on the surface of the substrate (spray method); the method of continuously applying the developer to the substrate rotating at a fixed speed while scanning the developer coating nozzle at a fixed speed (dynamic distribution method) etc.

<鎓鹽化合物(1)> 本發明的進而又一實施方式的鎓鹽化合物由下述式(1)表示。 [化26]

Figure 02_image038
(所述式(1)中, R f為氟原子或碳數1~10的一價氟化烴基。 R 1~R 3分別獨立地為氫原子或碳數1~20的一價烴基,或者表示R 1~R 3中的兩個相互結合並與該些所鍵結的碳原子一起構成的碳數3~20的環狀結構。 n為1~4的整數。於n為2以上的情況下,多個R 2及R 3彼此相同或不同, Z +為一價的感放射線性鎓陽離子)。 <Onium salt compound (1)> The onium salt compound of still another embodiment of the present invention is represented by the following formula (1). [Chemical 26]
Figure 02_image038
(In the above formula (1), R f is a fluorine atom or a monovalent fluorinated hydrocarbon group having 1 to 10 carbon atoms. R 1 to R 3 are each independently a hydrogen atom or a monovalent hydrocarbon group having 1 to 20 carbon atoms, or Represents a cyclic structure having 3 to 20 carbon atoms in which two of R 1 to R 3 are bonded to each other and together with these bonded carbon atoms. n is an integer of 1 to 4. When n is 2 or more In the following, a plurality of R 2 and R 3 are the same or different from each other, and Z + is a monovalent radioactive onium cation).

作為本實施方式的所述式(1)所表示的鎓鹽化合物,可較佳地使用所述感放射線性樹脂組成物中含有的鎓鹽化合物(1)。 [實施例] As the onium salt compound represented by the formula (1) of the present embodiment, the onium salt compound (1) contained in the radiation-sensitive resin composition can be preferably used. [Example]

以下,基於實施例對本發明進行具體說明,但本發明並不限定於該些實施例。以下示出各種物性值的測定方法。Hereinafter, the present invention will be specifically described based on examples, but the present invention is not limited to these examples. The measurement methods of various physical property values are shown below.

[重量平均分子量(Mw)以及數量平均分子量(Mn)] 聚合體的Mw及Mn藉由所述條件進行測定。另外,分散度(Mw/Mn)是根據Mw及Mn的測定結果來算出。 [Weight Average Molecular Weight (Mw) and Number Average Molecular Weight (Mn)] The Mw and Mn of the polymer were measured under the above-mentioned conditions. In addition, the degree of dispersion (Mw/Mn) was calculated from the measurement results of Mw and Mn.

[ 13C-核磁共振(nuclear magnetic resonance,NMR)分析] 樹脂的 13C-NMR分析使用核磁共振裝置(日本電子(股)的「JNM-Delta400」)進行。 [ 13 C-Nuclear Magnetic Resonance (NMR) Analysis] The 13 C-NMR analysis of the resin was carried out using a nuclear magnetic resonance apparatus (“JNM-Delta400” from Japan Electron Co., Ltd.).

<樹脂及高氟含量樹脂的合成> 以下示出各實施例及各比較例中的各樹脂及高氟含量樹脂的合成中使用的單量體。再者,於以下的合成例中,只要無特別說明,則質量份是指將所使用的單量體的合計質量設為100質量份時的值,莫耳%是指將所使用的單量體的合計莫耳數設為100莫耳%時的值。 <Synthesis of resin and resin with high fluorine content> The monomers used for the synthesis of each resin and high fluorine content resin in each Example and each Comparative Example are shown below. In addition, in the following synthesis examples, unless otherwise specified, the parts by mass refer to the value when the total mass of the monomers used is 100 parts by mass, and the mole % refers to the amount of the monomers used. The total number of moles of the body is set to the value when 100 mole%.

[化27]

Figure 02_image039
[Chemical 27]
Figure 02_image039

[合成例1] (樹脂(A-1)的合成) 將單量體(M-1)、單量體(M-2)及單量體(M-10)以莫耳比率為40/15/45(莫耳%)的方式溶解於2-丁酮(200質量份)中,添加作為起始劑的偶氮雙異丁腈(AIBN)(相對於所使用的單量體的合計100莫耳%而為3莫耳%)來製備單量體溶液。於反應容器中放入2-丁酮(100質量份),氮氣沖洗30分鐘後,將反應容器內設為80℃,攪拌的同時花費3小時滴加所述單量體溶液。將滴加開始設為聚合反應的開始時間,實施6小時聚合反應。聚合反應結束後,對聚合溶液進行水冷並冷卻至30℃以下。將經冷卻的聚合溶液投入至甲醇(2,000質量份)中,並對所析出的白色粉末進行過濾分離。利用甲醇對經過濾分離的白色粉末進行兩次清洗後,加以過濾分離,於50℃下乾燥24小時而獲得白色粉末狀的聚合體(A-1)(產率:80%)。樹脂(A-1)的Mw為8,700,Mw/Mn為1.49。另外, 13C-NMR分析的結果,源自(M-1)、(M-2)及(M-10)的各結構單元的含有比例分別為39.9莫耳%、14.3莫耳%及45.8莫耳%。 [Synthesis Example 1] (Synthesis of Resin (A-1)) Monomer (M-1), Monomer (M-2), and Monomer (M-10) were molar ratio of 40/15 2-butanone (200 parts by mass) was dissolved in 2-butanone (200 parts by mass) so as to be /45 (mol %), and azobisisobutyronitrile (AIBN) was added as a starting agent (100 mol per total of the monomers used). % instead of 3 mol%) to prepare a single volume solution. 2-Butanone (100 parts by mass) was placed in the reaction container, and after 30 minutes of nitrogen flushing, the inside of the reaction container was set to 80° C., and the monomer solution was added dropwise over 3 hours while stirring. The start of dropwise addition was set as the start time of the polymerization reaction, and the polymerization reaction was carried out for 6 hours. After the completion of the polymerization reaction, the polymerization solution was cooled to 30°C or lower by water-cooling. The cooled polymerization solution was put into methanol (2,000 parts by mass), and the precipitated white powder was separated by filtration. After the white powder separated by filtration was washed twice with methanol, it was separated by filtration, and dried at 50° C. for 24 hours to obtain a white powdery polymer (A-1) (yield: 80%). Resin (A-1) had Mw of 8,700 and Mw/Mn of 1.49. In addition, as a result of 13 C-NMR analysis, the content ratios of the respective structural units derived from (M-1), (M-2) and (M-10) were 39.9 mol %, 14.3 mol % and 45.8 mol %, respectively. Ear%.

[合成例2~合成例11] (樹脂(A-2)~樹脂(A-11)的合成) 使用下述表1所示的種類及調配比例的單量體,除此以外與合成例1同樣地合成樹脂(A-2)~樹脂(A-11)。將所獲得的樹脂的各結構單元的含有比例(莫耳%)、產率(%)及物性值(Mw及Mw/Mn)一併示於下述表1中。再者,下述表1中的「-」表示未使用相應的單量體(關於以後的表亦相同)。 [Synthesis Example 2 to Synthesis Example 11] (Synthesis of resin (A-2) to resin (A-11)) Resin (A-2) to resin (A-11) were synthesized in the same manner as in Synthesis Example 1, except that the monomers of the types and compounding ratios shown in the following Table 1 were used. The content ratio (mol %), yield (%), and physical property values (Mw and Mw/Mn) of each structural unit of the obtained resin are collectively shown in Table 1 below. In addition, "-" in the following Table 1 means that the corresponding monomer is not used (the same applies to the following tables).

[表1]    [A]樹脂 提供結構單元(I)的單量體 提供結構單元(II)的單量體 提供結構單元(III)的單量體 Mw Mw/Mn 種類 調配比例 (莫耳%) 結構單元含有比例 (莫耳%) 種類 調配比例 (莫耳%) 結構單元含有比例 (莫耳%) 種類 調配比例 (莫耳%) 結構單元含有比例 (莫耳%) 合成例1 A-1 M-1 40 39.9 M-10 45 45.8 - - - 8700 1.49 M-2 15 14.3 合成例2 A-2 M-1 30 31.4 M-15 60 60.6 - - - 9000 1.44 M-2 10 8.0 合成例3 A-3 M-1 30 31.9 M-11 60 61.7 - - - 8900 1.39 M-3 10 6.4 合成例4 A-4 M-1 35 32.3 M-13 45 49.6 - - - 8500 1.59 M-3 20 18.1 合成例5 A-5 M-1 40 41.1 M-9 45 45.7 - - - 8700 1.44 M-4 15 13.2 合成例6 A-6 M-1 40 41.6 M-8 45 46.1 - - - 7700 1.51 M-4 15 12.3 合成例7 A-7 M-1 40 42.4 M-7 45 39.5 M-12 15 18.1 7800 1.59 合成例8 A-8 M-1 40 41.1 M-6 40 35.7 M-16 20 23.2 8100 1.56 合成例9 A-9 M-1 50 51.0 M-5 50 49.0 - - - 7800 1.55 合成例10 A-10 M-1 40 44.4 M-13 60 55.6 - - - 7900 1.59 合成例11 A-11 M-1 40 42.8 M-6 60 57.2 - - - 8000 1.43 [Table 1] [A] Resin Monomers that provide structural units (I) Monomers that provide structural unit (II) Monomers that provide structural unit (III) Mw Mw/Mn type Allocation ratio (mol%) Structural unit content (mol%) type Allocation ratio (mol%) Structural unit content (mol%) type Allocation ratio (mol%) Structural unit content (mol%) Synthesis Example 1 A-1 M-1 40 39.9 M-10 45 45.8 - - - 8700 1.49 M-2 15 14.3 Synthesis Example 2 A-2 M-1 30 31.4 M-15 60 60.6 - - - 9000 1.44 M-2 10 8.0 Synthesis Example 3 A-3 M-1 30 31.9 M-11 60 61.7 - - - 8900 1.39 M-3 10 6.4 Synthesis Example 4 A-4 M-1 35 32.3 M-13 45 49.6 - - - 8500 1.59 M-3 20 18.1 Synthesis Example 5 A-5 M-1 40 41.1 M-9 45 45.7 - - - 8700 1.44 M-4 15 13.2 Synthesis Example 6 A-6 M-1 40 41.6 M-8 45 46.1 - - - 7700 1.51 M-4 15 12.3 Synthesis Example 7 A-7 M-1 40 42.4 M-7 45 39.5 M-12 15 18.1 7800 1.59 Synthesis Example 8 A-8 M-1 40 41.1 M-6 40 35.7 M-16 20 23.2 8100 1.56 Synthesis Example 9 A-9 M-1 50 51.0 M-5 50 49.0 - - - 7800 1.55 Synthesis Example 10 A-10 M-1 40 44.4 M-13 60 55.6 - - - 7900 1.59 Synthesis Example 11 A-11 M-1 40 42.8 M-6 60 57.2 - - - 8000 1.43

[合成例12] (樹脂(A-12)的合成) 將單量體(M-1)及單量體(M-18)以莫耳比率為50/50(莫耳%)的方式溶解於1-甲氧基-2-丙醇(200質量份)中,添加作為起始劑的AIBN(5莫耳%)來製備單量體溶液。於反應容器中放入1-甲氧基-2-丙醇(100質量份),氮氣沖洗30分鐘後,將反應容器內設為80℃,攪拌的同時花費3小時滴加所述單量體溶液。將滴加開始設為聚合反應的開始時間,實施6小時聚合反應。聚合反應結束後,對聚合溶液進行水冷並冷卻至30℃以下。將經冷卻的聚合溶液投入至己烷(2,000質量份)中,並對所析出的白色粉末進行過濾分離。利用己烷對經過濾分離的白色粉末進行兩次清洗後,加以過濾分離,並溶解於1-甲氧基-2-丙醇(300質量份)中。繼而,加入甲醇(500質量份)、三乙基胺(50質量份)及超純水(10質量份),於攪拌的同時於70℃下實施6小時水解反應。於反應結束後,將殘留溶媒蒸餾去除,將所獲得的固體溶解於丙酮(100質量份)中,並滴加至水(500質量份)中而使樹脂凝固。過濾分離所獲得的固體,並於50℃下乾燥13小時而獲得白色粉末狀的樹脂(A-12)(產率:79%)。樹脂(A-12)的Mw為5,200,Mw/Mn為1.60。另外, 13C-NMR分析的結果為源自(M-1)及(M-18)的各結構單元的含有比例分別為51.3莫耳%及48.7莫耳%。 [Synthesis Example 12] (Synthesis of Resin (A-12)) Monomer (M-1) and Monomer (M-18) were dissolved in a molar ratio of 50/50 (mol %). To 1-methoxy-2-propanol (200 parts by mass), AIBN (5 mol %) as a starting agent was added to prepare a single-body solution. 1-Methoxy-2-propanol (100 parts by mass) was placed in the reaction vessel, and after 30 minutes of nitrogen flushing, the inside of the reaction vessel was set to 80°C, and the monomer was added dropwise over 3 hours while stirring. solution. The start of dropwise addition was set as the start time of the polymerization reaction, and the polymerization reaction was carried out for 6 hours. After the completion of the polymerization reaction, the polymerization solution was cooled to 30°C or lower by water-cooling. The cooled polymerization solution was put into hexane (2,000 parts by mass), and the precipitated white powder was separated by filtration. After the white powder separated by filtration was washed twice with hexane, it was separated by filtration and dissolved in 1-methoxy-2-propanol (300 parts by mass). Next, methanol (500 parts by mass), triethylamine (50 parts by mass), and ultrapure water (10 parts by mass) were added, and a hydrolysis reaction was performed at 70° C. for 6 hours while stirring. After the completion of the reaction, the residual solvent was distilled off, the obtained solid was dissolved in acetone (100 parts by mass), and added dropwise to water (500 parts by mass) to solidify the resin. The obtained solid was separated by filtration, and dried at 50° C. for 13 hours to obtain a white powdery resin (A-12) (yield: 79%). Resin (A-12) had Mw of 5,200 and Mw/Mn of 1.60. In addition, as a result of 13 C-NMR analysis, the content ratios of the respective structural units derived from (M-1) and (M-18) were 51.3 mol % and 48.7 mol %, respectively.

[合成例13~合成例15] (樹脂(A-13)~樹脂(A-15)的合成) 使用下述表2所示的種類及調配比例的單量體,除此以外與合成例12同樣地合成樹脂(A-13)~樹脂(A-15)。將所獲得的樹脂的各結構單元的含有比例(莫耳%)、產率(%)及物性值(Mw及Mw/Mn)一併示於下述表2中。 [Synthesis Example 13 to Synthesis Example 15] (Synthesis of resin (A-13) to resin (A-15)) Resin (A-13) to resin (A-15) were synthesized in the same manner as in Synthesis Example 12, except that the monomers of the types and compounding ratios shown in the following Table 2 were used. The content ratio (mol %), yield (%), and physical property values (Mw and Mw/Mn) of each structural unit of the obtained resin are collectively shown in Table 2 below.

[表2]    [A]樹脂 提供結構單元(I)的單量體 提供結構單元(III)的單量體 提供結構單元(IV)的單量體 Mw Mw/Mn 種類 調配比例 (莫耳%) 結構單元含有比例 (莫耳%) 種類 調配比例 (莫耳%) 結構單元含有比例 (莫耳%) 種類 調配比例 (莫耳%) 結構單元含有比例 (莫耳%) 合成例12 A-12 M-1 50 51.3 - - - M-18 50 48.7 5200 1.60 合成例13 A-13 M-3 50 47.7 M-16 20 20.1 M-19 30 32.2 5800 1.51 合成例14 A-14 M-2 50 48.1 M-17 20 21.3 M-18 30 30.6 5100 1.59 合成例15 A-15 M-1 55 54.3 M-17 15 15.6 M-19 30 30.1 6200 1.53 [Table 2] [A] Resin Monomers that provide structural units (I) Monomers that provide structural unit (III) Monomers that provide building blocks (IV) Mw Mw/Mn type Allocation ratio (mol%) Structural unit content (mol%) type Allocation ratio (mol%) Structural unit content (mol%) type Allocation ratio (mol%) Structural unit content (mol%) Synthesis Example 12 A-12 M-1 50 51.3 - - - M-18 50 48.7 5200 1.60 Synthesis Example 13 A-13 M-3 50 47.7 M-16 20 20.1 M-19 30 32.2 5800 1.51 Synthesis Example 14 A-14 M-2 50 48.1 M-17 20 21.3 M-18 30 30.6 5100 1.59 Synthesis Example 15 A-15 M-1 55 54.3 M-17 15 15.6 M-19 30 30.1 6200 1.53

[合成例16] (高氟含量樹脂(E-1)的合成) 將單量體(M-1)及單量體(M-20)以莫耳比率為20/80(莫耳%)的方式溶解於2-丁酮(200質量份)中,添加作為起始劑的AIBN(4莫耳%)來製備單量體溶液。於反應容器中放入2-丁酮(100質量份),氮氣沖洗30分鐘後,將反應容器內設為80℃,攪拌的同時花費3小時滴加所述單量體溶液。將滴加開始設為聚合反應的開始時間,實施6小時聚合反應。聚合反應結束後,對聚合溶液進行水冷並冷卻至30℃以下。於將溶媒置換成乙腈(400質量份)後,加入己烷(100質量份)進行攪拌並回收乙腈層,將所述作業重覆三次。藉由將溶媒置換成丙二醇單甲醚乙酸酯,獲得高氟含量樹脂(E-1)的溶液(產率:69%)。高氟含量樹脂(E-1)的Mw為6,000,Mw/Mn為1.62。並且 13C-NMR分析的結果,源自(M-1)及(M-20)的各結構單元的含有比例分別為19.9莫耳%及80.1莫耳%。 [Synthesis Example 16] (Synthesis of High Fluorine Content Resin (E-1)) Monomer (M-1) and Monomer (M-20) were prepared in a molar ratio of 20/80 (mol %). The solution was dissolved in 2-butanone (200 parts by mass), and AIBN (4 mol %) was added as a starting agent to prepare a single-body solution. 2-Butanone (100 parts by mass) was placed in the reaction container, and after 30 minutes of nitrogen flushing, the inside of the reaction container was set to 80° C., and the monomer solution was added dropwise over 3 hours while stirring. The start of dropwise addition was set as the start time of the polymerization reaction, and the polymerization reaction was carried out for 6 hours. After the completion of the polymerization reaction, the polymerization solution was cooled to 30°C or lower by water-cooling. After replacing the solvent with acetonitrile (400 parts by mass), hexane (100 parts by mass) was added and stirred, and the acetonitrile layer was recovered, and the operation was repeated three times. By replacing the solvent with propylene glycol monomethyl ether acetate, a solution (yield: 69%) of the high fluorine content resin (E-1) was obtained. The high fluorine content resin (E-1) had Mw of 6,000 and Mw/Mn of 1.62. Furthermore, as a result of 13 C-NMR analysis, the content ratios of the respective structural units derived from (M-1) and (M-20) were 19.9 mol % and 80.1 mol %, respectively.

[合成例17~合成例20] (高氟含量樹脂(E-2)~高氟含量樹脂(E-5)的合成) 使用下述表3所示的種類及調配比例的單量體,除此以外與合成例16同樣地合成高氟含量樹脂(E-2)~高氟含量樹脂(E-5)。將所獲得的高氟含量樹脂的各結構單元的含有比例(莫耳%)、產率(%)及物性值(Mw及Mw/Mn)一併示於下述表3中。 [Synthesis Example 17 to Synthesis Example 20] (Synthesis of high fluorine content resin (E-2) to high fluorine content resin (E-5)) The high fluorine content resin (E-2) to the high fluorine content resin (E-5) were synthesized in the same manner as in Synthesis Example 16, except that the monomers of the types and compounding ratios shown in the following Table 3 were used. The content ratio (mol %), yield (%), and physical property values (Mw and Mw/Mn) of each structural unit of the obtained high-fluorine-content resin are collectively shown in Table 3 below.

[表3]    [E]高氟含量樹脂    提供結構單元(V)、(VI)的量單體 提供結構單元(I)的單量體 提供結構單元(III)的單量體 提供其他結構單元的單量體 Mw Mw/Mn 種類 調配比例 (莫耳%) 結構單元含有比例 (莫耳%) 種類 調配比例 (莫耳%) 結構單元含有比例 (莫耳%) 種類 調配比例 (莫耳%) 結構單元含有比例 (莫耳%) 種類 調配比例 (莫耳%) 結構單元含有比例 (莫耳%) 合成例16 E-1 M-20 80 80.1 M-1 20 19.9 - - - - - - 6000 1.62 合成例17 E-2 M-22 80 81.9 M-1 20 18.1 - - - - - - 7200 1.77 合成例18 E-3 M-14 60 62.3 - - - - - - M-21 40 38.7 6300 1.82 合成例19 E-4 M-14 70 68.7 - - - M-12 30 31.3 - - - 6500 1.81 合成例20 E-5 M-14 70 72.3 - - - M-17 30 27.7 - - - 6200 1.78 [table 3] [E] High fluorine content resin Provide the amount of structural units (V), (VI) monomers Monomers that provide structural units (I) Monomers that provide structural unit (III) Monomers that provide other building blocks Mw Mw/Mn type Allocation ratio (mol%) Structural unit content (mol%) type Allocation ratio (mol%) Structural unit content (mol%) type Allocation ratio (mol%) Structural unit content (mol%) type Allocation ratio (mol%) Structural unit content (mol%) Synthesis Example 16 E-1 M-20 80 80.1 M-1 20 19.9 - - - - - - 6000 1.62 Synthesis Example 17 E-2 M-22 80 81.9 M-1 20 18.1 - - - - - - 7200 1.77 Synthesis Example 18 E-3 M-14 60 62.3 - - - - - - M-21 40 38.7 6300 1.82 Synthesis Example 19 E-4 M-14 70 68.7 - - - M-12 30 31.3 - - - 6500 1.81 Synthesis Example 20 E-5 M-14 70 72.3 - - - M-17 30 27.7 - - - 6200 1.78

<酸擴散控制劑C的合成> [實施例1] (化合物(C-1)的合成) 按照以下的合成流程合成化合物(C-1)。 <Synthesis of Acid Diffusion Controlling Agent C> [Example 1] (Synthesis of Compound (C-1)) Compound (C-1) was synthesized according to the following synthesis scheme.

[化28]

Figure 02_image041
[Chemical 28]
Figure 02_image041

在反應容器中加入20.0 mmol的五氟丙醯基乙酸乙酯、24.0 mmol的硼氫化鈉、及甲苯,製成0.5 M溶液後,在室溫下反應3小時。然後,加入飽和氯化銨水溶液使反應停止後,加入乙酸乙酯進行萃取,分離有機層。利用飽和氯化鈉水溶液、繼而利用水對所獲得的有機層進行清洗。利用硫酸鈉進行乾燥後,將溶媒蒸餾去除,並利用管柱層析法進行精製,藉此以良好的產率獲得β-羥基酯體。20.0 mmol of ethyl pentafluoropropionyl acetate, 24.0 mmol of sodium borohydride, and toluene were added to the reaction vessel to prepare a 0.5 M solution, and the reaction was carried out at room temperature for 3 hours. Then, after adding a saturated aqueous ammonium chloride solution to stop the reaction, ethyl acetate was added for extraction, and the organic layer was separated. The obtained organic layer was washed with a saturated aqueous sodium chloride solution and then with water. After drying with sodium sulfate, the solvent was distilled off, and the β-hydroxyester body was obtained in good yield by purification by column chromatography.

向所述β-羥基酯體20.0 mmol加入乙腈:水(1:1(質量比))的混合液製成1M溶液後,加入氫氧化鋰24.0 mmol,在室溫下反應3小時,獲得羧酸鋰鹽。To 20.0 mmol of the β-hydroxyester body, a mixture of acetonitrile: water (1:1 (mass ratio)) was added to make a 1M solution, then 24.0 mmol of lithium hydroxide was added, and the reaction was carried out at room temperature for 3 hours to obtain a carboxylic acid Lithium salt.

向20.0 mmol所述羧酸鋰鹽中加入20.0 mmol氯化三苯基鋶,且加入水:二氯甲烷(1:1(質量比))的混合液,製成0.5 M溶液。於室溫下激烈攪拌3小時後,加入二氯甲烷來進行萃取,並分離有機層。利用硫酸鈉對所獲得的有機層進行乾燥後,將溶媒蒸餾去除,藉此獲得由所述式(C-1)表示的化合物(C-1)。To 20.0 mmol of the lithium carboxylate salt, 20.0 mmol of triphenyl perionium chloride was added, and a mixed solution of water:dichloromethane (1:1 (mass ratio)) was added to prepare a 0.5 M solution. After vigorous stirring at room temperature for 3 hours, dichloromethane was added for extraction, and the organic layer was separated. After drying the obtained organic layer with sodium sulfate, the solvent was distilled off, and the compound (C-1) represented by the said formula (C-1) was obtained.

[實施例2~實施例10] (化合物(C-2)~化合物(C-10)的合成) 適宜變更原料及前驅物,除此以外與合成例同樣地合成下述式(C-2)~式(C-10)所表示的感放射線性酸擴散控制劑。 [Example 2 to Example 10] (Synthesis of Compound (C-2) to Compound (C-10)) The radiation-sensitive acid diffusion control agents represented by the following formulae (C-2) to (C-10) were synthesized in the same manner as in the synthesis example, except that the raw materials and precursors were appropriately changed.

[化29]

Figure 02_image043
[Chemical 29]
Figure 02_image043

[實施例11] (化合物(C-11)的合成) 按照以下的合成流程合成化合物(C-11)。 [Example 11] (Synthesis of Compound (C-11)) Compound (C-11) was synthesized according to the following synthetic scheme.

[化30]

Figure 02_image045
[Chemical 30]
Figure 02_image045

向反應容器中加入20.0 mmol五氟丙醯基乙酸乙酯、及THF,製成0.5M溶液後冷卻至-20℃,滴加20.0 mmol甲基溴化鎂的THF溶液,使其反應3小時。然後,加入飽和氯化銨水溶液使反應停止後,加入乙酸乙酯進行萃取,分離有機層。利用飽和氯化鈉水溶液、繼而利用水對所獲得的有機層進行清洗。利用硫酸鈉進行乾燥後,將溶媒蒸餾去除,並利用管柱層析法進行精製,藉此以良好的產率獲得β-羥基酯體。20.0 mmol of ethyl pentafluoropropionyl acetate and THF were added to the reaction vessel to prepare a 0.5 M solution, and then cooled to -20°C, and a THF solution of 20.0 mmol of methylmagnesium bromide was added dropwise, and the reaction was carried out for 3 hours. Then, after adding a saturated aqueous ammonium chloride solution to stop the reaction, ethyl acetate was added for extraction, and the organic layer was separated. The obtained organic layer was washed with a saturated aqueous sodium chloride solution and then with water. After drying with sodium sulfate, the solvent was distilled off, and the β-hydroxyester body was obtained in good yield by purification by column chromatography.

向所述β-羥基酯體20.0 mmol加入乙腈:水(1:1(質量比))的混合液製成1M溶液後,加入氫氧化鋰20.0 mmol,在室溫下反應3小時,獲得羧酸鋰鹽。To 20.0 mmol of the β-hydroxyester body, a mixture of acetonitrile: water (1:1 (mass ratio)) was added to make a 1M solution, then 20.0 mmol of lithium hydroxide was added, and the reaction was carried out at room temperature for 3 hours to obtain a carboxylic acid Lithium salt.

向20.0 mmol所述羧酸鋰鹽中加入20.0 mmol氯化三苯基鋶,且加入水:二氯甲烷(1:1(質量比))的混合液,製成0.5 M溶液。於室溫下激烈攪拌3小時後,加入二氯甲烷來進行萃取,並分離有機層。利用硫酸鈉對所獲得的有機層進行乾燥後,將溶媒蒸餾去除,藉此獲得由所述式(C-11)表示的化合物(C-11)。To 20.0 mmol of the lithium carboxylate salt, 20.0 mmol of triphenyl perionium chloride was added, and a mixed solution of water:dichloromethane (1:1 (mass ratio)) was added to prepare a 0.5 M solution. After vigorous stirring at room temperature for 3 hours, dichloromethane was added for extraction, and the organic layer was separated. After drying the obtained organic layer with sodium sulfate, the solvent was distilled off, and the compound (C-11) represented by the said formula (C-11) was obtained.

[實施例12~實施例13] (化合物(C-12)~化合物(C-13)的合成) 適宜變更原料及前驅物,除此以外與合成例同樣地合成下述式(C-12)~式(C-13)所表示的感放射線性酸擴散控制劑。 [Example 12 to Example 13] (Synthesis of Compound (C-12) to Compound (C-13)) The radiation-sensitive acid diffusion control agents represented by the following formulae (C-12) to (C-13) were synthesized in the same manner as in the synthesis example, except that the raw materials and precursors were appropriately changed.

[化31]

Figure 02_image047
[Chemical 31]
Figure 02_image047

[化合物(C-1)~化合物(C-13)以外的感放射線性酸擴散控制劑] cc-1~cc-9:下述式(cc-1)~式(cc-9)所表示的化合物(以下,有時將式(cc-1)~式(cc-9)所表示的化合物分別記載為「化合物(cc-1)」~「化合物(cc-9)」)。 [Radiation-sensitive acid diffusion control agents other than compounds (C-1) to (C-13)] cc-1 to cc-9: compounds represented by the following formulas (cc-1) to (cc-9) (hereinafter, compounds represented by formulas (cc-1) to (cc-9) may be referred to as They are respectively described as "compound (cc-1)" to "compound (cc-9)").

[化32]

Figure 02_image048
[Chemical 32]
Figure 02_image048

[[B]感放射線性酸產生劑] B-1~B-6:下述式(B-1)~式(B-6)所表示的化合物(以下,有時將式(B-1)~式(B-6)所表示的化合物分別記載為「化合物(B-1)」~「化合物(B-6)」)。 [[B]Radiation-sensitive acid generator] B-1 to B-6: compounds represented by the following formulae (B-1) to (B-6) (hereinafter, the compounds represented by the formulas (B-1) to (B-6) may be referred to as They are respectively described as "Compound (B-1)" to "Compound (B-6)").

[化33]

Figure 02_image050
[Chemical 33]
Figure 02_image050

[[D]溶劑] D-1:丙二醇單甲醚乙酸酯 D-2:環己酮 D-3:γ-丁內酯 D-4:乳酸乙酯 [[D]solvent] D-1: Propylene glycol monomethyl ether acetate D-2: cyclohexanone D-3: γ-Butyrolactone D-4: Ethyl lactate

[ArF曝光用負型感放射線性樹脂組成物的製備] [實施例14] 混合作為樹脂的(A-1)100質量份、作為感放射線性酸產生劑的(B-1)15.0質量份、作為酸擴散控制劑的(C-1)3.0質量份、作為高氟含量樹脂的(E-1)5.0質量份(固體成分)、以及作為溶劑的(D-1)/(D-2)/(D-3)=69/30/1(質量比)的混合溶劑3,230質量份,利用孔徑0.2 μm的膜濾器進行過濾,藉此製備感放射線性樹脂組成物(J-14)。 [Preparation of Negative Radiation Sensitive Resin Composition for ArF Exposure] [Example 14] 100 parts by mass of (A-1) as a resin, 15.0 parts by mass of (B-1) as a radiation-sensitive acid generator, 3.0 parts by mass of (C-1) as an acid diffusion control agent, and a resin with a high fluorine content were mixed (E-1) 5.0 parts by mass (solid content), and 3,230 mass of a mixed solvent of (D-1)/(D-2)/(D-3)=69/30/1 (mass ratio) as a solvent Parts were filtered through a membrane filter with a pore size of 0.2 μm to prepare a radiation-sensitive resin composition (J-14).

[實施例15~實施例54以及比較例1~比較例9] 使用下述表4所示的種類及含量的各成分,除此以外與實施例14同樣地製備感放射線性樹脂組成物(J-15)~感放射線性樹脂組成物(J-54)及感放射線性樹脂組成物(CJ-1)~感放射線性樹脂組成物(CJ-9)。 [Example 15 to Example 54 and Comparative Example 1 to Comparative Example 9] A radiation-sensitive resin composition (J-15) to a radiation-sensitive resin composition (J-54) and a radiation-sensitive resin composition (J-54) and a radiation-sensitive resin composition (J-54) and a radiation-sensitive resin composition were prepared in the same manner as in Example 14, except that each component of the type and content shown in the following Table 4 was used. Radiation resin composition (CJ-1) to radiation sensitive resin composition (CJ-9).

[表4]    感放射線性樹脂組成物 [A]樹脂 [B]感放射線性酸產生劑 [C]酸擴散控制劑 [E]高氟含量樹脂 [D]有機溶劑 種類 含量 (質量份) 種類 含量 (質量份) 種類 含量 (質量份) 種類 含量 (質量份) 種類 含量 (質量份) 實施例14 J-14 A-1 100 B-1 15.0 C-1 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 實施例15 J-15 A-1 100 B-1 15.0 C-2 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 實施例16 J-16 A-1 100 B-1 15.0 C-3 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 實施例17 J-17 A-1 100 B-1 15.0 C-4 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 實施例18 J-18 A-1 100 B-1 15.0 C-5 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 實施例19 J-19 A-1 100 B-1 15.0 C-6 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 實施例20 J-20 A-1 100 B-1 15.0 C-7 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 實施例21 J-21 A-1 100 B-1 15.0 C-8 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 實施例22 J-22 A-1 100 B-1 15.0 C-9 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 實施例23 J-23 A-1 100 B-1 15.0 C-10 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 實施例24 J-24 A-1 100 B-1 15.0 C-11 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 實施例25 J-25 A-1 100 B-1 15.0 C-12 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 實施例26 J-26 A-1 100 B-1 15.0 C-13 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 實施例27 J-27 A-2 100 B-1 15.0 C-1 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 實施例28 J-28 A-3 100 B-1 15.0 C-1 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 實施例29 J-29 A-4 100 B-1 15.0 C-1 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 實施例30 J-30 A-5 100 B-1 15.0 C-1 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 實施例31 J-31 A-6 100 B-1 15.0 C-1 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 實施例32 J-32 A-7 100 B-1 15.0 C-1 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 實施例33 J-33 A-8 100 B-1 15.0 C-1 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 實施例34 J-34 A-9 100 B-1 15.0 C-1 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 實施例35 J-35 A-10 100 B-1 15.0 C-1 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 實施例36 J-36 A-11 100 B-1 15.0 C-1 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 實施例37 J-37 A-1 100 B-2 15.0 C-1 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 實施例38 J-38 A-1 100 B-3 15.0 C-1 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 實施例39 J-39 A-1 100 B-4 15.0 C-1 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 實施例40 J-40 A-1 100 B-5 15.0 C-1 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 實施例41 J-41 A-1 100 B-6 15.0 C-1 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 實施例42 J-42 A-1 100 B-1 15.0 C-1 3.0 E-2 5.0 D-1/D-2/D-3 2240/960/30 實施例43 J-43 A-1 100 B-1 15.0 C-1 3.0 E-3 5.0 D-1/D-2/D-3 2240/960/30 實施例44 J-44 A-1 100 B-1 15.0 C-1 3.0 E-4 5.0 D-1/D-2/D-3 2240/960/30 實施例45 J-45 A-1 100 B-1 15.0 C-1 0.3 E-1 5.0 D-1/D-2/D-3 2240/960/30 實施例46 J-46 A-1 100 B-1 15.0 C-1 6.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 實施例47 J-47 A-1 100 B-1 15.0 C-1 12.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 實施例48 J-48 A-1 100 B-1 15.0 C-1/cc-l 1.5/1.5 E-1 5.0 D-1/D-2/D-3 2240/960/30 實施例49 J-49 A-1 100 B-1 15.0 C-l/cc-2 1.5/1.5 E-1 5.0 D-1/D-2/D-3 2240/960/30 實施例50 J-50 A-1 100 B-1 15.0 C-l/cc-3 1.5/1.5 E-1 5.0 D-1/D-2/D-3 2240/960/30 實施例51 J-51 A-1 100 B-1 15.0 C-l/cc-4 1.5/1.5 E-1 5.0 D-1/D-2/D-3 2240/960/30 實施例52 J-52 A-1 100 B-1/B-2 7.5/7.5 C-1 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 實施例53 J-53 A-1 100 B-1/B-5 7.5/7.5 C-1 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 實施例54 J-54 A-1 100 B-1/B-6 7.5/7.5 C-1 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 比較例1 CJ-1 A-1 100 B-1 15.0 cc-1 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 比較例2 CJ-2 A-1 100 B-1 15.0 cc-2 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 比較例3 CJ-3 A-1 100 B-1 15.0 cc-3 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 比較例4 CJ-4 A-1 100 B-1 15.0 cc-4 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 比較例5 CJ-5 A-1 100 B-1 15.0 cc-5 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 比較例6 CJ-6 A-1 100 B-1 15.0 cc-6 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 比較例7 CJ-7 A-1 100 B-1 15.0 cc-7 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 比較例8 CJ-8 A-1 100 B-1 15.0 cc-8 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 比較例9 CJ-9 A-1 100 B-1 15.0 cc-9 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 [Table 4] Radiation sensitive resin composition [A] Resin [B] Radiosensitive acid generator [C] Acid diffusion control agent [E] High fluorine content resin [D] Organic solvent type Content (mass parts) type Content (mass parts) type Content (mass parts) type Content (mass parts) type Content (mass parts) Example 14 J-14 A-1 100 B-1 15.0 C-1 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 Example 15 J-15 A-1 100 B-1 15.0 C-2 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 Example 16 J-16 A-1 100 B-1 15.0 C-3 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 Example 17 J-17 A-1 100 B-1 15.0 C-4 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 Example 18 J-18 A-1 100 B-1 15.0 C-5 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 Example 19 J-19 A-1 100 B-1 15.0 C-6 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 Example 20 J-20 A-1 100 B-1 15.0 C-7 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 Example 21 J-21 A-1 100 B-1 15.0 C-8 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 Example 22 J-22 A-1 100 B-1 15.0 C-9 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 Example 23 J-23 A-1 100 B-1 15.0 C-10 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 Example 24 J-24 A-1 100 B-1 15.0 C-11 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 Example 25 J-25 A-1 100 B-1 15.0 C-12 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 Example 26 J-26 A-1 100 B-1 15.0 C-13 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 Example 27 J-27 A-2 100 B-1 15.0 C-1 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 Example 28 J-28 A-3 100 B-1 15.0 C-1 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 Example 29 J-29 A-4 100 B-1 15.0 C-1 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 Example 30 J-30 A-5 100 B-1 15.0 C-1 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 Example 31 J-31 A-6 100 B-1 15.0 C-1 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 Example 32 J-32 A-7 100 B-1 15.0 C-1 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 Example 33 J-33 A-8 100 B-1 15.0 C-1 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 Example 34 J-34 A-9 100 B-1 15.0 C-1 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 Example 35 J-35 A-10 100 B-1 15.0 C-1 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 Example 36 J-36 A-11 100 B-1 15.0 C-1 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 Example 37 J-37 A-1 100 B-2 15.0 C-1 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 Example 38 J-38 A-1 100 B-3 15.0 C-1 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 Example 39 J-39 A-1 100 B-4 15.0 C-1 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 Example 40 J-40 A-1 100 B-5 15.0 C-1 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 Example 41 J-41 A-1 100 B-6 15.0 C-1 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 Example 42 J-42 A-1 100 B-1 15.0 C-1 3.0 E-2 5.0 D-1/D-2/D-3 2240/960/30 Example 43 J-43 A-1 100 B-1 15.0 C-1 3.0 E-3 5.0 D-1/D-2/D-3 2240/960/30 Example 44 J-44 A-1 100 B-1 15.0 C-1 3.0 E-4 5.0 D-1/D-2/D-3 2240/960/30 Example 45 J-45 A-1 100 B-1 15.0 C-1 0.3 E-1 5.0 D-1/D-2/D-3 2240/960/30 Example 46 J-46 A-1 100 B-1 15.0 C-1 6.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 Example 47 J-47 A-1 100 B-1 15.0 C-1 12.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 Example 48 J-48 A-1 100 B-1 15.0 C-1/cc-l 1.5/1.5 E-1 5.0 D-1/D-2/D-3 2240/960/30 Example 49 J-49 A-1 100 B-1 15.0 Cl/cc-2 1.5/1.5 E-1 5.0 D-1/D-2/D-3 2240/960/30 Example 50 J-50 A-1 100 B-1 15.0 Cl/cc-3 1.5/1.5 E-1 5.0 D-1/D-2/D-3 2240/960/30 Example 51 J-51 A-1 100 B-1 15.0 Cl/cc-4 1.5/1.5 E-1 5.0 D-1/D-2/D-3 2240/960/30 Example 52 J-52 A-1 100 B-1/B-2 7.5/7.5 C-1 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 Example 53 J-53 A-1 100 B-1/B-5 7.5/7.5 C-1 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 Example 54 J-54 A-1 100 B-1/B-6 7.5/7.5 C-1 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 Comparative Example 1 CJ-1 A-1 100 B-1 15.0 cc-1 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 Comparative Example 2 CJ-2 A-1 100 B-1 15.0 cc-2 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 Comparative Example 3 CJ-3 A-1 100 B-1 15.0 cc-3 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 Comparative Example 4 CJ-4 A-1 100 B-1 15.0 cc-4 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 Comparative Example 5 CJ-5 A-1 100 B-1 15.0 cc-5 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 Comparative Example 6 CJ-6 A-1 100 B-1 15.0 cc-6 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 Comparative Example 7 CJ-7 A-1 100 B-1 15.0 cc-7 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 Comparative Example 8 CJ-8 A-1 100 B-1 15.0 cc-8 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30 Comparative Example 9 CJ-9 A-1 100 B-1 15.0 cc-9 3.0 E-1 5.0 D-1/D-2/D-3 2240/960/30

<使用ArF曝光用負型感放射線性樹脂組成物的抗蝕劑圖案的形成> 使用旋塗機(東京電子(Tokyo Electron)(股)的「CLEAN TRACK ACT12」),將下層抗反射膜形成用組成物(布魯爾科技(Brewer Science)公司的「ARC66」)塗佈於12英吋的矽晶圓上後,於205℃下加熱60秒鐘,藉此形成平均厚度105 nm的下層抗反射膜。使用所述旋塗機將所述製備的ArF曝光用負型感放射線性樹脂組成物塗佈於所述下層抗反射膜上,並於90℃下進行60秒鐘預烘烤(PB)。其後,於23℃下冷卻30秒鐘,藉此形成平均厚度90 nm的抗蝕劑膜。其次,使用ArF準分子雷射液浸曝光裝置(ASML公司的「TWINSCAN XT-1900i」),以NA=1.35、環形(Annular)(σ=0.8/0.6)的光學條件,介隔40 nm空間、105 nm間距的遮罩圖案,對該抗蝕劑膜進行曝光。曝光後,於90℃下進行60秒鐘曝光後烘烤(PEB)。之後,使用乙酸正丁酯作為有機溶媒顯影液,對所述抗蝕劑膜進行有機溶媒顯影,並進行乾燥,藉此形成負型的抗蝕劑圖案(40 nm線與空間圖案)。另外,改變遮罩圖案,除此以外與所述操作同樣地形成負型的抗蝕劑圖案(40 nm孔、105 nm間距)。 <Formation of a resist pattern using a negative radiation-sensitive resin composition for ArF exposure> Using a spin coater (“CLEAN TRACK ACT12” from Tokyo Electron Co., Ltd.), a composition for forming a lower layer antireflection film (“ARC66” from Brewer Science Co., Ltd.) was applied on 12 After being deposited on a silicon wafer of 1.5 inches, it was heated at 205°C for 60 seconds to form a lower anti-reflection film with an average thickness of 105 nm. The prepared negative radiation-sensitive resin composition for ArF exposure was coated on the lower antireflection film using the spin coater, and prebaked (PB) at 90° C. for 60 seconds. Then, it cooled at 23 degreeC for 30 second, and formed the resist film with an average thickness of 90 nm. Next, an ArF excimer laser liquid immersion exposure device (“TWINSCAN XT-1900i” from ASML) was used under the optical conditions of NA=1.35, Annular (σ=0.8/0.6), separated by 40 nm space, The resist film was exposed to a mask pattern with a pitch of 105 nm. After exposure, a post-exposure bake (PEB) was performed at 90°C for 60 seconds. After that, using n-butyl acetate as an organic solvent developer, the resist film was developed with an organic solvent and dried to form a negative resist pattern (40 nm line and space pattern). In addition, the mask pattern was changed, and the negative resist pattern (40 nm hole, 105 nm pitch) was formed in the same manner as the above-mentioned operation.

<評價> 針對使用所述ArF曝光用負型感放射線性樹脂組成物所形成的抗蝕劑圖案,根據下述方法評價感度、LWR性能及CDU性能。將其結果示於下述表5。再者,對於抗蝕劑圖案的測長,使用掃描式電子顯微鏡(日立高新科技(Hitachi High-Technologies)(股)的「CG-5000」)。 <Evaluation> The sensitivity, LWR performance, and CDU performance of the resist pattern formed using the negative radiation-sensitive resin composition for ArF exposure were evaluated according to the following methods. The results are shown in Table 5 below. In addition, for the length measurement of the resist pattern, a scanning electron microscope (“CG-5000” of Hitachi High-Technologies Co., Ltd.) was used.

[感度] 於使用所述ArF曝光用負型感放射線性樹脂組成物的抗蝕劑圖案的形成中,將形成40 nm線與空間圖案的曝光量設為最佳曝光量,將該最佳曝光量設為感度(mJ/cm 2)。關於感度,將27 mJ/cm 2以下的情況評價為「良好」,將超過27 mJ/cm 2的情況評價為「不良」。 [Sensitivity] In the formation of the resist pattern using the negative radiation-sensitive resin composition for ArF exposure, the exposure amount for forming a 40 nm line and space pattern was set as the optimum exposure amount, and the optimum exposure amount was The amount is set to sensitivity (mJ/cm 2 ). Regarding the sensitivity, the case of 27 mJ/cm 2 or less was evaluated as "good", and the case of more than 27 mJ/cm 2 was evaluated as "poor".

[LWR性能] 照射所述感度的評價中求出的最佳曝光量,以形成40 nm線與空間圖案的方式調整遮罩尺寸,而形成抗蝕劑圖案。使用所述掃描式電子顯微鏡,自圖案上部觀察所形成的抗蝕劑圖案。測定合計500處的線寬的偏差,並根據其測定值的分佈來求出3西格瑪值,將所述3西格瑪值設為LWR(nm)。LWR的值越小,表示線的粗糙度越小而良好。關於LWR性能,將3.5 nm以下的情況評價為「良好」,將超過3.5 nm的情況評價為「不良」。 [LWR performance] The optimum exposure amount determined in the evaluation of the sensitivity was irradiated, and the mask size was adjusted so as to form a 40 nm line and space pattern to form a resist pattern. Using the scanning electron microscope, the formed resist pattern was observed from the upper part of the pattern. A total of 500 line width deviations were measured, and a 3-sigma value was obtained from the distribution of the measured values, and the 3-sigma value was defined as LWR (nm). The smaller the value of LWR, the smaller and better the line roughness is. Regarding the LWR performance, the case of 3.5 nm or less was evaluated as "good", and the case of more than 3.5 nm was evaluated as "poor".

[CDU性能] 使用所述掃描式電子顯微鏡,自圖案上部於任意的點對合計1,800個40 nm孔、105 nm間距的抗蝕劑圖案進行測長。求出尺寸的偏差(3σ),並將其設為CDU性能(nm)。CDU的值越小,表示長週期下的孔徑的偏差越小而良好。關於CDU性能,將4.5 nm以下的情況評價為「良好」,將超過4.5 nm的情況評價為「不良」。 [CDU performance] Using the scanning electron microscope, a total of 1,800 resist patterns with holes of 40 nm and a pitch of 105 nm were measured at arbitrary points from the top of the pattern. Variation in size (3σ) was obtained and set as CDU performance (nm). The smaller the value of CDU, the smaller the deviation of the pore diameter in the long period, and the better. Regarding CDU performance, the case of 4.5 nm or less was evaluated as "good", and the case of more than 4.5 nm was evaluated as "poor".

[表5]    感放射線性樹脂組成物 感度 (mJ/cm 2 LWR(nm) CDU(nm) 實施例14 J-14 26 3.2 4.1 實施例15 J-15 26 3.4 4.3 實施例16 J-16 22 2.7 4.3 實施例17 J-17 26 2.6 4.1 實施例18 J-18 25 3.5 3.6 實施例19 J-19 25 2.7 3.7 實施例20 J-20 25 3.4 4.2 實施例21 J-21 23 3.5 4.1 實施例22 J-22 22 3.3 4.0 實施例23 J-23 27 3.0 3.8 實施例24 J-24 21 3.0 4.5 實施例25 J-25 22 3.0 3.9 實施例26 J-26 26 2.9 4.3 實施例27 J-27 26 3.0 4.2 實施例28 J-28 21 2.7 4.3 實施例29 J-29 26 3.3 4.2 實施例30 J-30 22 2.7 4.4 實施例31 J-31 21 3.4 3.6 實施例32 J-32 25 3.3 3.5 實施例33 J-33 22 3.3 3.7 實施例34 J-34 27 3.1 3.9 實施例35 J-35 27 3.3 3.6 實施例36 J-36 24 2.9 4.4 實施例37 J-37 26 2.8 3.5 實施例38 J-38 21 3.0 3.8 實施例39 J-39 23 3.0 3.8 實施例40 J-40 26 3.3 4.5 實施例41 J-41 22 2.7 4.2 實施例42 J-42 21 3.0 3.6 實施例43 J-43 22 3.1 4.1 實施例44 J-44 25 2.8 4.4 實施例45 J-45 23 3.1 4.2 實施例46 J-46 25 3.3 4.4 實施例47 J-47 25 2.7 4.3 實施例48 J-48 22 3.2 3.7 實施例49 J-49 26 3.0 4.3 實施例50 J-50 25 2.5 3.8 實施例51 J-51 22 3.4 4.5 實施例52 J-52 23 3.0 4.5 實施例53 J-53 21 3.3 4.5 實施例54 J-54 24 2.6 4.3 比較例1 CJ-1 31 4.8 5.0 比較例2 CJ-2 30 5.0 4.9 比較例3 CJ-3 31 4.3 5.9 比較例4 CJ-4 29 3.5 4.8 比較例5 CJ-5 30 4.6 4.8 比較例6 CJ-6 33 3.6 5.8 比較例7 CJ-7 27 4.3 6.0 比較例8 CJ-8 29 3.8 5.1 比較例9 CJ-9 29 3.7 5.9 [table 5] Radiation sensitive resin composition Sensitivity (mJ/cm 2 ) LWR (nm) CDU (nm) Example 14 J-14 26 3.2 4.1 Example 15 J-15 26 3.4 4.3 Example 16 J-16 twenty two 2.7 4.3 Example 17 J-17 26 2.6 4.1 Example 18 J-18 25 3.5 3.6 Example 19 J-19 25 2.7 3.7 Example 20 J-20 25 3.4 4.2 Example 21 J-21 twenty three 3.5 4.1 Example 22 J-22 twenty two 3.3 4.0 Example 23 J-23 27 3.0 3.8 Example 24 J-24 twenty one 3.0 4.5 Example 25 J-25 twenty two 3.0 3.9 Example 26 J-26 26 2.9 4.3 Example 27 J-27 26 3.0 4.2 Example 28 J-28 twenty one 2.7 4.3 Example 29 J-29 26 3.3 4.2 Example 30 J-30 twenty two 2.7 4.4 Example 31 J-31 twenty one 3.4 3.6 Example 32 J-32 25 3.3 3.5 Example 33 J-33 twenty two 3.3 3.7 Example 34 J-34 27 3.1 3.9 Example 35 J-35 27 3.3 3.6 Example 36 J-36 twenty four 2.9 4.4 Example 37 J-37 26 2.8 3.5 Example 38 J-38 twenty one 3.0 3.8 Example 39 J-39 twenty three 3.0 3.8 Example 40 J-40 26 3.3 4.5 Example 41 J-41 twenty two 2.7 4.2 Example 42 J-42 twenty one 3.0 3.6 Example 43 J-43 twenty two 3.1 4.1 Example 44 J-44 25 2.8 4.4 Example 45 J-45 twenty three 3.1 4.2 Example 46 J-46 25 3.3 4.4 Example 47 J-47 25 2.7 4.3 Example 48 J-48 twenty two 3.2 3.7 Example 49 J-49 26 3.0 4.3 Example 50 J-50 25 2.5 3.8 Example 51 J-51 twenty two 3.4 4.5 Example 52 J-52 twenty three 3.0 4.5 Example 53 J-53 twenty one 3.3 4.5 Example 54 J-54 twenty four 2.6 4.3 Comparative Example 1 CJ-1 31 4.8 5.0 Comparative Example 2 CJ-2 30 5.0 4.9 Comparative Example 3 CJ-3 31 4.3 5.9 Comparative Example 4 CJ-4 29 3.5 4.8 Comparative Example 5 CJ-5 30 4.6 4.8 Comparative Example 6 CJ-6 33 3.6 5.8 Comparative Example 7 CJ-7 27 4.3 6.0 Comparative Example 8 CJ-8 29 3.8 5.1 Comparative Example 9 CJ-9 29 3.7 5.9

如根據表5的結果而明確般,實施例的感放射線性樹脂組成物於用於ArF曝光的情況下,感度、LWR性能及CDU性能良好,相對於此,於比較例中與實施例相比,各特性差。因而,於將實施例的感放射線性樹脂組成物用於ArF曝光的情況下,可以高感度形成LWR性能及CDU性能良好的抗蝕劑圖案。As is clear from the results in Table 5, when the radiation-sensitive resin compositions of Examples are used for ArF exposure, the sensitivity, LWR performance, and CDU performance are good, and compared with the Examples in Comparative Examples , the characteristics are poor. Therefore, when the radiation-sensitive resin composition of the Example is used for ArF exposure, a resist pattern with good LWR performance and CDU performance can be formed with high sensitivity.

[極紫外線(EUV)曝光用正型感放射線性樹脂組成物的製備] [實施例55] 混合作為樹脂的(A-12)100質量份、作為感放射線性酸產生劑的(B-1)20.0質量份、作為酸擴散控制劑的(C-1)4.0質量份、作為高氟含量樹脂的(E-5)3.0質量份、以及作為溶劑的(D-1)/(D-4)=70/30(質量比)的混合溶劑6,110質量份,利用孔徑0.2 μm的膜濾器進行過濾,藉此製備感放射線性樹脂組成物(J-55)。 [Preparation of positive radiation-sensitive resin composition for extreme ultraviolet (EUV) exposure] [Example 55] 100 parts by mass of (A-12) as a resin, 20.0 parts by mass of (B-1) as a radiation-sensitive acid generator, 4.0 parts by mass of (C-1) as an acid diffusion control agent, and a resin with a high fluorine content were mixed 3.0 parts by mass of (E-5) and 6,110 parts by mass of a mixed solvent of (D-1)/(D-4)=70/30 (mass ratio) as a solvent, filtered with a membrane filter with a pore size of 0.2 μm, Thereby, a radiation-sensitive resin composition (J-55) was prepared.

[實施例56~實施例65以及比較例10~比較例13] 使用下述表6所示的種類及含量的各成分,除此以外與實施例55同樣地製備感放射線性樹脂組成物(J-56)~感放射線性樹脂組成物(J-65)及感放射線性樹脂組成物(CJ-10)~感放射線性樹脂組成物(CJ-13)。 [Example 56 to Example 65 and Comparative Example 10 to Comparative Example 13] A radiation-sensitive resin composition (J-56) to a radiation-sensitive resin composition (J-65) and a radiation-sensitive resin composition (J-65) and a radiation-sensitive resin composition (J-65) were prepared in the same manner as in Example 55, except that each component of the type and content shown in the following Table 6 was used. Radiation resin composition (CJ-10) to radiation sensitive resin composition (CJ-13).

[表6]    感放射線性樹脂組成物 [A]樹脂 [B]感放射線性酸產生劑 [C]酸擴散控制劑 [E]高氟含量樹脂 [D]有機溶劑 種類 含量 (質量份) 種類 含量 (質量份) 種類 含量 (質量份) 種類 含量 (質量份) 種類 含量 (質量份) 實施例55 J-55 A-12 100 B-1 20.0 C-1 4.0 E-5 3.0 D-1/D-4 4280/1830 實施例56 J-56 A-12 100 B-1 20.0 C-4 4.0 E-5 3.0 D-1/D-4 4280/1830 實施例57 J-57 A-12 100 B-1 20.0 C-7 4.0 E-5 3.0 D-1/D-4 4280/1830 實施例58 J-58 A-12 100 B-1 20.0 C-9 4.0 E-5 3.0 D-1/D-4 4280/1830 實施例59 J-59 A-12 100 B-1 20.0 C-11 4.0 E-5 3.0 D-1/D-4 4280/1830 實施例60 J-60 A-13 100 B-1 20.0 C-1 4.0 E-5 3.0 D-1/D-4 4280/1830 實施例61 J-61 A-14 100 B-1 20.0 C-1 4.0 E-5 3.0 D-1/D-4 4280/1830 實施例62 J-62 A-15 100 B-1 20.0 C-1 4.0 E-5 3.0 D-1/D-4 4280/1830 實施例63 J-63 A-12 100 B-2 20.0 C-1 4.0 E-5 3.0 D-1/D-4 4280/1830 實施例64 J-64 A-12 100 B-5 20.0 C-1 4.0 E-5 3.0 D-1/D-4 4280/1830 實施例65 J-65 A-12 100 B-6 20.0 C-1 4.0 E-5 3.0 D-1/D-4 4280/1830 比較例10 CJ-10 A-12 100 B-1 20.0 cc-1 4.0 E-5 3.0 D-1/D-4 4280/1830 比較例11 CJ-11 A-12 100 B-1 20.0 cc-5 4.0 E-5 3.0 D-1/D-4 4280/1830 比較例12 CJ-12 A-12 100 B-1 20.0 cc-6 4.0 E-5 3.0 D-1/D-4 4280/1830 比較例13 CJ-13 A-12 100 B-1 20.0 cc-7 4.0 E-5 3.0 D-1/D-4 4280/1830 [Table 6] Radiation sensitive resin composition [A] Resin [B] Radiosensitive acid generator [C] Acid diffusion control agent [E] High fluorine content resin [D] Organic solvent type Content (mass parts) type Content (mass parts) type Content (mass parts) type Content (mass parts) type Content (mass parts) Example 55 J-55 A-12 100 B-1 20.0 C-1 4.0 E-5 3.0 D-1/D-4 4280/1830 Example 56 J-56 A-12 100 B-1 20.0 C-4 4.0 E-5 3.0 D-1/D-4 4280/1830 Example 57 J-57 A-12 100 B-1 20.0 C-7 4.0 E-5 3.0 D-1/D-4 4280/1830 Example 58 J-58 A-12 100 B-1 20.0 C-9 4.0 E-5 3.0 D-1/D-4 4280/1830 Example 59 J-59 A-12 100 B-1 20.0 C-11 4.0 E-5 3.0 D-1/D-4 4280/1830 Example 60 J-60 A-13 100 B-1 20.0 C-1 4.0 E-5 3.0 D-1/D-4 4280/1830 Example 61 J-61 A-14 100 B-1 20.0 C-1 4.0 E-5 3.0 D-1/D-4 4280/1830 Example 62 J-62 A-15 100 B-1 20.0 C-1 4.0 E-5 3.0 D-1/D-4 4280/1830 Example 63 J-63 A-12 100 B-2 20.0 C-1 4.0 E-5 3.0 D-1/D-4 4280/1830 Example 64 J-64 A-12 100 B-5 20.0 C-1 4.0 E-5 3.0 D-1/D-4 4280/1830 Example 65 J-65 A-12 100 B-6 20.0 C-1 4.0 E-5 3.0 D-1/D-4 4280/1830 Comparative Example 10 CJ-10 A-12 100 B-1 20.0 cc-1 4.0 E-5 3.0 D-1/D-4 4280/1830 Comparative Example 11 CJ-11 A-12 100 B-1 20.0 cc-5 4.0 E-5 3.0 D-1/D-4 4280/1830 Comparative Example 12 CJ-12 A-12 100 B-1 20.0 cc-6 4.0 E-5 3.0 D-1/D-4 4280/1830 Comparative Example 13 CJ-13 A-12 100 B-1 20.0 cc-7 4.0 E-5 3.0 D-1/D-4 4280/1830

<使用EUV曝光用正型感放射線性樹脂組成物的抗蝕劑圖案的形成> 使用旋塗機(東京電子(Tokyo Electron)(股)的「CLEAN TRACK ACT12」),將下層抗反射膜形成用組成物(布魯爾科技(Brewer Science)公司的「ARC66」)塗佈於12英吋的矽晶圓上後,於205℃下加熱60秒鐘,藉此形成平均厚度105 nm的下層抗反射膜。使用所述旋塗機將所述製備的EUV曝光用正型感放射線性樹脂組成物塗佈於該下層抗反射膜上,並於130℃下進行60秒鐘PB。其後,於23℃下冷卻30秒鐘,藉此形成平均厚度55 nm的抗蝕劑膜。其次,使用EUV曝光裝置(艾斯摩爾(ASML)公司的「NXE3300」),以NA=0.33、照明條件:常規型(Conventional) s=0.89、遮罩:imecDEFECT32FFR02對所述抗蝕劑膜進行曝光。曝光後,於120℃下進行60秒鐘PEB。其後,使用2.38質量%的TMAH水溶液作為鹼性顯影液,對所述抗蝕劑膜進行鹼顯影,於顯影後利用水進行清洗,進而進行乾燥,藉此形成正型的抗蝕劑圖案(32 nm線與空間圖案)。 <Formation of resist pattern using positive radiation-sensitive resin composition for EUV exposure> Using a spin coater (“CLEAN TRACK ACT12” from Tokyo Electron Co., Ltd.), a composition for forming a lower layer antireflection film (“ARC66” from Brewer Science Co., Ltd.) was applied on 12 After being deposited on a silicon wafer of 1.5 inches, it was heated at 205°C for 60 seconds to form a lower anti-reflection film with an average thickness of 105 nm. The prepared positive-type radiation-sensitive resin composition for EUV exposure was coated on the lower antireflection film using the spin coater, and PB was performed at 130° C. for 60 seconds. Then, it cooled at 23 degreeC for 30 second, and formed the resist film with an average thickness of 55 nm. Next, using an EUV exposure apparatus (“NXE3300” from ASML), the resist film was exposed to NA=0.33, illumination condition: Conventional s=0.89, mask: imecDEFECT32FFR02 . After exposure, PEB was performed at 120°C for 60 seconds. Then, using 2.38 mass % TMAH aqueous solution as an alkaline developer, the resist film was subjected to alkaline development, washed with water after development, and further dried to form a positive-type resist pattern ( 32 nm line and space pattern).

<評價> 針對使用所述EUV曝光用正型感放射線性樹脂組成物所形成的抗蝕劑圖案,根據下述方法評價感度及LWR性能。將其結果示於下述表7。再者,對於抗蝕劑圖案的測長,使用掃描式電子顯微鏡(日立高新科技(Hitachi High-Technologies)(股)的「CG-5000」)。 <Evaluation> With respect to the resist pattern formed using the positive-type radiation-sensitive resin composition for EUV exposure, sensitivity and LWR performance were evaluated according to the following methods. The results are shown in Table 7 below. In addition, for the length measurement of the resist pattern, a scanning electron microscope (“CG-5000” of Hitachi High-Technologies Co., Ltd.) was used.

[感度] 於使用所述EUV曝光用正型感放射線性樹脂組成物的抗蝕劑圖案的形成中,將形成32 nm線與空間圖案的曝光量設為最佳曝光量,將該最佳曝光量設為感度(mJ/cm 2)。關於感度,將30 mJ/cm 2以下的情況評價為「良好」,將超過30 mJ/cm 2的情況評價為「不良」。 [Sensitivity] In the formation of the resist pattern using the positive-type radiation-sensitive resin composition for EUV exposure, the exposure amount for forming a 32 nm line and space pattern was set as the optimum exposure amount, and the optimum exposure amount was The amount is set to sensitivity (mJ/cm 2 ). Regarding the sensitivity, the case of 30 mJ/cm 2 or less was evaluated as "good", and the case of more than 30 mJ/cm 2 was evaluated as "poor".

[LWR性能] 照射所述感度的評價中求出的最佳曝光量,以形成32 nm線與空間圖案的方式調整遮罩尺寸,而形成抗蝕劑圖案。使用所述掃描式電子顯微鏡,自圖案上部觀察所形成的抗蝕劑圖案。測定合計500處的線寬的偏差,並根據其測定值的分佈來求出3西格瑪值,將所述3西格瑪值設為LWR(nm)。LWR的值越小,表示線的晃動越小而良好。關於LWR性能,將3.8 nm以下的情況評價為「良好」,將超過3.8 nm的情況評價為「不良」。 [LWR performance] The optimum exposure amount determined in the evaluation of the sensitivity was irradiated, and the mask size was adjusted so as to form a 32 nm line-and-space pattern to form a resist pattern. Using the scanning electron microscope, the formed resist pattern was observed from the upper part of the pattern. A total of 500 line width deviations were measured, and a 3-sigma value was obtained from the distribution of the measured values, and the 3-sigma value was defined as LWR (nm). The smaller the value of LWR, the smaller and better the line wobble is. Regarding the LWR performance, the case of 3.8 nm or less was evaluated as "good", and the case of more than 3.8 nm was evaluated as "poor".

[表7]    感放射線性樹脂組成物 感度 (mJ/cm 2 LWR(nm) 實施例55 J-55 30 3.5 實施例56 J-56 29 3.0 實施例57 J-57 24 3.2 實施例58 J-58 25 3.5 實施例59 J-59 24 3.7 實施例60 J-60 26 3.6 實施例61 J-61 25 3.6 實施例62 J-62 30 3.0 實施例63 J-63 28 3.3 實施例64 J-64 25 3.6 實施例65 J-65 26 3.4 比較例10 CJ-10 35 4.1 比較例11 CJ-11 35 4.0 比較例12 CJ-12 32 4.5 比較例13 CJ-13 32 4.4 [Table 7] Radiation sensitive resin composition Sensitivity (mJ/cm 2 ) LWR (nm) Example 55 J-55 30 3.5 Example 56 J-56 29 3.0 Example 57 J-57 twenty four 3.2 Example 58 J-58 25 3.5 Example 59 J-59 twenty four 3.7 Example 60 J-60 26 3.6 Example 61 J-61 25 3.6 Example 62 J-62 30 3.0 Example 63 J-63 28 3.3 Example 64 J-64 25 3.6 Example 65 J-65 26 3.4 Comparative Example 10 CJ-10 35 4.1 Comparative Example 11 CJ-11 35 4.0 Comparative Example 12 CJ-12 32 4.5 Comparative Example 13 CJ-13 32 4.4

如根據表7的結果而明確般,實施例的感放射線性樹脂組成物於用於EUV曝光的情況下,感度及LWR性能良好,相對於此,於比較例中與實施例相比,各特性差。As is clear from the results in Table 7, when the radiation-sensitive resin compositions of the examples are used for EUV exposure, the sensitivity and LWR performance are good. On the other hand, in the comparative examples, compared with the examples, the respective properties Difference.

[ArF曝光用正型感放射線性樹脂組成物的製備、使用所述組成物的抗蝕劑圖案的形成及評價] [實施例66] 混合作為樹脂的(A-4)100質量份、作為感放射線性酸產生劑的(B-1)12.0質量份、作為酸擴散控制劑的(C-1)2.5質量份、作為高氟含量樹脂的(E-2)3.0質量份(固體成分)、以及作為溶劑的(D-1)/(D-2)/(D-3)=69/30/1(質量比)的混合溶劑3,230質量份,利用孔徑0.2 μm的膜濾器進行過濾,藉此製備感放射線性樹脂組成物(J-66)。 [Preparation of positive radiation-sensitive resin composition for ArF exposure, formation and evaluation of resist pattern using the composition] [Example 66] 100 parts by mass of (A-4) as a resin, 12.0 parts by mass of (B-1) as a radiation-sensitive acid generator, 2.5 parts by mass of (C-1) as an acid diffusion control agent, and a resin with a high fluorine content were mixed (E-2) 3.0 parts by mass (solid content), and 3,230 mass of a mixed solvent of (D-1)/(D-2)/(D-3)=69/30/1 (mass ratio) as a solvent Parts were filtered through a membrane filter with a pore size of 0.2 μm to prepare a radiation-sensitive resin composition (J-66).

使用旋塗機(東京電子(Tokyo Electron)(股)的「CLEAN TRACK ACT12」),將下層抗反射膜形成用組成物(布魯爾科技(Brewer Science)公司的「ARC66」)塗佈於12英吋的矽晶圓上後,於205℃下加熱60秒鐘,藉此形成平均厚度105 nm的下層抗反射膜。使用所述旋塗機將所述製備的ArF曝光用正型感放射線性樹脂組成物(J-53)塗佈於所述下層抗反射膜上,並於90℃下進行60秒鐘預烘烤(PB)。其後,於23℃下冷卻30秒鐘,藉此形成平均厚度90 nm的抗蝕劑膜。其次,使用ArF準分子雷射液浸曝光裝置(ASML公司的「TWINSCAN XT-1900i」),以NA=1.35、環形(Annular)(σ=0.8/0.6)的光學條件,介隔40 nm空間、105 nm間距的遮罩圖案,對該抗蝕劑膜進行曝光。曝光後,於90℃下進行60秒鐘曝光後烘烤(PEB)。其後,使用2.38質量%的TMAH水溶液作為鹼性顯影液,對所述抗蝕劑膜進行鹼顯影,於顯影後利用水進行清洗,進而進行乾燥,藉此形成正型的抗蝕劑圖案(40 nm線與空間圖案)。Using a spin coater (“CLEAN TRACK ACT12” from Tokyo Electron Co., Ltd.), a composition for forming a lower layer antireflection film (“ARC66” from Brewer Science Co., Ltd.) was applied on 12 After being deposited on a silicon wafer of 1.5 inches, it was heated at 205°C for 60 seconds to form a lower anti-reflection film with an average thickness of 105 nm. Use the spin coater to coat the prepared positive-type radiation-sensitive resin composition (J-53) for ArF exposure on the lower anti-reflection film, and pre-bake at 90°C for 60 seconds (PB). Then, it cooled at 23 degreeC for 30 second, and formed the resist film with an average thickness of 90 nm. Next, an ArF excimer laser liquid immersion exposure device (“TWINSCAN XT-1900i” from ASML) was used under the optical conditions of NA=1.35, Annular (σ=0.8/0.6), separated by 40 nm space, The resist film was exposed to a mask pattern with a pitch of 105 nm. After exposure, a post-exposure bake (PEB) was performed at 90°C for 60 seconds. Then, using 2.38 mass % TMAH aqueous solution as an alkaline developer, the resist film was subjected to alkaline development, washed with water after development, and further dried to form a positive-type resist pattern ( 40 nm line and space pattern).

針對使用所述EUV曝光用正型感放射線性樹脂組成物的抗蝕劑圖案,與使用所述ArF曝光用負型感放射線性樹脂組成物的抗蝕劑圖案的評價同樣地進行評價。其結果,實施例66的感放射線性樹脂組成物即便於利用ArF曝光形成正型的抗蝕劑圖案的情況下,感度、LWR性能及CDU性能亦良好。The resist pattern using the positive radiation-sensitive resin composition for EUV exposure was evaluated in the same manner as the evaluation of the resist pattern using the negative radiation-sensitive resin composition for ArF exposure. As a result, the radiation-sensitive resin composition of Example 66 was good in sensitivity, LWR performance, and CDU performance even when a positive-type resist pattern was formed by ArF exposure.

[EUV曝光用負型感放射線性樹脂組成物的製備、使用所述組成物的抗蝕劑圖案的形成及評價] [實施例67] 混合作為樹脂的(A-12)100質量份、作為感放射線性酸產生劑的(B-1)21.0質量份、作為酸擴散控制劑的(C-1)5.0質量份、作為高氟含量樹脂的(E-5)3.0質量份、以及作為溶劑的(D-1)/(D-4)=70/30(質量比)的混合溶劑6,110質量份,利用孔徑0.2 μm的膜濾器進行過濾,藉此製備感放射線性樹脂組成物(J-67)。 [Preparation of negative radiation-sensitive resin composition for EUV exposure, formation and evaluation of resist pattern using the composition] [Example 67] 100 parts by mass of (A-12) as a resin, 21.0 parts by mass of (B-1) as a radiation-sensitive acid generator, 5.0 parts by mass of (C-1) as an acid diffusion control agent, and a resin with a high fluorine content were mixed 3.0 parts by mass of (E-5) and 6,110 parts by mass of a mixed solvent of (D-1)/(D-4)=70/30 (mass ratio) as a solvent, filtered with a membrane filter with a pore size of 0.2 μm, Thereby, a radiation-sensitive resin composition (J-67) was prepared.

使用旋塗機(東京電子(Tokyo Electron)(股)的「CLEAN TRACK ACT12」),將下層抗反射膜形成用組成物(布魯爾科技(Brewer Science)公司的「ARC66」)塗佈於12英吋的矽晶圓上後,於205℃下加熱60秒鐘,藉此形成平均厚度105 nm的下層抗反射膜。使用所述旋塗機將所述製備的EUV曝光用負型感放射線性樹脂組成物(J-54)塗佈於該下層抗反射膜上,並於130℃下進行60秒鐘PB。其後,於23℃下冷卻30秒鐘,藉此形成平均厚度55 nm的抗蝕劑膜。其次,使用EUV曝光裝置(艾斯摩爾(ASML)公司的「NXE3300」),以NA=0.33、照明條件:常規型(Conventional) s=0.89、遮罩:imecDEFECT32FFR02對所述抗蝕劑膜進行曝光。曝光後,於120℃下進行60秒鐘PEB。之後,使用乙酸正丁酯作為有機溶媒顯影液,對所述抗蝕劑膜進行有機溶媒顯影,並進行乾燥,藉此形成負型的抗蝕劑圖案(32 nm線與空間圖案)。Using a spin coater (“CLEAN TRACK ACT12” from Tokyo Electron Co., Ltd.), a composition for forming a lower layer antireflection film (“ARC66” from Brewer Science Co., Ltd.) was applied on 12 After being deposited on a silicon wafer of 1.5 inches, it was heated at 205°C for 60 seconds to form a lower anti-reflection film with an average thickness of 105 nm. The prepared negative-type radiation-sensitive resin composition for EUV exposure (J-54) was coated on the lower antireflection film using the spin coater, and PB was performed at 130° C. for 60 seconds. Then, it cooled at 23 degreeC for 30 second, and formed the resist film with an average thickness of 55 nm. Next, using an EUV exposure apparatus (“NXE3300” from ASML), the resist film was exposed to NA=0.33, illumination condition: Conventional s=0.89, mask: imecDEFECT32FFR02 . After exposure, PEB was performed at 120°C for 60 seconds. After that, using n-butyl acetate as an organic solvent developer, the resist film was developed with an organic solvent and dried to form a negative resist pattern (32 nm line and space pattern).

針對使用所述EUV曝光用負型感放射線性樹脂組成物的抗蝕劑圖案,與使用所述EUV曝光用正型感放射線性樹脂組成物的抗蝕劑圖案的評價同樣地進行評價。其結果,實施例67的感放射線性樹脂組成物即便於利用EUV曝光形成負型的抗蝕劑圖案的情況下,感度、及LWR性能亦良好。 [產業上之可利用性] The resist pattern using the negative radiation-sensitive resin composition for EUV exposure was evaluated in the same manner as the evaluation of the resist pattern using the positive radiation-sensitive resin composition for EUV exposure. As a result, the radiation-sensitive resin composition of Example 67 had good sensitivity and LWR performance even when a negative resist pattern was formed by EUV exposure. [Industrial Availability]

根據所述說明的感放射線性樹脂組成物、圖案形成方法及鎓鹽化合物,可形成相對於曝光光的感度良好、LWR性能及CDU性能優異的抗蝕劑圖案。因此,該些可較佳地用於預想今後進一步進行微細化的半導體元件的加工製程等中。According to the radiation-sensitive resin composition, pattern forming method, and onium salt compound described above, a resist pattern having good sensitivity to exposure light and excellent LWR performance and CDU performance can be formed. Therefore, these can be preferably used in the processing and the like of semiconductor elements which are expected to be further miniaturized in the future.

Claims (11)

一種感放射線性樹脂組成物,包含: 鎓鹽化合物,由下述式(1)表示; 樹脂,包含具有酸解離性基的結構單元;以及 溶劑,
Figure 03_image052
(所述式(1)中, R f為氟原子或碳數1~10的一價氟化烴基, R 1~R 3分別獨立地為氫原子或碳數1~20的一價烴基,或者表示R 1~R 3中的兩個相互結合並與該些所鍵結的碳原子一起構成的碳數3~20的環狀結構, n為1~4的整數,於n為2以上的情況下,多個R 2及R 3彼此相同或不同, Z +為一價的感放射線性鎓陽離子)。
A radiation-sensitive resin composition comprising: an onium salt compound represented by the following formula (1); a resin comprising a structural unit having an acid dissociable group; and a solvent,
Figure 03_image052
(In the above formula (1), R f is a fluorine atom or a monovalent fluorinated hydrocarbon group having 1 to 10 carbon atoms, and R 1 to R 3 are each independently a hydrogen atom or a monovalent hydrocarbon group having 1 to 20 carbon atoms, or Represents a cyclic structure with 3 to 20 carbon atoms in which two of R 1 to R 3 are bonded to each other and together with these bonded carbon atoms, n is an integer of 1 to 4, and when n is 2 or more In the following, a plurality of R 2 and R 3 are the same or different from each other, and Z + is a monovalent radioactive onium cation).
如請求項1所述的感放射線性樹脂組成物,其中所述式(1)中,n為1或2。The radiation-sensitive resin composition according to claim 1, wherein in the formula (1), n is 1 or 2. 如請求項1或請求項2所述的感放射線性樹脂組成物,其中所述式(1)中,R f為碳數1~6的全氟烷基。 The radiation-sensitive resin composition according to claim 1 or claim 2, wherein in the formula (1), R f is a perfluoroalkyl group having 1 to 6 carbon atoms. 如請求項1至請求項3中任一項所述的感放射線性樹脂組成物,其中 所述式(1)中, R 1為氫原子或碳數1~20的一價烴基, R 2及R 3分別獨立地為氫原子或碳數1~20的一價烴基,或者表示R 2及R 3相互結合並與該些所鍵結的碳原子一起構成的碳數3~20的環狀結構。 The radiation-sensitive resin composition according to any one of claim 1 to claim 3, wherein in the formula (1), R 1 is a hydrogen atom or a monovalent hydrocarbon group having 1 to 20 carbon atoms, R 2 and R 3 is each independently a hydrogen atom or a monovalent hydrocarbon group with 1 to 20 carbon atoms, or represents a cyclic structure with 3 to 20 carbon atoms formed by combining R 2 and R 3 with the bonded carbon atoms. . 如請求項1至請求項4中任一項所述的感放射線性樹脂組成物,其中,所述式(1)中,R f及R 1~R 3均不含羥基。 The radiation-sensitive resin composition according to any one of Claims 1 to 4, wherein in the formula (1), neither R f nor R 1 to R 3 contains a hydroxyl group. 如請求項1至請求項5中任一項所述的感放射線性樹脂組成物,其中,所述式(1)中的感放射線性鎓陽離子分別獨立地為鋶陽離子或錪陽離子。The radiation-sensitive resin composition according to any one of Claims 1 to 5, wherein the radiation-sensitive onium cations in the formula (1) are each independently a pernium cation or an iodonium cation. 如請求項1至請求項6中任一項所述的感放射線性樹脂組成物,其更包含感放射線性酸產生劑,所述感放射線性酸產生劑藉由放射線的照射,產生pKa較自所述鎓鹽化合物產生的酸更小的酸。The radiation-sensitive resin composition according to any one of claim 1 to claim 6, further comprising a radiation-sensitive acid generator, the radiation-sensitive acid generator generating a pKa higher than self-generated by irradiation with radiation The onium salt compound produces a smaller acid. 如請求項1至請求項7中任一項所述的感放射線性樹脂組成物,其中,所述具有酸解離性基的結構單元由下述式(2)表示,
Figure 03_image053
(所述式(2)中, R 7為氫原子、氟原子、甲基或三氟甲基, R 8為碳數1~20的一價烴基, R 9及R 10分別獨立地為碳數1~10的一價鏈狀烴基或碳數3~20的一價脂環式烴基,或者表示該些基相互結合並與該些所鍵結的碳原子一起構成的碳數3~20的二價脂環式基)。
The radiation-sensitive resin composition according to any one of Claims 1 to 7, wherein the structural unit having an acid dissociable group is represented by the following formula (2),
Figure 03_image053
(In the above formula (2), R 7 is a hydrogen atom, a fluorine atom, a methyl group or a trifluoromethyl group, R 8 is a monovalent hydrocarbon group having 1 to 20 carbon atoms, and R 9 and R 10 are each independently a carbon number. A monovalent chain hydrocarbon group of 1 to 10 or a monovalent alicyclic hydrocarbon group of 3 to 20 carbon atoms, or a divalent hydrocarbon group of 3 to 20 carbon atoms in which these groups are combined with each other and together with the bonded carbon atoms. Valence alicyclic group).
一種圖案形成方法,包括: 將如請求項1至請求項8中任一項所述的感放射線性樹脂組成物直接或間接地塗佈在基板上而形成抗蝕劑膜的步驟; 對所述抗蝕劑膜進行曝光的步驟;以及 藉由顯影液對經曝光的所述抗蝕劑膜進行顯影的步驟。 A pattern forming method comprising: The step of directly or indirectly coating the radiation-sensitive resin composition according to any one of claim 1 to claim 8 on a substrate to form a resist film; the step of exposing the resist film; and The step of developing the exposed resist film with a developing solution. 如請求項9所述的圖案形成方法,其中藉由有機溶劑來進行所述顯影。The pattern forming method according to claim 9, wherein the developing is performed with an organic solvent. 一種鎓鹽化合物,由下述式(1)表示,
Figure 03_image054
(所述式(1)中, R f為氟原子或碳數1~10的一價氟化烴基, R 1~R 3分別獨立地為氫原子或碳數1~20的一價烴基,或者表示R 1~R 3中的兩個相互結合並與該些所鍵結的碳原子一起構成的碳數3~20的環狀結構, n為1~4的整數,於n為2以上的情況下,多個R 2及R 3彼此相同或不同, Z +為一價的感放射線性鎓陽離子)。
An onium salt compound represented by the following formula (1),
Figure 03_image054
(In the above formula (1), R f is a fluorine atom or a monovalent fluorinated hydrocarbon group having 1 to 10 carbon atoms, and R 1 to R 3 are each independently a hydrogen atom or a monovalent hydrocarbon group having 1 to 20 carbon atoms, or Represents a cyclic structure with 3 to 20 carbon atoms in which two of R 1 to R 3 are bonded to each other and together with these bonded carbon atoms, n is an integer of 1 to 4, and when n is 2 or more In the following, a plurality of R 2 and R 3 are the same or different from each other, and Z + is a monovalent radioactive onium cation).
TW110128621A 2020-09-25 2021-08-04 Radiation-sensitive resin composition, pattern forming method and onium salt compound TW202219079A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2020-160530 2020-09-25
JP2020160530 2020-09-25

Publications (1)

Publication Number Publication Date
TW202219079A true TW202219079A (en) 2022-05-16

Family

ID=80845304

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110128621A TW202219079A (en) 2020-09-25 2021-08-04 Radiation-sensitive resin composition, pattern forming method and onium salt compound

Country Status (4)

Country Link
JP (1) JPWO2022065090A1 (en)
KR (1) KR20230074470A (en)
TW (1) TW202219079A (en)
WO (1) WO2022065090A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20240030082A (en) * 2022-08-29 2024-03-07 삼성전자주식회사 Carboxylate salt, photoresist composition comprising the same and method of forming pattern using the same

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5556765B2 (en) 2011-08-05 2014-07-23 信越化学工業株式会社 Chemically amplified positive resist material for ArF immersion exposure and pattern forming method
JP6131910B2 (en) * 2014-05-28 2017-05-24 信越化学工業株式会社 Resist composition and pattern forming method
JP6583126B2 (en) * 2016-04-28 2019-10-02 信越化学工業株式会社 Novel carboxylic acid onium salt, chemically amplified resist composition, and pattern forming method
WO2018159560A1 (en) * 2017-03-01 2018-09-07 Jsr株式会社 Radiation-sensitive resin composition, resist pattern forming method, acid diffusion control agent, carboxylate salt and carboxylic acid

Also Published As

Publication number Publication date
JPWO2022065090A1 (en) 2022-03-31
KR20230074470A (en) 2023-05-30
WO2022065090A1 (en) 2022-03-31

Similar Documents

Publication Publication Date Title
JPWO2018159560A1 (en) Radiation-sensitive resin composition, resist pattern forming method, acid diffusion controller, carboxylate and carboxylic acid
JP7360633B2 (en) Radiation-sensitive resin composition and resist pattern formation method
TW202115492A (en) Radiation-sensitive resin composition, and method for forming resist pattern
US9760004B2 (en) Radiation-sensitive resin composition and resist pattern-forming method
JP2017203885A (en) Radiation-sensitive resin composition, resist pattern forming method, method for producing acid diffusion controller, and method for producing radiation-sensitive resin composition
TWI726173B (en) Method for forming radiation-sensitive resin composition, onium salt compound and resist pattern
TW202220950A (en) Radiation-sensitive resin composition, and pattern formation method
TW202206418A (en) Radiation-sensitive resin composition and method for forming pattern
JP2017181697A (en) Radiation-sensitive resin composition and method for forming resist pattern
TW202140420A (en) Radiation-sensitive resin composition, method for forming resist pattern using same, and sulfonic acid salt compound and radiation-sensitive acid generator comprising same
JP7323865B2 (en) RADIATION-SENSITIVE RESIN COMPOSITION AND PATTERN-FORMING METHOD
KR20200019604A (en) Method for forming radiation-sensitive resin composition and resist pattern
TW202219079A (en) Radiation-sensitive resin composition, pattern forming method and onium salt compound
TW202323228A (en) Radiation-sensitive resin composition, pattern formation method, method for manufacturing substrate, and compound
TW202233570A (en) Radiation-sensitive resin composition and pattern formation method
TW202126609A (en) Radiation-sensitive resin composition and method for forming pattern
TWI837313B (en) Radiation-sensitive resin composition and method for forming anti-corrosion agent pattern
JP6882703B2 (en) Acid diffusion control agents and compounds
TW202146390A (en) Radiation sensitive resin composition, pattern forming method and onium salt compound
TW202235413A (en) Radiation-sensitive resin composition, pattern formation method, polymer, and compound
TW202229368A (en) Radiation-sensitive resin composition, pattern formation method, and water repellency-improving agent
TW202134785A (en) Radiation-sensitive resin composition and method for forming resist pattern
TW202231626A (en) Radiation-sensitive resin composition and method for forming resist patter using same, and sulfonium salt compound and radiation-sensitive acid generator comprising same
TW202346264A (en) Radiation-sensitive resin composition and pattern formation method
TW202222780A (en) Radiation-sensitive resin composition, method for forming pattern, and onium salt compound