TW202230017A - 極紫外光遮罩與其形成方法 - Google Patents

極紫外光遮罩與其形成方法 Download PDF

Info

Publication number
TW202230017A
TW202230017A TW110131097A TW110131097A TW202230017A TW 202230017 A TW202230017 A TW 202230017A TW 110131097 A TW110131097 A TW 110131097A TW 110131097 A TW110131097 A TW 110131097A TW 202230017 A TW202230017 A TW 202230017A
Authority
TW
Taiwan
Prior art keywords
layer
patterned
euv
absorber
mask
Prior art date
Application number
TW110131097A
Other languages
English (en)
Other versions
TWI787966B (zh
Inventor
冠銘 陳
許倍誠
連大成
王子奕
李信昌
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202230017A publication Critical patent/TW202230017A/zh
Application granted granted Critical
Publication of TWI787966B publication Critical patent/TWI787966B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

一種極紫外光遮罩,其包括基板、基板上方的反射多層堆疊、反射多層堆疊上方的覆蓋層、覆蓋層的第一部分上方的經圖案化吸收體層、及覆蓋層的第一部分周圍的第二部分上方的磁性層。

Description

極紫外光遮罩用吸收體材料
半導體行業經歷了指數級增長。材料及設計方面的技術進步產生了一代又一代的積體電路(integrated circuit,IC),其中各代的電路都比上一代更小、更複雜。在IC演進過程中,功能密度(即,每一晶片面積內互連裝置的數目)通常提高,而幾何尺寸(即可使用製造製程產生的最小組件或接線)減小。這種規模縮小的製程通常藉由提高生產效率及降低相關成本來提供益處。
以下揭示內容提供用於實施所提供標的物的不同特徵的許多不同實施例、或實例。下文描述組件及配置的特定實例以簡化本揭露。當然,這些僅為實例且非意欲為限制性的。舉例而言,在以下描述中第一特徵於第二特徵上方或上的形成可包括第一及第二特徵直接接觸地形成的實施例,且亦可包括額外特徵可形成於第一特徵與第二特徵之間使得第一特徵及第二特徵可不直接接觸的實施例。此外,本揭露在各種實例中可重複參考數字及/或字母。此重複係出於簡單及清楚的目的,且本身且不指明所論述的各種實施例及/或組態之間的關係。
此外,為了方便用於描述如諸圖中圖示的一個元件或特徵與另一(多個)元件或(多個)特徵的關係的描述,在本文中可使用空間相對術語,諸如「在……下面」、「在……之下」、「下部」、「在……之上」、「上部」及類似者。空間相對術語意欲涵蓋除了諸圖中所描繪的定向以外的裝置在使用或操作時的不同定向。裝置可另外定向(旋轉90度或處於其他定向),且本文中所使用的空間相對描述符可類似地加以相應解釋。
在積體電路(integrated circuit,IC)的製造中,代表IC不同層的圖案係使用一系列可重複使用的光罩(本文亦稱為遮罩)製造的,以便在半導體裝置製造製程期間將IC的各層的設計轉移至半導體基板。因此,遮罩中的任何缺陷都可轉移至IC,潛在地嚴重影響裝置效能。
隨著IC尺寸的縮小,在微影製程中採用波長為13.5 nm的極紫外(extreme ultraviolet,EUV)光,以能夠將非常小的圖案(例如,納米尺度圖案)自遮罩轉移至半導體晶圓。由於大多數材料在13.5 nm波長處具有高吸收性,因此EUV微影利用選擇性地反射及吸收EUV輻射的反射型遮罩。藉由自極紫外光遮罩的反射表面的部分反射EUV光,將在極紫外光遮罩的吸收體層中形成的圖案轉移至半導體晶圓。
EUV微影在EUV掃描器100中實施,如第1圖中所示。在一些實施例中,EUV掃描器100包括光源102、光學組件104、遮罩台106、投影光學模組108、及基板台110。
光源102用以產生具有以約13.5 nm為中心的波長的EUV光。
光學組件104包括反射式光學元件,諸如單個鏡面或具有多個鏡面的鏡面系統,以便將光源102的光引導至遮罩台106上,特別是引導至固定在遮罩台106上的極紫外光遮罩112。
遮罩台106用以固定極紫外光遮罩112。在一些實施例中,遮罩台106包括靜電卡盤(electrostatic chuck,e-chuck)以固定極紫外光遮罩112。極紫外光遮罩112的背側藉由施加例如約1 kV的偏置電壓至遮罩台106而卡緊,極紫外光遮罩112的正面面向基板台110上的半導體晶圓114的上表面。
投影光學模組108用以提供經圖案化光束且將經圖案化光束投影至半導體晶圓114上,以便將極紫外光遮罩112的圖案成像至固定在基板台110上的半導體晶圓114上。自極紫外光遮罩112引導的光,攜帶在極紫外光遮罩112上界定的圖案的影像,由投影光學模組108聚集。在一些實施例中,光學組件104及投影光學模組108統稱為EUV掃描器100的光學模組。
EUV掃描器100可進一步包括其他模組或與其他模組整合(或耦合)。舉例而言,氣體供應模組116用以提供氫氣至光源102,以便減少光源102的污染。
由於13.5 nm的極短曝光波長,極紫外光遮罩112的表面中的小擾動會導致反射中的相位缺陷,相位缺陷可模糊轉移至半導體晶圓114上的圖案。一些相位缺陷由來自EUV掃描器100的部件或來自半導體晶圓114的諸如鐵(Fe)或鎳(Ni)的磁性粒子引起。在EUV微影期間,這些污染粒子可附著在極紫外光遮罩112的圖案區,從而在晶圓曝光期間引起臨界尺寸誤差。
在本揭露的實施例中,二元吸收體材料(binary absorber materials)用於防止磁性粒子落在極紫外光遮罩的圖案區上。在本揭露的實施例中,在極紫外光遮罩的圖案區中提供由非磁性材料組成的經圖案化吸收體層,且在極紫外光遮罩的邊界區中採用由磁性材料組成的磁性層。在EUV微影製程期間,用於將極紫外光遮罩電吸附至EUV掃描器的遮罩台的偏置電壓可產生磁場。在磁場下,極紫外光遮罩的邊界區的磁性層吸引磁性粒子,從而有助於偏轉可能附著在極紫外光遮罩的圖案區的磁性粒子。藉由防止磁性粒子附著在極紫外光遮罩的圖案區,可避免在EUV微影製程期間由極紫外光遮罩的圖案區上的污染粒子引起的圖案化誤差。取決於磁性材料的磁性強度及蝕刻特性,磁性層可相鄰於經圖案化吸收體層而形成或直接形成於極紫外光遮罩的基板的頂部上。
第2A圖係根據本揭露的一些實施例的第一示例性極紫外光遮罩200的橫截面圖。第2B圖係根據本揭露的一些替代實施例的第一示例性極紫外光遮罩200的橫截面圖。參考第2A圖及第2B圖,極紫外光遮罩200包括基板202,在基板202的正面上的反射多層堆疊210,在反射多層堆疊210上方的覆蓋層220,在覆蓋層220的一部分上方的經圖案化吸收體層230P,及在覆蓋層220的周邊部分上且圍繞經圖案化吸收體層230P的磁性層240。極紫外光遮罩200進一步包括在基板202的與正面相對的背面上方的導電層204。
經圖案化吸收體層230P含有對應於待形成在半導體晶圓上的電路圖案的開口252的圖案。開口252的圖案位於極紫外光遮罩200的圖案區200A中,暴露覆蓋層220的表面。圖案區200A被極紫外光遮罩200的邊界區200B所圍繞。邊界區200B對應於極紫外光遮罩200的非圖案化區,非圖案化區在IC製造期間不用於曝光製程。在一些實施例中,極紫外光遮罩200的圖案區200A位於基板202的中央區,而邊界區200B位於基板202的周邊部分。圖案區200A與邊界區200B由溝槽254分開。溝槽254延伸穿過經圖案化吸收體層230P、覆蓋層220、及反射多層堆疊210,暴露基板202的正面。在一些實施例中且如第2A圖中所示,經圖案化吸收體層230P的第一部分位於極紫外光遮罩200的圖案區200A中,而經圖案化吸收體層230P的第二部分及磁性層240位於極紫外光遮罩200的邊界區200B中。在一些其他實施例中且如第2B圖中所示,經圖案化吸收體層230P的全部位於極紫外光遮罩200的圖案區200A中,而磁性層240位於極紫外光遮罩200的邊界區200B中。
在EUV微影期間,極紫外光遮罩200的邊界區200B中的磁性層240吸引且保持磁性粒子在其上。結果,EUV掃描器100(第1圖)中可能附著在極紫外光遮罩200的圖案區200A上的污染粒子可由磁性層240偏轉。因此,在經圖案化吸收體層230P周圍引入磁性層有助於防止污染粒子在EUV微影期間附著在極紫外光遮罩200的圖案區200A上,這進而有助於提高微影轉移圖案的保真度且減少圖案化誤差。
第3圖係根據一些實施例的用於製造例如極紫外光遮罩200的極紫外光遮罩的方法300的流程圖。第4A圖至第4M圖係根據一些實施例的在製造製程的各個階段的極紫外光遮罩200的各種視圖。下面參考極紫外光遮罩200詳細討論方法300。在一些實施例中,在方法300之前、期間、及/或之後執行額外的操作,或替換及/或取消所描述的一些操作。在一些實施例中,下面描述的一些特徵被替換或取消。本領域普通技術人員將理解,儘管一些實施例係以特定次序執行的操作來論述,但這些操作可以另一邏輯次序執行。
參考第3圖,方法300包括操作302,其中根據一些實施例,在基板202上方形成反射多層堆疊210。第4A圖係根據一些實施例的在基板202上方形成反射多層堆疊210之後的極紫外光遮罩200(見第2A圖及第2B圖)的初始結構的俯視圖。第4A'圖係第4A圖的極紫外光遮罩200的初始結構沿著線B-B'的橫截面圖。
參考第4A圖及第4A'圖,極紫外光遮罩200的初始結構包括由玻璃、矽、或其他低熱膨脹材料製成的基板202。低熱膨脹材料有助於最大限度地減少在極紫外光遮罩200的使用期間由於遮罩加熱造成的影像失真。在一些實施例中,基板202包括熔融矽、熔融石英、氟化鈣、碳化矽、黑金剛石、或氧化鈦摻雜的氧化矽(SiO 2/TiO 2)。在一些實施例中,基板202具有範圍自約1 mm至約7 mm的厚度。若基板202的厚度太小,在某些情況下,極紫外光遮罩200的破損或翹曲的風險會增加。另一方面,若基板的厚度太大,在某些情況下,極紫外光遮罩200的重量會不必要地增加。
在一些實施例中,導電層204被佈置在基板202的背面上。在一些實施例中,導電層204與基板202的背面直接接觸。導電層204提供一個導電平面,以便在極紫外光遮罩200的製造及使用期間將極紫外光遮罩200靜電夾持至遮罩台。在一些實施例中,導電層204包括氮化鉻(CrN)或硼化鉭(TaB)。在一些實施例中,導電層204藉由沉積製程形成,諸如舉例而言,化學氣相沉積(chemical vapor deposition,CVD)、電漿增強化學氣相沉積(plasma-enhanced chemical vapor deposition,PECVD)、或物理氣相沉積(physical vapor deposition,PVD)。導電層204的厚度經控制使得導電層204係光學透明的。
反射多層堆疊210被佈置在基板202的與背面相對的正面上方。在一些實施例中,反射多層堆疊210與基板202的正面直接接觸。反射多層堆疊210提供對EUV光的高反射性。在一些實施例中,反射多層堆疊210用以在EUV照明波長峰值(例如,13.5 nm的EUV照明)下達成約60 %至約75 %的反射性。
在一些實施例中,反射多層堆疊210包括高折射率材料及低折射率材料的交替堆疊層。具有高折射率的材料有散射EUV光的傾向,且另一方面,具有低折射率的材料有透射EUV光的傾向。將這兩種類型的材料配對在一起提供了共振反射性。在一些實施例中,反射多層堆疊210包括鉬(Mo)及矽(Si)的交替堆疊層。在一些實施例中,反射多層堆疊210包括交替堆疊的Mo及Si層,Si在最頂層。在一些實施例中,鉬層與基板202的正面直接接觸。在其他一些實施例中,矽層與基板202的正面直接接觸。替代地,反射多層堆疊210包括Mo及鈹(Be)的交替堆疊層。
反射多層堆疊210中各層的厚度取決於EUV波長及EUV光的入射角。反射多層堆疊210中的交替層的厚度經調整以最大化在各個介面處反射的EUV光的相長干擾,且最小化EUV光的整體吸收。在一些實施例中,反射多層堆疊210包括30至60對Mo及Si的交替層。各個Mo/Si對具有範圍自約2 nm至約7 nm的厚度,總厚度範圍自約100 nm至約300 nm。
在一些實施例中,反射多層堆疊210中的各層使用離子束沉積或直流電磁控濺鍍沉積在基板202及下伏層上方。所使用的沉積方法有助於確保反射多層堆疊210的厚度均勻性優於跨基板202的約0.85。舉例而言,為了形成Mo/Si反射多層堆疊210,用Mo靶作為濺射靶及用氬(Ar)氣(氣壓為1.3×10 -2Pa至2.7×10 -2Pa)作為濺射氣體、用300 V至1,500 V的離子加速電壓在0.03至0.30 nm/sec的沉積速率下沉積Mo層,且接著用Si靶作為濺射靶及用Ar氣(氣壓為1.3×10 -2Pa至2.7×10 -2Pa)作為濺射氣體、用300 V至1,500 V的離子加速電壓在0.03至0.30 nm/sec的沉積速率下沉積Si層。藉由在40至50個循環(各個循環包含以上步驟)中堆疊Si層及Mo層,沉積出Mo/Si反射多層堆疊。
在方法300的操作304處,根據一些實施例,在反射多層堆疊210上方沉積覆蓋層220。第4B圖係根據一些實施例的在反射多層堆疊210上方沉積覆蓋層220之後的第4A圖及第4A'圖的結構的俯視圖。第4B'圖係第4B圖的結構的沿著線B-B'的橫截面圖。
參考第4B圖及第4B’圖,覆蓋層220被佈置在反射多層堆疊210的最頂表面上方。覆蓋層220有助於保護反射多層堆疊210免受氧化及在隨後的遮罩製造製程期間反射多層堆疊210可暴露於其中的任何化學蝕刻劑。
在一些實施例中,覆蓋層220包括抵抗氧化及腐蝕、且具有與常見的大氣氣體種類(諸如氧、氮、及水蒸汽)的低化學反應性的材料。在一些實施例中,覆蓋層220包括諸如鋯(Zr)或釕(Ru)的過渡金屬、諸如RuB、RuO的釕化合物、諸如RuNb的釕合金、或諸如RuNbO的釕合金化合物。
在一些實施例中,使用諸如舉例而言,離子束沉積、化學氣相沉積(chemical vapor deposition,CVD)、諸如直流電磁控濺鍍的物理氣相沉積(physical vapor deposition,PVD)、或原子層沉積(atomic layer deposition,ALD)的沉積製程來形成覆蓋層220。在Ru層使用離子束沉積待形成為覆蓋層220的情況下,可藉由使用Ru靶作為濺射靶在Ar環境中進行沉積。
在方法300的操作306處,根據一些實施例,在覆蓋層220的一部分上方沉積吸收體層230。第4C圖係根據一些實施例的在覆蓋層220的一部分上方沉積吸收體層230之後的第4B圖及第4B'圖的結構的橫截面圖。第4C'圖係第4C圖的結構的沿著線B-B'的橫截面圖。
參考第4C圖及第4C’圖,吸收體層230被佈置在覆蓋層220的中央部分上方。吸收體層230可用於吸收投影至極紫外光遮罩200上的EUV波長的輻射。吸收體層230包括在EUV波長中具有高吸收係數的材料。在一些實施例中,吸收體層230包括在13.5 nm波長處具有高吸收係數的材料。在一些實施例中,吸收體層230包括鉻(Cr)、氧化鉻(CrO)、氮化鈦(TiN)、氮化鉭(TaN)、鉭(Ta)、鈦(Ti)、Mo、鋁銅(AlCu)、鈀(Pd)、氮化鉭硼(TaBN)、氧化鉭硼(TaBO)、氧化鋁(Al 2O 3)、氧化銀(Ag 2O)、或其組合。在一些實施例中,吸收體層230具有單層結構。在一些其他實施例中,吸收體層230具有多層結構。在一些實施例中,吸收體層230藉由沉積製程形成,舉例而言,CVD、PECVD、諸如直流電磁控濺鍍的PVD、離子束沉積、或ALD。在一些實施例中,吸收體層230藉由在氬環境中濺射吸收體材料的靶來沉積。在沉積製程期間,在基板202與靶之間置放屏蔽板以控制吸收體層230的位置及大小。在一些實施例中,屏蔽板被定位成遮蔽覆蓋層220的周邊部分,使得濺射的原子僅被覆蓋層220的未遮蔽的中央部分接收。因此,吸收體層230僅形成在覆蓋層220的中央部分上,而不形成在覆蓋層220的周邊部分上。在一些實施例中,吸收體層230形成為具有在第一方向上延伸的第一條帶及在橫貫第一方向的第二方向上延伸的第二條帶。在一些實施例中,第一條帶及第二條帶中的各者具有132 cm的長度及104 cm的寬度,或134 cm的長度及106 cm的寬度,儘管其他長度及寬度係可能的。
在方法300的操作308處,根據一些實施例,在覆蓋層220的未被吸收體層230佔據的部分上方沉積磁性層240。第4D圖係根據一些實施例的在覆蓋層220的未被吸收體層230佔據的部分上方沉積磁性層240之後的第4C圖及第4C'圖的結構的俯視圖。第4D圖'係第4D圖的結構的沿著線B-B'的橫截面圖。
參考第4D圖及第4D'圖,磁性層240被佈置在覆蓋層220的周邊部分上方,側向抵接於吸收體層230。在一些實施例中,磁性層240包括具有能夠在EUV微影期間吸引磁性粒子的足夠磁性強度的磁性材料。在一些實施例中,磁性層240包括鐵(Fe)、鎳(Ni)、鈷(Co)、或其合金,諸如CoFe、FeNi、或CoFeNi。在一些實施例中,磁性層240藉由沉積製程形成,諸如舉例而言,CVD、PECVD、諸如直流電磁控濺鍍的PVD、離子束沉積、或ALD。在一些實施例中,磁性層240藉由在氬環境中濺射磁性材料的靶來沉積。在沉積製程期間,在基板202與靶之間置放屏蔽板以控制磁性層240的位置及大小。在一些實施例中,屏蔽板被定位成遮蔽吸收體層230所在的覆蓋層220的中央部分,使得濺射的原子僅被覆蓋層220的未遮蔽的周邊部分接收。結果,磁性層240僅形成在覆蓋層220的周邊部分上,而不形成在覆蓋層220的中央部分上。
在方法300的操作310處,根據一些實施例,硬遮罩層250沉積在吸收體層230及磁性層240上方。第4E圖係根據一些實施例的硬遮罩層250沉積在吸收體層230及磁性層240上方之後的第4D圖及第4D'圖的結構的俯視圖。第4E'圖係第4E圖的結構的沿著線B-B'的橫截面圖。
參考第4E圖及第4E'圖,硬遮罩層250直接佈置在吸收體層230及磁性層240上方。在一些實施例中,硬遮罩層250包括諸如二氧化矽的介電氧化物或諸如氮化矽的介電氮化物。在一些實施例中,硬遮罩層250使用諸如舉例而言,CVD、PECVD、或PVD的沉積製程形成。
在方法300的操作312處,根據一些實施例,在硬遮罩層250上方施加第一光阻層260。第4F圖係根據一些實施例的在硬遮罩層250上方施加第一光阻層260之後的第4E圖及第4E'圖的結構的橫截面圖。
參考第4F圖,第一光阻層260被直接佈置在硬遮罩層250上方。第一光阻層260包括可操作以藉由輻射經圖案化的感光性材料。在一些實施例中,第一光阻層260包括正性光阻材料、負性光阻材料或混合性光阻材料。在一些實施例中,舉例而言,藉由旋塗將第一光阻層260施加至硬遮罩層250的表面。
在方法300的操作314處,根據一些實施例,第一光阻層260經微影圖案化以形成經圖案化第一光阻層260P。第4G圖係根據一些實施例的在對第一光阻層260進行微影圖案化以形成經圖案化第一光阻層260P之後的第4F圖的結構的橫截面圖。
參考第4G圖,藉由使第一光阻層260遭受圖案照射來圖案化第一光阻層260。接著,取決於在第一光阻層260中使用正性或負性光阻的情況,用光阻顯影劑移除第一光阻層260的經曝光或未曝光部分,從而形成具有在其中形成的開口262的經圖案化第一光阻層260P。開口262暴露了硬遮罩層250的部分。開口262位於圖案區200A中,且對應於極紫外光遮罩200中存在開口252的位置(第2A圖及第2B圖)。
在方法300的操作316處,根據一些實施例,使用經圖案化第一光阻層260P作為蝕刻遮罩蝕刻硬遮罩層250,以形成經圖案化硬遮罩層250P。第4H圖係根據一些實施例的在蝕刻硬遮罩層250以形成經圖案化硬遮罩層250P之後的第4G圖的結構的橫截面圖。
參考第4H圖,由經圖案化第一光阻層260P中的開口262暴露的硬遮罩層250的部分經蝕刻以形成開口264。開口264延伸穿過硬遮罩層250,暴露下伏之吸收體層230的部分。在一些實施例中,硬遮罩層250使用各向異性蝕刻來蝕刻。在一些實施例中,各向異性蝕刻係乾式蝕刻(諸如舉例而言,活性離子蝕刻(reactive ion etch,RIE))、濕式蝕刻,或其組合。蝕刻移除對提供吸收體層230的材料具有選擇性的提供硬遮罩層250的材料。硬遮罩層250的剩餘部分構成經圖案化硬遮罩層250P。若在硬遮罩層250的蝕刻期間未完全消耗,則在蝕刻硬遮罩層250之後,經圖案化第一光阻層260P例如使用濕式剝離或電漿灰化自經圖案化硬遮罩層250P的表面移除。
在方法300的操作318處,根據一些實施例,使用經圖案化硬遮罩層250P作為蝕刻遮罩蝕刻吸收體層230,以形成經圖案化吸收體層230P。第4I圖係根據一些實施例的在蝕刻吸收體層230以形成經圖案化吸收體層230P之後的第4G圖的結構的橫截面圖。
參考第4I圖,由經圖案化硬遮罩層250P中的開口264暴露的吸收體層230的部分經蝕刻以形成開口252。開口252延伸穿過吸收體層230,暴露下伏覆蓋層220的部分。在一些實施例中,吸收體層230使用各向異性蝕刻製程來蝕刻。在一些實施例中,各向異性蝕刻係乾式蝕刻(諸如舉例而言,RIE)、濕式蝕刻、或其組合,蝕刻移除對提供覆蓋層220的材料具有選擇性的提供吸收體層230的材料。舉例而言,在一些實施例中,吸收體層230用含有氯的氣體(諸如Cl 2或BCl 3)、或含有氟的氣體(諸如NF 3)進行乾式蝕刻。Ar可被用作載氣。在一些實施例中,亦可包括氧(O 2)作為載氣。蝕刻速度及蝕刻選擇性取決於蝕刻劑氣體、蝕刻劑流動速度、功率、壓力、基板溫度。在蝕刻之後,例如藉由氧電漿移除經圖案化硬遮罩層250P。吸收體層230的剩餘部分構成經圖案化吸收體層230P。
在方法300的操作320處,根據一些實施例,在經圖案化吸收體層230P、磁性層240、及覆蓋層220上方形成包括開口272的圖案的經圖案化第二光阻層270P。第4J圖及第4K圖係根據一些實施例的在經圖案化吸收體層230P、磁性層240、及覆蓋層220上方形成包括開口272的經圖案化第二光阻層270P之後的第4I圖的結構的橫截面圖。
參考第4J圖及第4K圖,經圖案化第二光阻層270P中的開口272暴露經圖案化吸收體層230P的待形成極紫外光遮罩200中的溝槽254的部分。在一些實施例中且如第4J圖中所示,開口位置經選擇使得開口272暴露經圖案化吸收體層230P周邊處的經圖案化吸收體層230P的部分。在一些其他實施例中且如第4K圖中所示,開口位置經選擇使得開口272暴露經圖案化吸收體層230P及磁性層240兩者的部分。
為了形成經圖案化第二光阻層270P,在經圖案化吸收體層230P、磁性層240、及覆蓋層220的露出的表面上方施加第二光阻層(未示出)。第二光阻層填充了經圖案化吸收體層230P中的開口252。在一些實施例中,第二光阻層包括正性光阻材料、負性光阻材料或混合性光阻材料。在一些實施例中,第二光阻層包括與以上第4F圖中描述的第一光阻層260相同的材料。在一些實施例中,第二光阻層包括與第一光阻層260不同的材料。在一些實施例中,第二光阻層例如藉由旋塗形成。隨後藉由將第二光阻層曝光於輻射圖案、及取決於是否使用正性或負性光阻的情況使用光阻顯影劑移除第二光阻層的經曝光或未曝光部分來圖案化第二光阻層。光阻層的剩餘部分構成經圖案化第二光阻層270P。
在方法300的操作322處,根據一些實施例,使用經圖案化第二光阻層270P作為蝕刻遮罩蝕刻經圖案化吸收體層230P、覆蓋層220、及反射多層堆疊210以形成溝槽254。第4L圖係根據一些實施例的在蝕刻經圖案化吸收體層230P、覆蓋層220、及反射多層堆疊210以形成溝槽254之後的第4J圖的結構的橫截面圖。第4M圖係根據一些實施例的在蝕刻經圖案化吸收體層230P、覆蓋層220、及反射多層堆疊210以形成溝槽254之後的第4K圖的結構的橫截面圖。
參考第4L圖及第4M圖,溝槽254延伸穿過經圖案化吸收體層230P、覆蓋層220、及反射多層堆疊210以露出基板202的表面。溝槽254圍繞極紫外光遮罩200的圖案區200A,將圖案區200A與邊界區200B分開。
在一些實施例中,使用單個各向異性蝕刻製程蝕刻經圖案化吸收體層230P、覆蓋層220、及反射多層堆疊210。各向異性蝕刻可係乾式蝕刻(諸如舉例而言,RIE)、濕式蝕刻、或其組合,其移除對提供基板202的材料具有選擇性的各自的經圖案化吸收體層230P、覆蓋層220、及反射多層堆疊210的材料。在一些實施例中,經圖案化吸收體層230P、覆蓋層220、及反射多層堆疊210使用多個不同的各向異性蝕刻製程來蝕刻。各個各向異性蝕刻可係乾式蝕刻(諸如舉例而言,RIE)、濕式蝕刻、或其組合。在一些實施例中,蝕刻亦移除由開口272暴露的磁性層240的部分,使得溝槽254亦延伸穿過磁性層240。
若在經圖案化吸收體層230P、覆蓋層220、及反射多層堆疊210的蝕刻期間未完全消耗,則在蝕刻之後,經圖案化第二光阻層270P例如使用濕式剝離或電漿灰化自磁性層240、經圖案化吸收體層230P、及覆蓋層220的表面移除,從而提供極紫外光遮罩200(第2A圖及第2B圖)。
在移除經圖案化第二光阻層270P之後,清洗極紫外光遮罩200以自其中移除任何污染物。在一些實施例中,藉由將極紫外光遮罩200浸沒在氫氧化銨(NH 4OH)溶液中來清洗極紫外光遮罩200。在一些實施例中,藉由將極紫外光遮罩200浸沒在稀氫氟酸(hydrofluoric acid,HF)溶液中來清洗極紫外光遮罩200。
隨後用例如波長為193 nm的UV光輻射極紫外光遮罩200以檢查圖案區200A中的任何缺陷。可自漫反射光中檢測出異物。若檢測到污染物,則使用適合的清洗製程進一步清洗極紫外光遮罩200。
第5A圖係根據本揭露的一些實施例的第二示例性極紫外光遮罩500的橫截面圖。第5B圖係根據本揭露的一些替代實施例的第二示例性極紫外光遮罩500的橫截面圖。
參考第5A圖及第5B圖,極紫外光遮罩500包括基板502、基板502的正面上方的磁性層506、及磁性層506的一部分上方的遮罩材料堆疊。遮罩材料堆疊由下而上包括反射多層堆疊510、覆蓋層520、及經圖案化吸收體層530P。極紫外光遮罩500進一步包括基板502的與正面相對的背面上方的導電層504。
經圖案化吸收體層530P含有對應於待在半導體晶圓上形成的電路圖案的開口552的圖案。開口552的圖案位於極紫外光遮罩500的圖案區500A中,暴露覆蓋層520的表面。圖案區500A被極紫外光遮罩500的邊界區500B圍繞。邊界區500B對應於極紫外光遮罩500的在IC製造期間未用於曝光製程的非圖案化區。在一些實施例中,極紫外光遮罩500的圖案區500A位於基板502的中央區,且邊界區500B位於基板502的周邊部分處。圖案區500A與邊界區500B藉由溝槽554分開。溝槽554延伸穿過經圖案化吸收體層530P、覆蓋層520、反射多層堆疊510、及磁性層506,暴露基板202的正面。在一些實施例中且如第5A圖中所示,溝槽554將遮罩材料堆疊(510、520、530P)分為兩部分。相應地,遮罩材料堆疊(510、520、530P)的第一部分位於極紫外光遮罩500的圖案區500A中,而遮罩材料堆疊(510、520、530P)的第二部分及未被遮罩材料堆疊(510、520、530P)覆蓋的磁性層506的周邊部分則位於極紫外光遮罩500的邊界區500B中。在一些其他實施例中且如第5B圖中所示,遮罩材料堆疊(510、520、530P)的全部位於極紫外光遮罩500的圖案區500A中,而未被遮罩材料堆疊(510、520、530P)覆蓋的磁性層506的周邊部分位於極紫外光遮罩500的邊界區500B中。
在EUV微影期間,極紫外光遮罩500的邊界區500B中的磁性層506的露出的部分吸引且保持污染粒子在其上。結果,EUV掃描器100(第1圖)中可能附著在極紫外光遮罩500的圖案區500A上的污染粒子可由未被遮罩材料堆疊(510、520、530P)覆蓋的磁性層506的露出的部分偏轉。因此,引入磁性層506有助於防止污染粒子附著在極紫外光遮罩500的圖案區500A上,這進而有助於提高微影轉移圖案的保真度且減少圖案化誤差。
第6圖係根據一些實施例的用於製造例如極紫外光遮罩500的極紫外光遮罩的方法600的流程圖。第7A圖至第7M圖係根據一些實施例的在製造製程的各種階段的極紫外光遮罩500的各種視圖。以下參考極紫外光遮罩500詳細討論方法600。在一些實施例中,在方法600之前、期間、及/或之後執行額外的操作,或替換及/或取消所描述的一些操作。在一些實施例中,以下描述的一些特徵被替換或取消。本領域的普通技術人員將理解,儘管一些實施例係以特定次序執行的操作來論述,但這些操作可以另一邏輯次序執行。
參考第6圖,方法600包括操作602,其中根據一些實施例,磁性層506在基板502上方沉積。第7A圖係根據一些實施例的在基板502上方沉積磁性層506之後的極紫外光遮罩500(見第5A圖及第5B圖)的初始結構的俯視圖。第7A'圖係第7A圖的結構的沿著線B-B'的橫截面圖。
參考第7A圖及第7A'圖,極紫外光遮罩500的初始結構包括由低熱膨脹材料製成的基板502,如以上與第4A圖及第4A'圖中的基板202所描述。在一些實施例中,基板502包括熔融矽、熔融石英、氟化鈣、碳化矽、黑金剛石、或氧化鈦摻雜的氧化矽(SiO 2/TiO 2)。
在一些實施例中,導電層504被佈置在基板502的背面。在一些實施例中,導電層504與基板502的背面直接接觸。導電層504提供一個導電平面,以便在極紫外光遮罩500的製造及使用期間,將極紫外光遮罩500(第5A圖及第5B圖)靜電夾持至遮罩台。在一些實施例中,導電層504包括CrN或TaB。在一些實施例中,導電層504藉由沉積製程形成,諸如舉例而言,CVD、PECVD、或PVD。導電層504的厚度經控制使得導電層504係光學透明的。
磁性層506被佈置成與基板502的與背面相對的正面直接接觸。在一些實施例中,磁性層506包括具有能夠在EUV微影期間吸引磁性粒子的足夠磁性強度的磁性材料。在一些實施例中,磁性層506包括Fe、Ni、Co、或其合金,諸如CoFe、FeNi、或CoFeNi。在一些實施例中,磁性層506藉由沉積製程形成,諸如舉例而言,CVD、PECVD、諸如直流電磁控濺鍍的PVD、離子束沉積、或ALD。
在方法600的操作604處,根據一些實施例,反射多層堆疊510形成在磁性層506的一部分上方。第7B圖係根據一些實施例的在磁性層506的部分上方形成反射多層堆疊510之後的第7A圖及第7A'圖的結構的俯視圖。第7B'圖係第7B圖的結構的沿著線B-B'的橫截面圖。
參考第7B圖及第7B'圖,反射多層堆疊510被佈置在磁性層506的中央部分上方。反射多層堆疊510提供對EUV光的高反射性。在一些實施例中,反射多層堆疊510用以在EUV照明波長峰值(例如,13.5 nm的EUV照明)下達成約60 %至約75 %的反射性。
在一些實施例中,反射多層堆疊510包括高折射率材料及低折射率材料的交替堆疊層。具有高折射率的材料有散射EUV光的傾向,且另一方面,具有低折射率的材料有透射EUV光的傾向。將這兩種類型的材料配對在一起提供了共振反射性。在一些實施例中,反射多層堆疊510包括Mo及Si的交替堆疊層。在一些實施例中,反射多層堆疊510包括交替堆疊的Mo及Si層,Si在最頂層。在一些實施例中,鉬層與磁性層506直接接觸。在其他一些實施例中,矽層與磁性層506直接接觸。替代地,反射多層堆疊510包括Mo及Be的交替堆疊層。
反射多層堆疊510中各層的厚度取決於EUV波長及EUV光的入射角。反射多層堆疊510中的交替層的厚度經調整以最大化在各個介面處反射的EUV光的相長干擾,且最小化EUV光的整體吸收。在一些實施例中,反射多層堆疊510包括30至60對Mo及Si的交替層。各個Mo/Si對具有範圍自約2 nm至約7 nm的厚度,總厚度範圍自約100 nm至約300 nm。
在一些實施例中,反射多層堆疊510中的各層使用離子束沉積或直流電磁控濺鍍沉積在磁性層506及下伏層上方。所使用的沉積方法有助於確保反射多層堆疊510的厚度均勻性優於跨基板202的約0.85。舉例而言,為了形成Mo/Si反射多層堆疊510,使用Mo靶沉積Mo層,接著使用Si靶沉積Si層。藉由在40至50個循環(各個循環包含以上步驟)中堆疊Si層及Mo層,沉積出Mo/Si反射多層堆疊。
在沉積製程期間,屏蔽板被定位成遮蔽磁性層506的周邊部分,使得濺射的原子僅被磁性層506的未被遮蔽的中央部分接收。因此,反射多層堆疊510僅形成在磁性層506的中央部分上,而不形成在磁性層506的周邊部分上。在一些實施例中,磁性層506可具有方形橫截面;各邊的長度為約148 cm或152 cm。在一些實施例中,反射多層堆疊510亦可具有方形橫截面;各邊的長度為約138 cm。
在方法600的操作606處,根據一些實施例,覆蓋層520沉積在反射多層堆疊510上方。第7C圖係根據一些實施例的在反射多層堆疊510上方沉積覆蓋層520之後的第7B圖及第7B'圖的結構的俯視圖。第7C'圖係第7C圖的結構的沿著線B-B'的橫截面圖。
參考第7C圖及第7C'圖,覆蓋層520被佈置在反射多層堆疊510的最頂表面上方。覆蓋層520有助於保護反射多層堆疊510免受氧化及在隨後的遮罩製造製程期間反射多層堆疊510可暴露於其中的任何化學蝕刻劑。
在一些實施例中,覆蓋層520包括抵抗氧化及腐蝕、且具有與常見的大氣氣體種類(諸如氧、氮、及水蒸汽)的低化學反應性的材料。在一些實施例中,覆蓋層520包括Zr、Ru、RuB、RuO、RuNb、或RuNbO。
在一些實施例中,覆蓋層520使用沉積製程形成,諸如離子束沉積、CVD、PVD、或ALD。在Ru層使用離子束沉積待形成為覆蓋層520的情況下,可藉由使用Ru靶作為濺射靶在Ar環境中進行沉積。在沉積製程期間,屏蔽板被定位成遮蔽磁性層506的周邊部分,使得濺射的原子僅由反射多層堆疊510的未被遮蔽的部分接收。結果,覆蓋層520僅形成在反射多層堆疊510上,而不形成在磁性層506的周邊部分上。
在方法600的操作608處,根據一些實施例,吸收體層530沉積在覆蓋層520上方。第7D圖係根據一些實施例的在將吸收體層530沉積在覆蓋層520上方之後的第7C圖及第7C'圖的結構的俯視圖。第7D'圖係第7D圖的結構的沿著線B-B'的橫截面圖。
參考第7D圖及第7D'圖,吸收體層530可用於吸收投影至極紫外光遮罩500上的EUV波長的輻射。吸收體層530包括在EUV波長中具有高吸收係數的材料。在一些實施例中,吸收體層530包括在13.5 nm波長處具有高吸收係數的材料。在一些實施例中,吸收體層530包括Cr、CrO、TiN、TaN、Ta、Ti、Mo、Pd、TaBN、TaBO、Al 2O 3、Ag 2O、或諸如AlCu或TaPd的合金。在一些實施例中,吸收體層530具有單層結構。在一些其他實施例中,吸收體層530具有多層結構。在一些實施例中,吸收體層530藉由沉積製程形成,諸如舉例而言,CVD、PECVD、諸如直流電磁控濺鍍的PVD、離子束沉積、或ALD。在一些實施例中,吸收體層530藉由在氬環境下濺射吸收體材料的靶來沉積。在沉積製程期間,在基板502與靶之間置放屏蔽板以遮蔽磁性層506的周邊部分,使得濺射的原子僅被覆蓋層520的未遮蔽部分接收。結果,吸收體層530僅形成在覆蓋層520上,而不形成在磁性層506的周邊部分上。
在方法600的操作610處,根據一些實施例,硬遮罩層540沉積在吸收體層530上方。第7E圖係根據一些實施例的在吸收體層530上方沉積硬遮罩層540之後的第7D圖及第7D'圖的結構的俯視圖。第7E'圖係第7E圖的結構的沿著線B-B'的橫截面圖。
參考第7E圖及第7E'圖,硬遮罩層540被直接佈置在吸收體層530上方。在一些實施例中,硬遮罩層540包括諸如二氧化矽的介電氧化物或諸如氮化矽的介電氮化物。在一些實施例中,硬遮罩層540使用沉積製程形成,諸如舉例而言,CVD、PECVD、諸如直流電磁控濺鍍的PVD、離子束沉積、或ALD。在一些實施例中,硬遮罩層540係藉由在氬環境中濺射硬遮罩介電材料的靶來沉積。在沉積製程期間,在基板502與靶之間置放屏蔽板以遮蔽磁性層506的周邊部分,使得濺射的原子僅由吸收體層530的未遮蔽部分接收。結果,硬遮罩層540僅形成在吸收體層530上,而不形成在磁性層506的周邊部分上。
在方法600的操作612處,根據一些實施例,在硬遮罩層540及磁性層506上方施加第一光阻層550。第7F圖係根據一些實施例的在硬遮罩層540上方施加第一光阻層550之後的第7E圖及第7E'圖的結構的橫截面圖。
參考第7F圖,第一光阻層550被佈置至磁性層506的周邊部分、反射多層堆疊510、覆蓋層520、吸收體層530、及硬遮罩層540的露出的表面。第一光阻層550包括可操作以藉由輻射經圖案化的感光性材料。在一些實施例中,第一光阻層550包括正性光阻材料、負性光阻材料或混合性光阻材料。在一些實施例中,例如藉由旋塗施加第一光阻層550。
在方法600的操作614處,根據一些實施例,對第一光阻層550進行光學微影圖案化以形成經圖案化第一光阻層550P。第7G圖係根據一些實施例的對第一光阻層550進行光學微影圖案化以形成經圖案化第一光阻層550P之後的第7F圖的結構的橫截面圖。
參考第7G圖,藉由使第一光阻層550遭受圖案照射來圖案化第一光阻層550。接著,取決於在第一光阻層550中使用正性或負性光阻的情況,用光阻顯影劑移除第一光阻層550的經曝光或未曝光部分,從而形成具有在其中形成的開口562的圖案的經圖案化第一光阻層550P。開口562暴露了硬遮罩層540的部分。開口562位於圖案區200A中且對應於在極紫外光遮罩500中存在開口552的位置(第5A圖及第5B圖)。
在方法600的操作616處,根據一些實施例,使用經圖案化第一光阻層550P作為蝕刻遮罩蝕刻硬遮罩層540,以形成經圖案化硬遮罩層540P。第7H圖係根據一些實施例的在蝕刻硬遮罩層540以形成經圖案化硬遮罩層540P之後的第7G圖的結構的橫截面圖。
參考第7H圖,由經圖案化第一光阻層550P中的開口562暴露的硬遮罩層540的部分經蝕刻以形成開口564。開口564延伸穿過硬遮罩層540,暴露下伏吸收體層530的部分。在一些實施例中,硬遮罩層540使用各向異性蝕刻來蝕刻。在一些實施例中,各向異性蝕刻係乾式蝕刻(諸如舉例而言,RIE)、濕式蝕刻、或其組合。蝕刻移除對提供吸收體層530的材料具有選擇性的提供硬遮罩層540的材料。硬遮罩層540的剩餘部分構成經圖案化硬遮罩層540P。若在硬遮罩層540的蝕刻期間未完全消耗,在硬遮罩層540的蝕刻之後,經圖案化第一光阻層550P例如使用濕式剝離或電漿灰化自結構中移除。
在方法600的操作618處,根據一些實施例,使用經圖案化硬遮罩層540P作為蝕刻遮罩來蝕刻吸收體層530,以形成經圖案化吸收體層530P。第7I圖係根據一些實施例的在蝕刻吸收體層530以形成經圖案化吸收體層530P之後的第7G圖的結構的橫截面圖。
參考第7I圖,吸收體層530的由經圖案化硬遮罩層540P中的開口564暴露的部分經蝕刻以形成開口552。開口552延伸穿過吸收體層530,暴露下伏覆蓋層520的部分。在一些實施例中,吸收體層530使用各向異性蝕刻製程來蝕刻。在一些實施例中,各向異性蝕刻係乾式蝕刻(諸如舉例而言,RIE)、濕式蝕刻、或其組合,其移除對提供覆蓋層520的材料具有選擇性的提供吸收體層530的材料。舉例而言,在一些實施例中,吸收體層530用含有氯的氣體(諸如Cl 2或BCl 3)、或含有氟的氣體(諸如NF 3)進行乾式蝕刻。Ar可被用作載氣。在一些實施例中,亦可包括氧作為載氣。蝕刻速度及蝕刻選擇性取決於蝕刻劑氣體、蝕刻劑流動速度、功率、壓力、及基板溫度。在蝕刻之後,例如藉由氧電漿移除經圖案化硬遮罩層540P。吸收體層530的剩餘部分構成經圖案化吸收體層530P。
在方法600的操作620處,根據一些實施例,在磁性層506的周邊部分、反射多層堆疊510、覆蓋層520、及經圖案化吸收體層530P的露出的表面上方形成包括開口572的圖案的經圖案化第二光阻層570P。第7J圖及第7K圖係根據一些實施例的在磁性層506的周邊部分、反射多層堆疊510、覆蓋層520、及經圖案化吸收體層530P的露出的表面上方形成包括開口572的經圖案化第二光阻層570P之後的第7I圖的結構的橫截面圖。
參考第7J圖及第7K圖,經圖案化第二光阻層570P中的開口272暴露經圖案化吸收體層530P的待形成極紫外光遮罩500(第5A圖及第5B圖)中的溝槽554的部分。在一些實施例中且如第7J圖中所示,開口位置經選擇使得開口572暴露經圖案化吸收體層530P周邊處的經圖案化吸收體層530P的部分。在一些其他實施例中且如第7K圖中所示,開口位置經選擇使得開口572暴露經圖案化吸收體層530P及磁性層506的周邊部分兩者的部分。
為了形成經圖案化第二光阻層570P,在經圖案化吸收體層530P、覆蓋層520、及磁性層506的周邊部分上方施加第二光阻層(未示出)。第二光阻層填充了經圖案化吸收體層530P中的開口552。在一些實施例中,第二光阻層包括正性光阻材料、負性光阻材料或混合性光阻材料。在一些實施例中,第二光阻層包括與以上第7F圖中描述的第一光阻層550相同的材料。在一些實施例中,第二光阻層包括與第一光阻層550不同的材料。在一些實施例中,第二光阻層例如藉由旋塗形成。隨後藉由將第二光阻層曝光於輻射圖案、及取決於是否使用正性或負性光阻的情況使用光阻顯影劑移除第二光阻層的經曝光或未曝光部分來圖案化第二光阻層。光阻層的剩餘部分構成經圖案化第二光阻層570P。
在方法600的操作622處,根據一些實施例,使用經圖案化第二光阻層570P作為蝕刻遮罩蝕刻經圖案化吸收體層530P、覆蓋層520、反射多層堆疊510、及磁性層506,以形成溝槽554。第7L圖係根據一些實施例的在蝕刻經圖案化吸收體層530P、覆蓋層520、反射多層堆疊510、及磁性層506以形成溝槽254之後的第7J圖的結構的橫截面圖。第7M圖係根據一些實施例的在蝕刻經圖案化吸收體層530P、覆蓋層520、反射多層堆疊510、及磁性層506以形成溝槽554之後的第7K圖的結構的橫截面圖。
參考第7L圖及第7M圖,溝槽554延伸穿過經圖案化吸收體層530P、覆蓋層520、反射多層堆疊510、及磁性層506,以露出基板502的表面。溝槽554圍繞極紫外光遮罩500的圖案區500A,將圖案區500A與邊界區500B分開。
在一些實施例中,使用單個各向異性蝕刻製程蝕刻經圖案化吸收體層530P、覆蓋層520、反射多層堆疊510、及磁性層506。各向異性蝕刻可係乾式蝕刻(諸如舉例而言,RIE)、濕式蝕刻、或其組合,其移除對提供基板502的材料具有選擇性的各自的經圖案化吸收體層530P、覆蓋層520、反射多層堆疊510、及磁性層506的材料。在一些實施例中,經圖案化吸收體層530P、覆蓋層520、反射多層堆疊510、及磁性層506使用多個不同的各向異性蝕刻製程來蝕刻。各個各向異性蝕刻可係乾式蝕刻(諸如舉例而言,RIE)、濕式蝕刻、或其組合。
若在經圖案化吸收體層530P、覆蓋層520、反射多層堆疊510、及磁性層506的蝕刻期間未完全消耗,則在蝕刻之後,經圖案化第二光阻層570P例如使用濕式剝離或電漿灰化自經圖案化吸收體層530P、覆蓋層220、及磁性層506的表面移除,從而提供極紫外光遮罩500(第5A圖及第5B圖)。
在移除經圖案化第二光阻層570P之後,極紫外光遮罩500經清洗以移除其中的任何污染物。在一些實施例中,藉由將極紫外光遮罩500浸沒在NH 4OH溶液中來清洗極紫外光遮罩500。在一些實施例中,藉由將極紫外光遮罩500浸沒在稀HF溶液中來清洗極紫外光遮罩500。
隨後用例如波長為193 nm的UV光輻射極紫外光遮罩500以檢查圖案區500A中的任何缺陷。可自漫反射的光中檢測出異物。若檢測到污染物,則使用適合的清洗製程進一步清洗極紫外光遮罩500。
本揭露的一個態樣涉及包括圖案區及邊界區的極紫外光遮罩。極紫外光遮罩包括基板、基板上方的反射多層堆疊、反射多層堆疊上方的覆蓋層、覆蓋層的第一部分上方的經圖案化吸收體層、及覆蓋層的第一部分周圍的第二部分上方的磁性層。
本揭露的另一態樣涉及形成極紫外光遮罩的方法。方法包括在基板上方形成反射多層堆疊、在反射多層堆疊上方沉積覆蓋層、在覆蓋層的第一部分上方沉積吸收體層、在覆蓋層的第一部分周圍的第二部分上方沉積磁性層、及蝕刻吸收體層以在吸收體層中形成複數個開口。複數個開口暴露覆蓋層的表面。
本揭露的又另一態樣涉及形成極紫外光遮罩的方法。方法包括在基板的第一側上方沉積磁性層、在磁性層的一部分上方形成反射多層堆疊,其中磁性層的周邊部分被露出、在反射多層堆疊上方沉積覆蓋層、在覆蓋層上方沉積吸收體層、及蝕刻吸收體層以形成包含在其中的複數個開口的經圖案化吸收體層,開口暴露覆蓋層的表面。
前述內容概述若干實施例的特徵,使得熟習此項技術者可更佳地理解本揭露的態樣。熟習此項技術者應瞭解,其可易於使用本揭露作為用於設計或修改用於實施本文中引入的實施例的相同目的及/或達成相同優勢的其他製程及結構的基礎。熟習此項技術者亦應認識到,此類等效構造並不偏離本揭露的精神及範疇,且此類等效構造可在本文中進行各種改變、取代、及替代而不偏離本揭露的精神及範疇。
B-B':線 100:EUV掃描器 102:光源 104:光學組件 106:遮罩台 108:投影光學模組 110:基板台 112:極紫外光遮罩 114:半導體晶圓 116:氣體供應模組 200:極紫外光遮罩 200A:圖案區 200B:邊界區 202:基板 204:導電層 210:反射多層堆疊 220:覆蓋層 230:吸收體層 230P:經圖案化吸收體層 240:磁性層 250:硬遮罩層 250P:經圖案化硬遮罩層 252:開口 254:溝槽 260:第一光阻層 260P:經圖案化第一光阻層 262:開口 264:開口 270P:經圖案化第二光阻層 272:開口 300:方法 302:操作 304:操作 306:操作 308:操作 310:操作 312:操作 314:操作 316:操作 318:操作 320:操作 322:操作 500:極紫外光遮罩 500A:圖案區 500B:邊界區 502:基板 504:導電層 506:磁性層 510:反射多層堆疊 520:覆蓋層 530:吸收體層 530P:經圖案化吸收體層 540:硬遮罩層 540P:經圖案化硬遮罩層 550:第一光阻層 550P:經圖案化第一光阻層 552:開口 554:溝槽 562:開口 564:開口 552:開口 554:溝槽 570P:經圖案化第二光阻層 572:開口 600:方法 602:操作 604:操作 606:操作 608:操作 610:操作 612:操作 614:操作 616:操作 618:操作 620:操作 622:操作
本揭露的態樣在與隨附圖式一起研讀時自以下詳細描述內容來最佳地理解。應注意,根據行業中的標準慣例,各種特徵未按比例繪製。實際上,各種特徵的尺寸可為了論述清楚經任意地增大或減小。 第1圖係根據一些實施例的極紫外(extreme ultraviolet,EUV)掃描器的示意方塊圖。 第2A圖係根據一些實施例的第一示例性極紫外光遮罩的橫截面圖。 第2B圖係根據一些替代實施例的第一示例性極紫外光遮罩的橫截面圖。 第3圖係根據一些實施例的用於製造第一示例性極紫外光遮罩的方法的流程圖。 第4A圖至第4M圖係根據一些實施例在第3圖的製造製程的各個階段的第一示例性極紫外光遮罩的各種視圖。 第5A圖係根據一些實施例的第二示例性極紫外光遮罩的橫截面圖。 第5B圖係根據一些替代實施例的第二示例性極紫外光遮罩的橫截面圖。 第6圖係根據一些實施例的用於製造第二示例性極紫外光遮罩的方法的流程圖。 第7A圖至第7M圖係根據一些實施例在第6圖的製造製程的各個階段的第二極紫外光遮罩的各種視圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
500:極紫外光遮罩
500A:圖案區
500B:邊界區
502:基板
504:導電層
506:磁性層
510:反射多層堆疊
520:覆蓋層
530P:經圖案化吸收體層
552:開口
554:溝槽

Claims (20)

  1. 一種包含一圖案區及一邊界區的極紫外光遮罩,包含: 一基板; 在該基板上方的一反射多層堆疊; 在該反射多層堆疊上方的一覆蓋層; 在該覆蓋層的一第一部分上方的一經圖案化吸收體層;及 在該覆蓋層的該第一部分周圍的一第二部分上方的一磁性層。
  2. 如請求項1所述的極紫外光遮罩,其中該磁性層包含鐵、鎳、鈷、其組合或其合金。
  3. 如請求項1所述的極紫外光遮罩,其中該經圖案化吸收體層包含一非磁性材料。
  4. 如請求項1所述的極紫外光遮罩,其中該經圖案化吸收體層包含鉻(Cr)、氧化鉻(CrO)、氮化鈦(TiN)、氮化鉭(TaN)、鉭(Ta)、鈦(Ti)、Mo、鋁銅(AlCu)、鈀(Pd)、氮化鉭硼(TaBN)、氧化鋁(Al 2O 3)或氧化銀(Ag 2O)。
  5. 如請求項1所述的極紫外光遮罩,其中該磁性層在該極紫外光遮罩的該邊界區中。
  6. 如請求項1所述的極紫外光遮罩,其中全部的該經圖案化吸收體層都在該極紫外光遮罩的該圖案區中。
  7. 如請求項1所述的極紫外光遮罩,其中該經圖案化吸收體層的一第一部分在該極紫外光遮罩的該圖案區中,且該經圖案化吸收體層的一第二部分在該極紫外光遮罩的該邊界區中,其中該經圖案化吸收體層的該第一部分包含複數個開口的一圖案。
  8. 如請求項7所述的極紫外光遮罩,其中該磁性層側向接觸該經圖案化吸收體層的該第二部分的複數個側壁。
  9. 一種形成極紫外光遮罩的方法,其包含以下步驟: 在一基板上方形成一反射多層堆疊; 在該反射多層堆疊上方沉積一覆蓋層; 在該覆蓋層的一第一部分上方沉積一吸收體層; 在該覆蓋層的該第一部分周圍的一第二部分上方沉積一磁性層;及 蝕刻該吸收體層以形成在該吸收體層中的複數個開口,該些開口暴露該覆蓋層的一表面。
  10. 如請求項9所述的方法,其中沉積該吸收體層之步驟包含以下步驟: 在該基板與包含一吸收體材料的一第一靶之間置放一屏蔽板,其中該屏蔽板遮蔽該第二部分,且該覆蓋層的該第一部分未遮蔽;及 在一氬環境中濺射該第一靶以在該覆蓋層的該第一部分上方沉積該吸收體層。
  11. 如請求項9所述的方法,其中沉積該磁性層之步驟包含以下步驟: 在該基板與包含一磁性材料的一第二靶之間置放一屏蔽板,其中該屏蔽板遮蔽該第一部分,而該覆蓋層的該第二部分未遮蔽;及 在一氬環境中濺射該第二靶以在該覆蓋層的該第一部分上方沉積該磁性層。
  12. 如請求項9所述的方法,其中該磁性層包含鐵、鎳、鈷、其組合或其合金。
  13. 如請求項9所述的方法,進一步包含以下步驟:在該吸收體層及該磁性層上方沉積一硬遮罩層。
  14. 如請求項13所述的方法,其中蝕刻該吸收體層之步驟包含以下步驟: 在該硬遮罩層上方沉積一光阻層; 圖案化該光阻層以形成包含複數個開口的一經圖案化光阻層; 使用該經圖案化光阻層作為一蝕刻遮罩蝕刻該硬遮罩層以形成一經圖案化硬遮罩層;及 使用該經圖案化硬遮罩層作為一蝕刻遮罩蝕刻該吸收體層以形成在其中的複數個開口。
  15. 一種形成極紫外光遮罩的方法,包含以下步驟: 在一基板的一第一側上方沉積一磁性層; 在該磁性層的一部分上方形成一反射多層堆疊,其中該磁性層的一周邊部分被露出; 在該反射多層堆疊上方沉積一覆蓋層; 在該覆蓋層上方沉積一吸收體層;及 蝕刻該吸收體層以形成包含在該吸收體層中的複數個開口的一經圖案化吸收體層,該些開口暴露該覆蓋層的一表面。
  16. 如請求項15所述的方法,其中沉積該磁性層之步驟包含以下步驟:沉積鐵、鎳、鈷、其組合或其合金。
  17. 如請求項15所述的方法,進一步包含以下步驟:在該基板的與該第一側相對的一第二側上方形成一導電層。
  18. 如請求項15所述的方法,進一步包含以下步驟:形成延伸穿過該經圖案化吸收體層、該覆蓋層、該反射多層堆疊及該磁性層的複數個溝槽,其中該些溝槽暴露該基板的該第一側。
  19. 如請求項15所述的方法,進一步包含以下步驟:形成延伸穿過該磁性層的複數個溝槽,其中該些溝槽暴露該基板的一表面。
  20. 如請求項15所述的方法,其中形成該反射多層堆疊之步驟包含以下步驟:在該磁性層的未被一屏蔽板屏蔽的該部分上方沉積一高折射率材料及一低折射率材料的複數個交替層。
TW110131097A 2020-10-16 2021-08-23 極紫外光遮罩與其形成方法 TWI787966B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063092907P 2020-10-16 2020-10-16
US63/092,907 2020-10-16
US17/347,322 2021-06-14
US17/347,322 US20220121101A1 (en) 2020-10-16 2021-06-14 Absorber materials for extreme ultraviolet mask

Publications (2)

Publication Number Publication Date
TW202230017A true TW202230017A (zh) 2022-08-01
TWI787966B TWI787966B (zh) 2022-12-21

Family

ID=80283370

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110131097A TWI787966B (zh) 2020-10-16 2021-08-23 極紫外光遮罩與其形成方法

Country Status (3)

Country Link
US (1) US20220121101A1 (zh)
CN (1) CN114077158A (zh)
TW (1) TWI787966B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11604406B2 (en) * 2019-07-24 2023-03-14 Intel Corporation Method and apparatus for fabrication of very large scale integration pattern features via electroless deposition on extreme ultraviolet lithography photomasks

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11086215B2 (en) * 2017-11-15 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask with reduced mask shadowing effect and method of manufacturing the same
US11137675B2 (en) * 2018-08-14 2021-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Mask and method for forming the same
US11275301B2 (en) * 2018-08-31 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask and method of manufacturing the same

Also Published As

Publication number Publication date
CN114077158A (zh) 2022-02-22
TWI787966B (zh) 2022-12-21
US20220121101A1 (en) 2022-04-21

Similar Documents

Publication Publication Date Title
US11086215B2 (en) Extreme ultraviolet mask with reduced mask shadowing effect and method of manufacturing the same
US11852965B2 (en) Extreme ultraviolet mask with tantalum base alloy absorber
US11846880B2 (en) Extreme ultraviolet mask and method for forming the same
US11988953B2 (en) EUV masks to prevent carbon contamination
TWI787966B (zh) 極紫外光遮罩與其形成方法
CN110658676B (zh) 极紫外光微影光罩及其制造方法
TWI820754B (zh) 用於微影圖案化光阻的方法以及極紫外線遮罩及其使用方法
KR20220161165A (ko) 합금 기반 흡수재를 사용한 극자외선 마스크
CN110824853B (zh) 遮罩及其制造方法和使用方法
TW202125092A (zh) 反射式光罩坯體及其製造方法
KR20200088543A (ko) 포토 마스크, 이의 제조방법, 및 이를 이용한 반도체 소자의 제조방법
US20240192581A1 (en) Extreme ultraviolet mask with tantalum base alloy absorber
US11815804B2 (en) EUV mask blank and method of making EUV mask blank
US20230116213A1 (en) Extreme ultraviolet mask with capping layer
US20240192582A1 (en) Extreme ultraviolet mask and method for forming the same
KR20230076087A (ko) 캡핑층을 가진 극자외선 마스크