TW202226511A - 包括玻璃核心之微電子結構 - Google Patents

包括玻璃核心之微電子結構 Download PDF

Info

Publication number
TW202226511A
TW202226511A TW110134460A TW110134460A TW202226511A TW 202226511 A TW202226511 A TW 202226511A TW 110134460 A TW110134460 A TW 110134460A TW 110134460 A TW110134460 A TW 110134460A TW 202226511 A TW202226511 A TW 202226511A
Authority
TW
Taiwan
Prior art keywords
conductive
metallization region
metallization
bridge element
microelectronic
Prior art date
Application number
TW110134460A
Other languages
English (en)
Inventor
史利尼維斯 V 派坦巴拉姆
塔里克 A 伊巴希瑪
剛 段
賽 瓦德拉馬尼
巴拉特 P 潘梅卡
Original Assignee
美商英特爾公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商英特爾公司 filed Critical 美商英特爾公司
Publication of TW202226511A publication Critical patent/TW202226511A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5385Assembly of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49822Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49866Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers characterised by the materials
    • H01L23/49894Materials of the insulating layers or coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/0557Disposition the external layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/0601Structure
    • H01L2224/0603Bonding areas having different sizes, e.g. different heights or widths
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0618Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/06181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16238Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bonding area protruding from the surface of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/1701Structure
    • H01L2224/1703Bump connectors having different sizes, e.g. different diameters, heights or widths
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/20Structure, shape, material or disposition of high density interconnect preforms
    • H01L2224/21Structure, shape, material or disposition of high density interconnect preforms of an individual HDI interconnect
    • H01L2224/214Connecting portions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32245Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73259Bump and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73267Layer and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/812Applying energy for connecting
    • H01L2224/81201Compression bonding
    • H01L2224/81203Thermocompression bonding, e.g. diffusion bonding, pressure joining, thermocompression welding or solid-state welding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8138Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/81399Material
    • H01L2224/814Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/81438Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/81444Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8138Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/81399Material
    • H01L2224/814Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/81438Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/81455Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8138Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/81399Material
    • H01L2224/814Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/81463Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/81464Palladium [Pd] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • H01L2224/81815Reflow soldering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/921Connecting a surface with connectors of different types
    • H01L2224/9212Sequential connecting processes
    • H01L2224/92122Sequential connecting processes the first connecting process involving a bump connector
    • H01L2224/92125Sequential connecting processes the first connecting process involving a bump connector the second connecting process involving a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • H01L2224/92222Sequential connecting processes the first connecting process involving a bump connector
    • H01L2224/92224Sequential connecting processes the first connecting process involving a bump connector the second connecting process involving a build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • H01L2224/92242Sequential connecting processes the first connecting process involving a layer connector
    • H01L2224/92244Sequential connecting processes the first connecting process involving a layer connector the second connecting process involving a build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/15Ceramic or glass substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5383Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
    • H01L24/92Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1431Logic devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1434Memory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1515Shape
    • H01L2924/15153Shape the die mounting substrate comprising a recess for hosting the device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1517Multilayer substrate
    • H01L2924/15192Resurf arrangement of the internal vias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15313Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a land array, e.g. LGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/15786Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2924/15788Glasses, e.g. amorphous oxides, nitrides or fluorides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • H01L2924/3511Warping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • H01L2924/3512Cracking

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本文所揭露者為包括玻璃核心之微電子結構,以及相關總成及方法。在一些實施例中,一微電子結構可包括一玻璃核心,其具有於其中之穿玻璃通孔(TGV);在該玻璃核心之一第一面處的一金屬化區,其中該第一金屬化區中之一傳導路徑係傳導式耦接至該等TGV中之至少一者;在該金屬化區中之一橋接組件;在該金屬化區之一面處的一第一傳導接點,其中該第一傳導接點係傳導式耦接至該傳導路徑;以及在該金屬化區之該面處之一第二傳導接點,其中該第二傳導接點係傳導式耦接至該橋接組件。

Description

包括玻璃核心之微電子結構
本發明係有關於包括玻璃核心之微電子結構。
在習知微電子封裝體中,一晶粒可藉由焊料附接至一有機封裝體基體。舉例而言,此一封裝體可能在封裝體基體與晶粒間之可達成互連件密度、信號傳送之可達成速度以及可達成小型化上受限。
於本發明的一個態樣中揭示一種微電子結構,其包含:一玻璃核心,其具有穿玻璃通孔(GV)於其中;一第一金屬化區,其在該玻璃核心之一第一面處,其中該第一金屬化區中之一第一傳導路徑係傳導式耦接至該等TGV中之至少一者;一第二金屬化區,其在該玻璃核心之一第二面處,其中該第二金屬化區中之一第二傳導路徑係傳導式耦接至該等TGV中之至少一者,且該玻璃核心之該第二面係相對於該玻璃核心之該第一面;一橋接組件,其在該第一金屬化區中;一第一傳導接點,其在該第一金屬化區之一面處,其中該第一傳導接點係傳導式耦接至該第一傳導路徑;以及一第二傳導接點,其在該第一金屬化區之該面處的,其中該第二傳導接點係傳導式耦接至該橋接組件。
本文所揭露者為包括玻璃核心之微電子結構,以及相關總成及方法。在一些實施例中,一微電子結構可包括一玻璃核心,其具有於其中之穿玻璃通孔(TGV);在該玻璃核心之一第一面處的一金屬化區,其中該第一金屬化區中之一傳導路徑係傳導式耦接至該等TGV之至少一者;在該金屬化區中之一橋接組件;在該金屬化區之一面處的一第一傳導接點,其中該第一傳導接點係傳導式耦接至該傳導路徑;以及在該面處之一第二傳導接點,其中該第二傳導接點係傳導式耦接至該橋接組件。
晶粒分割,其中多個較小晶粒藉由高密度互連件耦接在一起者,可達到比利用一單個單石晶粒更小的型式因子且良率更高。然而,將晶粒以達到所欲互連件密度所需的細小間距耦接在一起係已因習知作法而受限。舉例而言,在製造期間可能發生的基體表面之翹曲,可使得難以可靠地將晶粒耦接至一共同的下伏基體。
本文所揭露之結構及總成可包括在兩個不同金屬化區(例如,重布層區)之間具有就前-對-背連接之經填充TGV的一玻璃核心。該等金屬化區可就設計靈活性提供路由安排,且玻璃核心可提供尺寸穩定性,允許本文所揭露之結構及總成展現極少至無翹曲。再者,一微電子結構之一金屬化區中的一細小間距橋接元件可使用於在晶粒之間提供高密度互連件,而微電子結構之其他金屬化區可使用於扇出至較大間距以供穩健性附接至一封裝體基體。
在以下詳細說明中,參考形成說明之一部分的隨附圖式,其中全文類似數字指定類似部件,且其中以例示之方式顯示可實踐之實施例。應理解的是,可利用其他的實施例且結構或邏輯可以有所改變,而不脫離本揭露內容之範圍。因此,以下詳細說明不應被視為具限制意義。
各種操作可用最有助於理解所請求標的之方式,說明成依序進行之多個分立的動作或操作。但是,說明之順序不應被解釋為暗示這些操作必須依照順序。特定而言,可不按所呈順序進行這些操作。所說明之操作可用與所說明之實施例不同之順序來操作。在額外實施例中,各種額外操作可被執行及/或所說明之操作可被省略。
就本揭露內容之目的,短語「A及/或B」表示(A)、(B)、或(A和B)。就本揭露內容之目的,短語「A、B、及/或C」表示(A)、(B)、(C)、(A和B)、(A和C)、(B和C)、或(A、B、和C)。短語「A或B」意謂(A)、(B)或(A及B)。圖式不必然按照比例。雖然許多圖式例示具有平坦壁及直角轉角之直線結構,但此單純是為了易於例示,且使用這些技術所製成之真正裝置將可展現圓形轉角、表面粗糙度及其他特徵。
說明使用短語「在一實施例中」或「在實施例中」,其各自可指一或多個相同或不同的實施例。此外,用語「包含」、「包括」、「具有」及其類似者,如就本揭露內容之實施例所使用,係為同義的。當用於說明一尺寸範圍時,短語「在X與Y之間」代表包括X與Y的一範圍。
圖1為包括多個晶粒102、一微電子結構110及一封裝體基體126之一範例微電子總成100的一側截面圖。該微電子結構110可包括一玻璃核心114,其具有多個TGV 118於其中、以及在該玻璃核心114之相對面的金屬化區112。特定言之,金屬化區112-1可在玻璃核心114與晶粒102之間,且金屬化區112-2可在玻璃核心114與封裝體基體126之間。該金屬化區112-1亦可包括一橋接組件104,該金屬化區112-1之一或多層在玻璃核心114與橋接組件104之間,且該金屬化區112-1之一或多個層在該橋接組件104與該等晶粒102之間。TGV 118可用一或多個傳導材料(例如,一或多個金屬,諸如銅)填充。在一些實施例中,玻璃核心114可具有小於6每攝氏度(例如,小於3.5每攝氏度,或小於3.4每攝氏度)之熱膨脹係數(CTE);此一CTE可導致微電子結構110有一可接受量之熱翹曲,使得晶粒102及封裝體基體126能夠可靠地附接至微電子結構110。
金屬化區112可包括一介電材料108及傳導材料116,其中傳導材料116係布置在介電材料108中(例如,在線路及通孔中,如所示)以提供通過金屬化區112之傳導路徑。在一些實施例中,介電材料108可包括一有機材料,諸如一有機構建膜。在一些實施例中,介電材料108可包括例如一陶瓷、其中具有填料粒子的一環氧樹脂膜、玻璃、一無機材料、或有機與無機材料之組合。在一些實施例中,傳導材料116可包括一金屬(例如,銅)。在一些實施例中,金屬化區112可包括介電材料108/傳導材料116之層體,一層中的傳導材料116線路係經由傳導材料116之通孔電氣耦接至一鄰近層中的傳導材料116線路。金屬化區112-1中之一或多個傳導路徑可耦接至TGV 118中之一或多者,且金屬化區112-2中之一或多個傳導路徑可耦接至TGV 118中之一或多者。金屬化區112-1中之一或多個傳導路徑可耦接至微電子結構110之「頂部」面處的傳導接點120-1,且金屬化區112-2中之一或多個傳導路徑可耦接至微電子結構110之「底部」面處的傳導接點120-2。此外,金屬化區112-1中之一或多個傳導路徑可耦接至橋接組件104(例如,微電子結構110之「頂部」面亦可包括傳導接點121,其等係耦接至橋接組件104之傳導接點138-1,如下文進一步論述)。因此,微電子結構110可包括在傳導接點120-1、傳導接點120-2、傳導接點121、及橋接組件104之間的任何所欲布置,允許電氣耦接至傳導接點120/121(例如,晶粒102及/或封裝體基體126)之組件可與晶粒102(例如,橋接組件104)內之電路系統通訊及/或對電氣耦接至微電子結構110之其他組件通訊。在一些實施例中,微電子結構110之金屬化區112之金屬化層可為重布層,且金屬化區112可使用一重布層技術(例如,半增式加工(SAP)技術)形成。如圖1所示,在一些實施例中,橋接組件104可與傳導材料116之柱123共面;柱123可高於橋接組件104之厚度,如所示。儘管在隨附圖式中之各種圖式中顯示介電材料108/傳導材料116之層體的一特定數目及布置,但這些特定數目及布置僅為例示性的,且可使用任何所欲數目及布置之介電材料108/傳導材料116。在一些實施例中,一微電子結構110可在金屬化區112-1中包括十或更少金屬化層,及在金屬化區112-2中包括十或更少金屬化層。在一些實施例中,一微電子結構110可在金屬化區112-1中包括四個或更少金屬化層,及在金屬化區112-2中包括四個或更少金屬化層。在一些實施例中,該金屬化區112-1可具有與該金屬化區112-2相同數量的金屬化層,而在其他實施例中,該金屬化區112-1與該金屬化區112-2可具有不同數量的金屬化層。如圖1所示,在一些實施例中,金屬化區112之通孔可具有一推拔形狀,朝向玻璃核心114縮窄。在一些實施例中,「底部」傳導接點120-2的間距可係大於「頂部」傳導接點120-1的間距。
該微電子結構110可包括在其頂部面及底部面、在鄰近的傳導接點120/121周圍處的一表面絕緣材料106。表面絕緣材料106在適當時可包括可提供表面電氣絕緣且可與以焊料為基或非以焊料為基之互連件相容的一焊料阻劑及/或其他介電材料。傳導接點120/121可包括一表面修整層(未標示,但例示在該等傳導接點120/121上),它可保護該傳導接點之下伏材料免於腐蝕並有助於確保可靠的焊料接合部形成。在一些實施例中,表面修整層可包括鎳、鈀、金、或其等之一組合。在圖1中,焊料122可為與該等傳導接點120/121傳導式接觸,且可被使用來將微電子結構110耦接到晶粒102及/或到封裝體基體126。如圖1中所示,鄰近於「底部」傳導接點120-2的表面絕緣材料106中之開口可經推拔、朝向玻璃核心114窄縮。在本文中使用時,一「傳導接點」可指作為不同組件間之一介面的傳導材料(例如一或多種金屬)之部分;雖然本文所論述之該等傳導接點中一些者在隨附圖式之各種圖式中係以一特定次序例示,任一傳導接點可凹入於一組件之一表面中、與該表面齊平、或延伸遠離該表面,且可採取任何合適形式(例如,一傳導襯墊或插座)。
如上所述,一橋接組件104可嵌入在金屬化區112-1中,並且可電氣耦接至金屬化區112-1。此耦接可包括在橋接組件104上方及下方之電氣互連件,如圖1所示,或者可包括僅在橋接組件104上方之電氣互連件(例如,如以下參看圖17所論述者)。橋接組件104可包括在其「頂部」面處之傳導接點138-1及在其「底部」面處之傳導接點138-2;傳導接點138-1可經由中介傳導接點121耦接至一或多個晶粒102的傳導接點124,且傳導接點138-2可經由焊料122耦接至金屬化區112-1的傳導材料116(且因此耦接至微電子結構110中之任何所欲傳導路徑)。橋接組件104可包括到傳導接點138(及/或到包括在橋接組件104中之其他電路系統)的傳導路徑(例如,包括線及通孔,如下文參看圖20所論述)。在一些實施例中,橋接組件104可包括一半導體材料(例如,矽);例如,橋接組件104可係一晶粒1502,如下文參看圖19所論述,且可包括一積體電路(IC)裝置1600,如下文參看圖20所論述。在一些實施例中,橋接組件104可係一「主動」組件,因其可含有一或多個主動裝置(例如,電晶體),而在其他實施例中,橋接組件104可係一「被動」組件,因其不含有一或多個主動裝置。橋接組件104可包括一或多個穿基體通孔(TSV,諸如穿矽通孔)140,在傳導接點138-1與傳導接點138-2之間提供電氣路徑。橋接組件104可被製造以便容許一比金屬化區112更大的互連件密度。因此,傳導接點121的間距(其可與橋接組件104之傳導接點138-1的間距相同)可係小於傳導接點120-1的間距。在一些實施例中,傳導接點121的間距可係小於36微米。當多個晶粒102被耦接至橋接組件104時,如所示,這些晶粒102可使用通過橋接組件104之電氣路徑(且可使用在橋接組件104內之其他電路系統,當存在時),以相對於經由微電子結構110之傳導接點120所製成之互連,達成在它們之間的一較高密度互連。
一微電子結構110之元件的尺寸可採取任何合適的值。舉例而言,在一些實施例中,傳導接點120/121的金屬線路的厚度可在5微米與25微米之間。在一些實施例中,表面修整層的厚度可在5微米與10微米之間(例如,鎳係7微米且鈀及金中之每一者係小於100奈米)。在一些實施例中,傳導接點121的間距(其可等於橋接組件104的傳導接點138-1的間距)可係小於70微米(例如,在25微米與70微米之間、在25微米與65微米之間、在40微米與70微米之間、小於36微米、或小於25微米)。在一些實施例中,傳導接點120的節距可係大於70微米(例如,在90微米與150微米之間)。在一些實施例中,表面絕緣材料106的厚度可係在25微米與50微米之間。在一些實施例中,在表面絕緣材料106上面的焊料122之高度可係在25微米與50微米之間。在一些實施例中,橋接組件104的厚度可係在30微米與200微米之間。在一些實施例中,一微電子結構110可具有小於2500平方毫米(例如,在100平方毫米與2000平方毫米之間)的一覆蓋區。在一些實施例中,玻璃核心114的厚度可係在350微米與500微米之間。在一些實施例中,TGV 118的間距可係在75微米與200微米之間(例如,在75微米與150微米之間)。在一些實施例中,TGV 118之直徑可係在35微米與100微米之間(例如,在35微米與75微米之間)。在一些實施例中,一微電子結構110之金屬化區112的厚度可係在30微米與200微米之間(例如,在100微米與200微米之間)。在一些實施例中,微電子結構110的一厚度可係在600微米與1000微米之間。
如上所述,一微電子總成100可包括一或多個晶粒102,其具有傳導接點124,其耦接至傳導接點120及該橋接組件104之傳導接點121(例如,藉由焊料122或另一互連件結構)。圖1例示兩個晶粒102,但一微電子總成100可包括更多或更少晶粒102。雖然圖1將晶粒102繪示為實質上「覆蓋」微電子結構110之相近表面,但此僅為例示,且情況不需如此。再者,雖然圖1描繪在一微電子結構110中包括一單個橋接組件104之微電子結構110/微電子總成100,此單純是為了易於例示,且微電子結構110/微電子總成100可在一微電子結構110中包括多個橋接組件104。
晶粒102可包括到傳導接點124(及/或到包括在晶粒102中之其他電路系統及/或到晶粒102之其他傳導接點,未示出)之傳導路徑(例如,包括線路及通孔,如下文參看圖20所論述)。在一些實施例中,一晶粒102可包括一半導體材料(例如,矽);例如,一晶粒102可係一晶粒1502,如下文參看圖19所論述,且可包括一IC裝置1600,如下文參看圖20所論述。在一些實施例中,晶粒102可係一「主動」組件,因其可含有一或多個主動裝置(例如,電晶體),而在其他實施例中,晶粒102可係一「被動」組件,因其不含有一或多個主動裝置。舉例而言,在一些實施例中,一晶粒102可係一邏輯晶粒。在一些實施例中,舉例而言,一晶粒102可為一中介件。更一般而言,晶粒102可包括用以執行任何所欲功能性及/或路由安排的電路系統。舉例而言,晶粒102中之一或多者可為邏輯晶粒(例如,矽為基之晶粒),且晶粒102中之一或多者可為記憶體晶粒(例如,高帶寬記憶體)。如上文所論述,當多個晶粒102耦接至微電子結構110時,相對於僅經由金屬化區104-1之介電材料108/傳導材料116所做之互連,這些晶粒102可使用通過橋接組件104之電氣路徑(並且可使用橋接組件104內之其他電路系統,當存在時)以達成它們間之較高密度的互連。
在一些實施例中,一模塑材料144可安置在晶粒102之間。在一些實施例中,底填材料142可安置於晶粒102與微電子結構110之間。可使用於模塑材料144及底填材料142之範例材料包括環氧樹脂材料,如可合適者。在一些實施例中,如圖1所示,晶粒102的「頂部」面上可存在背側金屬化物146。
在微電子結構110之「底部」面處的傳導接點120-2可經由焊料耦接至在一封裝體基體126之「頂部」面處的傳導接點136-1。封裝體基體126亦可包括在其「底部」面處的傳導接點136-2。圖1中所例示之特定封裝體基體126可包括具有穿核心通孔134於其中的一核心132,但在其他實施例中,封裝體基體126可為一無核心基體(例如,如下文參看圖18所論述)。在核心132之任一面處,封裝體基體126可包括具有一介電材料128及傳導材料130的金屬化區,其中傳導材料130布置在介電材料128中(例如,在線路及通孔中,如所示),以提供穿過封裝體基體126的傳導路徑。在一些實施例中,介電材料128可包括一有機材料,諸如一有機構建膜,或任何其他合適的介電材料。在一些實施例中,傳導材料130可包括一金屬(例如,銅)。在一些實施例中,封裝體基體126可包括介電材料128/傳導材料130之層體,一層中的傳導材料130線路經由傳導材料130之通孔電氣耦接至一鄰近層中的傳導材料130線路。舉例而言,包括此等層體之一封裝體基體126可使用一重布層技術(例如,半增式加工(SAP)技術)或一印刷電路板(PCB)製造技術形成。儘管在隨附圖式中之各種圖式中顯示介電材料128/傳導材料130之層體的一特定數目及布置,但這些特定數目及布置僅為例示性的,且可使用任何所欲數目及布置之介電材料128/傳導材料130。表面絕緣材料106可存在於封裝體基體126之「頂部」面及「底部」面處、鄰近傳導接點136,且傳導接點136可包括一表面修整層,如上文所論述。在一些實施例中,傳導接點136-2可係焊料之接點(例如,一球柵陣列布置的焊料),而在其他實施例中,可使用非焊料互連件(例如,一接腳柵陣列布置或一焊盤柵陣列布置),將傳導接點136-2電氣耦接至另一組件。傳導接點136-2可用來將封裝體基體126耦接至另一組件,諸如一電路板(例如一主機板)、一中介件、或另一IC封裝體,如業界所已知且如下文參看圖21所論述。在微電子總成100包括多個晶粒102之實施例中,微電子總成100可被稱為一多晶片封裝體(MCP)。一微電子總成100可包括額外組件,諸如被動組件(例如,安置在封裝體基體126之「頂部」面或「底部」面處的表面安裝電阻器、電容器及電感器)、主動組件或其他組件。
圖2-16為根據各種實施例之用於製造圖1之微電子總成100之一範例程序之各種階段的側截面圖。雖然圖2-16之程序的操作可參考本文所揭露之微電子結構110/微電子總成100的特定實施例來例示,但該方法可用來形成任何合適的微電子結構110/微電子總成100。操作係在圖2-16中各顯示一次且按一特定次序例示,但操作可如所欲地重新排序及/或重複(例如,在製造多個微電子結構110/微電子總成100時並行地執行不同操作)。
圖2例示包括一玻璃核心114之一總成。雖然圖2中描繪玻璃核心114之僅一單個「單元」,但此僅係為了易於例示,且圖1之玻璃核心114可表示一玻璃面板(多個玻璃核心114將自該玻璃面板單化,如下文所進一步論述)。
圖3例示在圖2之總成之玻璃核心114中鑽孔之後的一總成。在一些實施例中,可使用無裂之雷射為基的鑽孔程序來以一所欲間距及直徑鑽孔。
圖4例示在圖3之總成之玻璃核心114的孔洞中鍍敷傳導材料、形成TGV 118、然後在個別TGV 118之任一端處形成傳導襯墊之後的一總成。在一些實施例中,TGV 118可藉由將銅電鍍到玻璃核心114中之孔洞中來形成,而玻璃表面上之過量的銅可接著被研磨掉。在一些實施例中,可使用一微影程序來在TGV 118上生成傳導襯墊,並且這些傳導襯墊可使用於後續的金屬化操作。在一些實施例中,傳導襯墊可不直接形成於玻璃表面上;替代地,在玻璃核心114之孔洞中鍍敷傳導材料並研磨掉過量者之後,可在玻璃表面上提供一介電材料(例如,藉由層積),可在此介電質中形成通孔以接觸TGV 118,並且可在此介電材料上形成傳導襯墊。本文所揭露之實施例之任一者可包括此一布置。
圖5例示在圖4之總成之玻璃核心114之任一面處形成金屬化區112之大塊之後的一總成。在一些實施例中,可進行一雙側重布層程序(包括SAP操作)以形成金屬化區112。玻璃核心114之尺寸穩定性可確保金屬化區112中之個別金屬化層的良好共面性,且因此可達成良好的層對層對準。
圖6例示在圖5之總成上形成一柱123之後的一總成。柱123可形成在橋接組件104(未示出)之所欲位置周圍的區域中,並且其等之高度可大於意欲之橋接組件104之厚度。在一些實施例中,柱123可包括銅。
圖7例示在把一橋接組件104藉由焊料122接合到圖6之總成的暴露傳導襯墊之後的一總成。橋接組件104可包括其上的短傳導柱,在該橋接組件104之「頂部」面處傳導式耦接至傳導接點138-1。
圖8例示在由介電材料108囊封圖7之總成的柱123及橋接組件104之後的一總成。在一些實施例中,該囊封可包括額外介電材料108之層積。
圖9例示在移除圖8之總成之「頂部」表面處的介電材料108以平坦化及曝露柱123及在橋接組件104上方的傳導柱之後的一總成。在一些實施例中,此等操作可包括拋光或研磨。
圖10例示在圖9之總成的「頂部」表面上形成一額外金屬化層之後的一總成。該額外金屬化物可包括與暴露於圖9之總成「頂部」表面處的該等傳導柱呈傳導式接觸之額外傳導柱。
圖11例示在圖10之總成的「頂部」及「底部」表面處提供表面絕緣材料106之後的一總成。在一些實施例中,提供表面絕緣材料106可包括一雙側焊料阻劑層積程序。
圖12例示在移除圖11之總成之「頂部」表面處的表面絕緣材料106以暴露表面絕緣材料106中之傳導柱之後的一總成。
圖13例示在圖12之總成之「頂部」表面處形成傳導接點120-1與121之後的一總成。在一些實施例中,傳導接點120-1和121可包括鍍敷錫。
圖14例示在移除圖13之總成之「底部」表面處的一些表面絕緣材料106且提供額外材料以形成傳導接點120-2之後的總成。在一些實施例中,此額外材料包括銅及錫。在此階段,當先前操作已在一材料面板上執行時,可在執行後續處理操作之前將面板單化成多個單元。在一些替代實施例中,圖10-14之操作可由一程序替代,其中傳導襯墊係形成於圖9之總成的經顯露的柱123上(例如,使用光微影術),一焊料阻劑材料可被層疊於其上,微影技術可用來形成靠近傳導接點120-1之大的通孔,且紫外線雷射技術可用來形成接近傳導接點121之較小的通孔,一表面修整層(例如,包括鎳、鈀及金)可形成於其上,然後一傳導材料(例如,錫)可被鍍敷來形成傳導接點120-1及傳導接點121。在此一變化例中,該等通孔可具有一推拔形狀,朝向玻璃核心114縮窄。
圖15例示在圖14之總成的「頂部」表面處將晶粒102附接至傳導接點120-1及121(例如,使用焊料122作為熱壓接合(TCB)操作之部分)、在晶粒102與微電子結構110之間提供底填材料142、用模塑材料144覆模晶粒102、研磨掉模塑材料144之覆蓋層、且接著提供任何所欲背側金屬化物146之後的總成。
圖16例示在經由中介焊料122將圖15之總成附接至封裝體基體126(例如,使用質體回焊或TCB操作)之後的總成。封裝體基體126可使用習知封裝體基體製造技術來製造。圖16之總成可採取圖1之微電子總成100的形式。
如上文所述,在一些實施例中,橋接組件104可以不包括在其「底部」面處之傳導接點138-2。舉例而言,圖17例示一微電子總成100,其具有與圖1之微電子總成100共同的許多特徵,但其中橋接組件104僅包括在其「頂部」面處之傳導接點138,且其中橋接組件104係藉由一黏著劑材料148耦接至金屬化區112-1。在一些實施例中,此一橋接組件104可以不包括任何TSV 140,如所示。黏著劑材料148可包括任何合適材料,諸如一晶粒附接膜。在一些實施例中,黏著劑材料148的厚度可係在2微米與10微米之間。
如上所述,在一些實施例中,封裝體基體126可為一無核心封裝體基體。圖18例示具有許多與圖1之微電子總成100共同特徵的微電子總成100,但其中封裝體基體126不包括一核心。請注意,在圖18之微電子總成中,封裝體基體126之傳導材料130的通孔全部以一共同方向推拔(對比於圖1之封裝體基體126之傳導材料130的通孔,其中「頂部」及「底部」通孔係朝向核心132以相對之方向推拔)。
本文所揭露之微電子結構110及微電子總成100可被包括在任何合適電子組件中。圖19-22例示設備的各種範例,該設備在適當時可包括本文所揭露之微電子結構110及微電子總成100中之任何者,或可被包括在本文所揭露之微電子結構110及微電子總成100中。
圖19為可被包括在本文所揭露之微電子結構110及微電子總成100中之任何者中之一晶圓1500及晶粒1502的一俯視圖。舉例而言,一晶粒1502可被包括在一微電子結構110/微電子總成100中,作為一橋接組件104及/或一晶粒102(或其等之部分)。晶圓1500可由半導體材料構成且可包括具有形成於晶圓1500之一表面上之IC結構的一或多個晶粒1502。晶粒1502中每一者可係包括任何合適IC之一半導體產品的一重複單元。在該半導體產品製造完成之後,晶圓1500可經歷一單粒化程序,其中晶粒1502被彼此分開,以提供該半導體產品的分立「晶片」。晶粒1502可包括一或多個電晶體(例如,下文所論述之圖20的電晶體1640中之一些者)、一或多個二極體及/或支援電路系統,以將電氣信號安排路由至該等電晶體,以及任何其他IC組件。在一些實施例中,一晶粒1502可係一「被動」晶粒,因其不包括主動組件(例如,電晶體),而在其他實施例中,一晶粒1502可係一「主動」晶粒,因其包括主動組件。在一些實施例中,晶圓1500或晶粒1502可包括一記憶體裝置(例如,一隨機存取記憶體(RAM)裝置,諸如一靜態RAM (SRAM)裝置、一磁性RAM (MRAM)裝置、一電阻RAM (RRAM)裝置、一傳導性-橋接RAM (CBRAM)裝置等)、一邏輯裝置(例如,一AND、OR、NAND或NOR閘),或任何其他合適之電路元件。這些裝置中之多個裝置可組合在一單個晶粒1502上。舉例而言,由多個記憶體裝置所形成之一記憶體陣列可與經組配來將資訊儲存在記憶體裝置中或施行儲存於記憶體陣列中之指令的一處理裝置(例如圖22之處理裝置1802)或其他邏輯形成於同一晶粒1502上。
圖20為可被包括在一微電子結構110及/或一微電子總成100中之一IC裝置1600的一側截面圖。舉例而言,一IC裝置1600可被包括在一微電子結構110/微電子總成100中,作為一橋接組件104及/或一晶粒102(或其等之部分)。一IC裝置1600可係一晶粒1502的部分(例如,如上文參看圖19所論述)。一或多個IC裝置1600可被包括在一或多個晶粒1502中(圖19)。IC裝置1600可形成在一基體1602(例如,圖19之晶圓1500)上,且可被包括在一晶粒(例如,圖19之晶粒1502)中。基體1602可係一半導體基體,其由包括例如n型或p型材料系統(或兩者之一組合)的半導體材料系統所組成。基體1602可包括例如使用一大塊矽或一絕緣體上矽(SOI)子結構形成之一結晶基體。在一些實施例中,基體1602可使用替代材料形成,其可以或可不與矽結合,其包括但不限於鍺、銻化銦、碲化鉛、砷化銦、磷化銦、砷化鎵、或銻化鎵。亦可使用分類為II-VI、III-V或IV族之其他材料來形成基體1602。雖然在此說明可形成基體1602之材料的數個範例,但可使用可作為一IC裝置1600之一基礎的任何材料。基體1602可係一單粒化晶粒(例如,圖19之晶粒1502)或一晶圓(例如,圖19之晶圓1500)的部分。
IC裝置1600可包括安置在基體1602上之一或多個裝置層1604。裝置層1604可包括形成在基體1602上之一或多個電晶體1640(例如,金屬氧化物半導體場效電晶體(MOSFET))的形貌體。裝置層1604可包括例如一或多個源極及/或汲極(S/D)區1620、用以對S/D區1620之間的電晶體1640中之電流流動進行控制之一閘極1622、以及用以就進/出S/D區1620之電氣信號安排路由的一或多個S/D接點1624。電晶體1640可包括為了清楚起見而未繪示的額外特徵,諸如裝置隔離區、閘極接點等,及類似者。電晶體1640不限於圖20中所繪示之類型及配置,且可包括廣泛變化之其他類型及配置,諸如例如平面電晶體、非平面電晶體或兩者之組合。平面電晶體可包括雙極接面電晶體(BJT)、異質接面雙極電晶體(HBT)或高電子移動性電晶體(HEMT)。非平面電晶體可包括FinFET電晶體,諸如雙閘極電晶體或三閘極電晶體,以及包繞式或全包圍式閘極電晶體,諸如奈米帶及奈米線電晶體。
每一電晶體1640可包括由至少兩層,一閘極介電質及一閘極電極,所形成之一閘極1622。該閘極介電質可包括一層或一多層之堆疊。該一或多層可包括氧化矽、二氧化矽、碳化矽及/或一高k介電材料。該高k介電材料可包括諸如鉿、矽、氧、鈦、鉭、鑭、鋁、鋯、鋇、鍶、釔、鉛、鈧、鈮及鋅之元素。可用於該閘極介電質之高k材料的範例包括但不限於氧化鉿、氧化鉿矽、氧化鑭、氧化鑭鋁、氧化鋯、氧化鋯矽、氧化鉭、氧化鈦、氧化鋇鍶鈦、氧化鋇鈦、氧化鍶鈦、氧化釔、氧化鋁、氧化鉛鈧鉭、及鈮酸鉛鋅。在一些實施例中,當使用一高k材料時,可在該閘極介電質上實施一退火程序以改善其品質。
閘極電極可形成於閘極介電質上,且可包括至少一p型功函數金屬或n型功函數金屬,取決於電晶體1640係一p型金屬氧化物半導體(PMOS)或者一n型金屬氧化物半導體(NMOS)電晶體。在一些實施例中,閘極電極可由二或更多金屬層之一堆疊所構成,其中一或多個金屬層係功函數金屬層,且至少一金屬層係一填充金屬層。為了其他目的可包括其他金屬層,諸如一障壁層。對一PMOS電晶體而言,可用於閘極電極之金屬包括但不限於:釕、鈀、鉑、鈷、鎳、傳導金屬氧化物(例如,氧化釕)以及下文提及一NMOS電晶體(例如,用於功函數調整)所論述之任何金屬。對一NMOS電晶體而言,可用於閘極電極之金屬包括但不限於:鉿、鋯、鈦、鉭、鋁、這些金屬之合金、這些金屬之碳化物(例如,碳化鉿、碳化鋯、碳化鈦、碳化鉭、及碳化鋁)以及上文提及一PMOS電晶體(例如,用於功函數調整)所論述之任何金屬。
在一些實施例中,當沿著源極-通道-汲極方向觀看電晶體1640之一截面時,閘極電極可由一U形結構構成,該U形結構包括與基體之表面實質上平行之一底部部分及與基體之頂部表面實質上垂直之二側壁部分。在其他實施例中,形成閘極電極之該等金屬層中的至少一者可僅為一實質上平行於基體之頂部表面的平面層,而不包括實質上垂直於該基體之頂部表面的側壁部分。在其他實施例中,閘極電極可由U形結構及平面非U形結構的一組合所構成。舉例而言,閘極電極可由在一或多個平面、非U形層之頂上所形成之一或多個U形金屬層所組成。
在一些實施例中,可在閘極堆疊之相對側形成一對側壁間隔件以托圍該閘極堆疊。該等側壁間隔件可自諸如氮化矽、氧化矽、碳化矽、摻雜碳的氮化矽及氮氧化矽之材料形成。用於形成側壁間隔件之程序係業界所熟知且通常包括沉積及蝕刻程序步驟。在一些實施例中,可使用複數對間隔件;例如,可在該閘極堆疊之相對側上形成兩對、三對或四對的側壁間隔件。
S/D區1620可形成於基體1602內鄰近於每一電晶體1640之閘極1622。S/D區1620可例如使用一植入/擴散程序或一蝕刻/沉積程序來形成。在前者程序中,諸如硼、鋁、銻、磷或砷之摻雜物可被離子植入至基體1602中以形成S/D區1620。活化該等摻雜物且致使它們更深地擴散進入基體1602中的一退火程序可接在該離子植入程序之後。在後者程序中,基體1602可首先經蝕刻以在S/D區1620之位置形成凹部。然後可進行一磊晶沉積程序,以用使用來製造S/D區1620的材料來填充該等凹部。在一些實行方式中,S/D區1620可使用一矽合金製造,諸如矽鍺或碳化矽。在一些實施例中,磊晶沉積矽合金可用諸如硼、砷或磷之摻雜物來進行原位摻雜。在一些實施例中,S/D區1620可使用一或多種替代半導體材料來形成,諸如鍺或一III-V族材料或合金。在其他實施例中,一或多個金屬層及/或金屬合金層可用來形成S/D區1620。
諸如電力及/或輸入/輸出(I/O)信號之電氣信號可安排路由進及/或出裝置層1604之裝置(例如電晶體1640)、通過安置在裝置層1604上之一或多個互連層(在圖20中被例示為互連層1606-1610)。舉例而言,裝置層1604之導電形貌體(例如,閘極1622及S/D接點1624)可與互連層1606-1610之互連結構1628電氣耦接。一或多個互連層1606-1610可形成IC裝置1600之一金屬化堆疊(亦稱為一「ILD堆疊」)1619。在一些實施例中,一IC裝置1600可係一「被動」裝置,因其不包括主動組件(例如,電晶體),而在其他實施例中,一晶粒1502可係一「主動」晶粒,因其包括主動組件。
互連結構1628可根據廣泛變化之設計布置在互連層1606-1610中以安排電氣信號之路由(特定而言,該布置不限於圖20所繪示之互連結構1628之特定布置)。雖然在圖20中繪示了一特定數量的互連層1606-1610,但本揭露內容之實施例包括具有比所繪示者更多或更少互連層的IC裝置。
在一些實施例中,互連結構1628可包括用諸如一金屬之一導電材料所填充的線路1628a及/或通孔1628b。線路1628a可被布置成以實質平行於其上形成有裝置層1604之基體1602之一表面的一平面方向,安排電氣信號之路由。舉例而言,從圖20之觀點,線路1628a可以進出頁面之方向安排電氣信號之路由。通孔1628b可被布置成以實質垂直於其上形成有裝置層1604之基體1602之該表面的一平面方向,安排電氣信號之路由。在一些實施例中,通孔1628b可將不同互連層1606-1610之線路1628a電氣耦接在一起。
互連層1606-1610可包括安置在互連結構1628之間的一介電材料1626,如圖20中所示。在一些實施例中,安置在不同互連層1606-1610的互連結構1628之間的介電材料1626可具有不同的組成;在其他實施例中,不同互連層1606-1610之間的介電材料1626的組成可為相同。
一第一互連層1606可形成於裝置層1604上面。在一些實施例中,第一互連層1606可包括線路1628a及/或通孔1628b,如所示。第一互連層1606之線路1628a可與裝置層1604之接點(例如,S/D接點1624)耦接。
一第二互連層1608可形成於第一互連層1606上面。在一些實施例中,第二互連層1608可包括用以耦接第二互連層1608之線路1628a與第一互連層1606之線路1628a的通孔1628b。雖然為了清楚起見,線路1628a及通孔1628b在結構上係以每一互連層內(例如,第二互連層1608內)之一線路來繪示,在一些實施例中,線路1628a及通孔1628b在結構上及/或在材料上仍可相連(例如,在一雙鑲嵌程序期間同時被填充)。
一第三互連層1610(及如所欲之額外互連層)可根據關連於第二互連層1608或第一互連層1606所說明之相似技術及配置接續形成在第二互連層1608上。在一些實施例中,在IC裝置1600之金屬化堆疊1619中「更高向上」(亦即,更遠離裝置層1604)的互連層可為更厚。
IC裝置1600可包括形成在互連層1606-1610上之一絕緣材料1634 (例如,聚醯亞胺或相似材料)及一或多個傳導接點1636。在圖20中,傳導接點1636被例示為採取接合墊之形式。傳導接點1636可與互連結構1628電氣耦接且組配成將電晶體1640之電氣信號安排路由至其他外部裝置。舉例而言,焊料接合可形成在一或多個傳導接點1636上,以便機械式及/或電氣耦接包括IC裝置1600之一晶片及另一組件(例如,一電路板)。IC裝置1600可包括額外或替代的結構,以自互連層1606-1610安排電氣信號之路由;例如,傳導接點1636可包括將電氣信號安排路由至外部組件之其他類似形貌體(例如柱)。
圖21為根據本文所揭露之實施例中之任一者之可包括一或多個微電子結構110及/或微電子總成100之一IC裝置總成1700的一側截面圖。IC裝置總成1700包括安置在一電路板1702(其可例如為一主機板)上之數個組件。IC裝置總成1700包括安置在電路板1702之一第一面1740上及在電路板1702之相對的一第二面1742上的組件;通常,組件可被安置在面1740及1742的一者或兩者上。下文提及IC裝置總成1700所論述之IC封裝體中之任一者可採取本文所論述之微電子總成100之實施例中任一者的形式,或者可包括本文所揭露之微電子結構110中之任一者。
在一些實施例中,電路板1702可係一PCB,其包括藉由介電材料層而彼此分開且藉由導電通孔互連之多個金屬層。該等金屬層中之任一或多者可以一所欲電路圖案形成,以便就電氣信號在與電路板1702耦接之組件間安排路由(任擇地結合其他金屬層)。在其他實施例中,電路板1702可係一非PCB基體。
圖21中例示之IC裝置總成1700包括一中介件上封裝體結構1736,其藉由耦接組件1716耦接至電路板1702的第一面1740。耦接組件1716可將中介件上封裝體結構1736電氣及機械式耦接至電路板1702,且可包括焊料球(如圖21中所示)、一插座之公及母部分、一黏著劑、一底填材料,及/或任何其他合適電氣及/或機械式耦接結構。
中介件上封裝體結構1736可包括由耦接組件1718耦接至一封裝體中介件1704的一IC封裝體1720。耦接組件1718可採用任何合適的形式以供應用,諸如上文提及耦接組件1716所論述之形式。雖然圖21中顯示一單個IC封裝體1720,但多個IC封裝體可耦接至封裝體中介件1704;實際上,額外中介件可耦接至封裝體中介件1704。封裝體中介件1704可提供用以橋接電路板1702及IC封裝體1720的一中介基體。舉例而言,IC封裝體1720可係或包括一晶粒(圖19之晶粒1502)、一IC裝置(例如圖20之IC裝置1600),或任何其他合適組件。一般而言,封裝體中介件1704可將一連接擴展至一較寬節距或將一連接重排路由到一不同連接。舉例而言,封裝體中介件1704可將IC封裝體1720(例如一晶粒)耦接至耦接組件1716之一組球柵陣列(BGA)傳導接點以耦接至電路板1702。圖21中例示之實施例中,IC封裝體1720及電路板1702係附接至封裝體中介件1704之相對側;在其他實施例中,IC封裝體1720及電路板1702可附接至封裝體中介件1704之相同側。在一些實施例中,三或更多個組件可藉由封裝體中介件1704互連。
在一些實施例中,封裝體中介件1704可形成為一PCB,其包括藉由介電材料層彼此分開且藉由導電通孔互連之多個金屬層。在一些實施例中,封裝體中介件1704可由一環氧樹脂、一玻璃纖維強化環氧樹脂、具有無機填料之一環氧樹脂、一陶瓷材料,或諸如聚醯亞胺之一聚合物材料形成。在一些實施例中,封裝體中介件1704可由替代的剛性或撓性材料形成,其可包括上述用於一半導體基體中之相同材料,諸如矽、鍺、及其他III-V族及IV族材料。封裝體中介件1704可包括金屬線路1710及通孔1708,包括但不限於TSV 1706。封裝體中介件1704可進一步包括嵌入式裝置1714,包括被動及主動裝置兩者。此等裝置可包括但不限於電容器、解耦電容器、電阻器、電感器、保險絲、二極體、變壓器、感測器、靜電放電(ESD)裝置及記憶體裝置。諸如射頻裝置、功率放大器、電力管理裝置、天線、陣列、感測器及微機電系統(MEMS)裝置之更複雜裝置可亦形成在封裝體中介件1704上。中介件上封裝體結構1736可採取如業界所知之任何中介件上封裝體結構的形式。在一些實施例中,封裝體中介件1704可包括一或多個微電子結構110及/或微電子總成100。
IC裝置總成1700可包括藉由耦接組件1722耦接至電路板1702之第一面1740的一IC封裝體1724。耦接組件1722可採取上文提及耦接組件1716所論述之實施例中之任一者的形式,且IC封裝體1724可採取上文提及IC封裝體1720所論述之實施例中之任一者的形式。
圖21中例示之IC裝置總成1700包括一堆疊式封裝體結構1734,其係藉由耦接組件1728耦接至電路板1702的第二面1742。堆疊式封裝體結構1734可包括一IC封裝體1726及一IC封裝體1732,其藉由耦接組件1730耦接在一起,以使得IC封裝體1726安置在電路板1702與IC封裝體1732之間。耦接組件1728及1730可採取上文論述之耦接組件1716之任何實施例的形式,且IC封裝體1726及1732可採取上文所論述之IC封裝體1720之任何實施例的形式。堆疊式封裝體結構1734可根據業界所知之堆疊式封裝體結構中之任一者組配。
圖22為根據本文所揭露之實施例中之任一者之可包括一或多個微電子結構110及/或微電子總成100之一範例電氣裝置1800的一方塊圖。舉例而言,電氣裝置1800之組件中之任何合適者可包括一或多個本文所揭露之微電子結構110、微電子總成100、IC裝置總成1700、IC裝置1600或晶粒1502。數個組件係在圖22中例示為包括在電氣裝置1800中,但在合適於應用時可忽略或重複這些組件中之任一或多者。在一些實施例中,電氣裝置1800中所包括的組件中之一些或全部可附接至一或多個主機板。在一些實施例中,這些組件中之一些或全部係製造於一單個單晶片系統(SoC)晶粒上。
另外,在各種實施例中,電氣裝置1800可以不包括圖22中所例示之組件中之一或多者,但電氣裝置1800可包括用於耦接至一或多個組件之介面電路系統。舉例而言,電氣裝置1800可不包括一顯示裝置1806,但可包括一顯示裝置1806可耦接之顯示裝置介面電路系統(例如,一連接器及驅動器電路系統)。在另一組範例中,電氣裝置1800可不包括一音訊輸入裝置1824或一音訊輸出裝置1808,但可包括音訊輸入裝置1824或音訊輸出裝置1808可耦接之音訊輸入或輸出裝置介面電路系統(例如,一連接器及支援電路系統)。
電氣裝置1800可包括一處理裝置1802(例如,一或多個處理裝置)。在本文使用時,用語「處理裝置」或「處理器」係指處理來自暫存器及/或記憶體之電子資料以便將該電子資料轉換成可儲存在暫存器及/或記憶體中之其他電子資料的任何裝置或一裝置之一部分。該處理裝置1802可包括:一或多個數位信號處理器(DSP)、特殊應用積體電路(ASIC)、中央處理單元(CPU)、圖形處理單元(GPU)、密碼處理器(執行硬體內之密碼演算法的專門處理器)、伺服器處理器、或任何其他合適的處理裝置。電氣裝置1800可包括一記憶體1804,其本身可包括一或多個記憶體裝置,諸如依電性記憶體(例如,動態隨機存取記憶體(DRAM))、非依電性記憶體(例如,唯讀記憶體(ROM))、快閃記憶體、固態記憶體,及/或一硬碟。在一些實施例中,記憶體1804可包括與處理裝置1802共享一晶粒的記憶體。此記憶體可用作一快取記憶體,且可包括嵌入式動態隨機存取記憶體(eDRAM)或自旋轉移力矩磁性隨機存取記憶體(STT-MRAM)。
在一些實施例中,電氣裝置1800可包括一通訊晶片1812(例如,一或多個通訊晶片)。舉例而言,通訊晶片1812可經組配以用於管理無線通訊,以從電氣裝置1800轉移資料及將資料轉移至電氣裝置1800。用語「無線」及其衍生詞可用以描述可透過非固態媒體經由使用調變電磁輻射來傳達資料之電路、裝置、系統、方法、技術、通訊頻道等。該用語不暗示該等相關裝置不包含任何導線,雖然在一些實施例中它們能不包含。
通訊晶片1812可實行數個無線標準或協定中之任一者,其包括但不限於電氣電子工程師學會(IEEE)標準,包括Wi-Fi (IEEE 802.11系列)、IEEE 802.16標準(例如IEEE 802.16-2005修正案)、長期演進(LTE)計劃以及任何修正、更新及/或修訂(例如,進階LTE計劃、超級行動寬頻(UMB)計劃(亦被稱作「3GPP2」)等)。IEEE 802.16相容之寬頻無線存取(BWA)網路通常稱為WiMAX網路,即代表全球互通微波存取的縮寫,其係通過IEEE 802.16標準之一致性與互通性測試之產品的認證標記。通訊晶片1812可根據全球行動通訊系統(GSM)、通用封包無線電服務(GPRS)、通用行動電信系統(UMTS)、高速封包存取(HSPA)、演進型HSPA (E-HSPA)或LTE網路來操作。通訊晶片1812可根據增強型GSM演進資料(EDGE)、GSM EDGE無線電存取網路(GERAN)、通用陸地無線電存取網路(UTRAN)或演進型UTRAN (E-UTRAN)來操作。通訊晶片1812可根據分碼多重存取(CDMA)、分時多重存取(TDMA)、數位增強型無線電信(DECT)、演進資料最佳化(EV-DO)及其衍生物,以及命名為3G、4G、5G及往後者之任何其他無線協定而操作。通訊晶片1812在其他實施例中可根據其他無線協定操作。電氣裝置1800可包括一天線1822以促進無線通訊及/或接收其他無線通訊(諸如,AM或FM無線電傳輸)。
在一些實施例中,通訊晶片1812可管理有線通訊,諸如電氣、光學或任何其他合適的通訊協定(例如,乙太網路)。如上文所記述,通訊晶片1812可包括多個通訊晶片。例如,一第一通訊晶片1812可專用於較短範圍無線通訊,諸如Wi-Fi及藍牙,且一第二‎通訊晶片1812可專用於較長範圍無線通訊,諸如全球定位系統(GPS)、EDGE、GPRS、CDMA、WiMAX、LTE、EV-DO或其他。在一些實施例中,一第一通訊晶片1812可專用於無線通訊,且一第二通訊晶片1812可專用於有線通訊。
電氣裝置1800可包括電池/電源電路系統1814。電池/電源電路系統1814可包括一或多個能量儲存裝置(例如,電池或電容器)及/或用於將電氣裝置1800之組件耦接至與電氣裝置1800分開之一能源(例如,AC線電源)的電路系統。
電氣裝置1800可包括一顯示裝置1806(或對應的介面電路系統,如上文所論述)。顯示裝置1806可包括任何視覺指示器,諸如一抬頭顯示器、一電腦監視器、一投影機、一觸控式螢幕顯示器、一液晶顯示器(LCD)、一發光二極體顯示器,一或平板顯示器。
電氣裝置1800可包括一音訊輸出裝置1808(或對應的介面電路系統,如上文所論述)。音訊輸出裝置1808可包括產生一可聽指示符之任何裝置,諸如揚聲器、頭戴式耳機、或耳塞式耳機。
電氣裝置1800可包括一音訊輸入裝置1824(或對應的介面電路系統,如上文所論述)。音訊輸入裝置1824可包括產生表示一聲音之一信號的任何裝置,諸如麥克風、麥克風陣列或數位儀器(例如,具有一樂器數位介面(MIDI)輸出之儀器)。
電氣裝置1800可包括一GPS裝置1818(或對應的介面電路系統,如上文所論述)。GPS裝置1818可與以衛星為基之系統通訊且可接收電氣裝置1800之位置,如業界所知。
電氣裝置1800可包括一其他輸出裝置1810(或對應的介面電路系統,如上文所論述)。其他輸出裝置1810之範例可包括一音訊編解碼器、一視訊編解碼器、一印表機、用於向其他裝置提供資訊之一有線或無線傳送器,或一額外儲存裝置。
電氣裝置1800可包括一其他輸入裝置1820(或對應的介面電路系統,如上文所論述)。其他輸入裝置1820之範例可包括一加速度計、一陀螺儀、一羅盤、一影像擷取裝置、一鍵盤、諸如一滑鼠之一游標控制裝置、一電筆、一觸控板、一條碼讀取器、一快速回應(QR)碼讀取器、任何感測器,或一無線射頻識別(RFID)讀取器。
電氣裝置1800可具有任何所欲型式因子,諸如一手持式或行動電氣裝置(例如,一行動電話、一智慧型手機、一行動網際網路裝置、一音樂播放器、一平板電腦、一膝上型電腦、一筆記型電腦、一超輕薄筆電、一個人數位助理(PDA)、一超輕薄行動個人電腦等)、一桌上型電氣裝置、一伺服器或其他網路運算組件、一印表機、一掃描器、一監視器、一機上盒、一娛樂控制單元、一車輛控制單元、一數位攝影機、一數位錄影機,或一穿戴式電氣裝置。在一些實施例中,電氣裝置1800可係處理資料之任何其他電子裝置。
下列段落提供在本文所揭露之實施例的各種範例。
範例1係一微電子結構,其包括:一玻璃核心,其具有穿玻璃通孔(TGV)於其中;在該玻璃核心之一第一面處的一第一金屬化區,其中該第一金屬化區中之一第一傳導路徑係傳導式耦接至該等TGV中之至少一者;在該玻璃核心之一第二面處的一第二金屬化區,其中該第二金屬化區中之一第二傳導路徑係傳導式耦接至該等TGV中之至少一者,且該玻璃核心之該第二面係相對於該玻璃核心之該第一面;在該第一金屬化區中之一橋接組件;在該第一金屬化區之一面處的一第一傳導接點,其中該第一傳導接點係傳導性耦接至該第一傳導路徑;以及在該第一金屬化區之該面處之一第二傳導接點,其中該第二傳導接點係傳導性耦接至該橋接組件。
範例2包括範例1之標的,且進一步指定該橋接組件包括一電晶體。
範例3包括範例1之標的,且進一步指定該橋接組件不包括一電晶體。
範例4包括範例1-3中任一者之標的,且進一步指定該橋接組件包括一第一面及相對的一第二面,該橋接組件之該第一面係在該橋接組件之該第二面與該第一金屬化區之該面之間,該橋接組件包括在該橋接組件之該第一面處的一傳導接點,且該橋接組件之該傳導接點係傳導式耦接至在該第一金屬化區之該面處的該第二傳導接點。
範例5包括範例4之標的,且進一步指定一第一傳導柱及一第二傳導柱係介於該橋接組件的該傳導接點與在該第一金屬化區之該面處的該第二傳導接點之間,且該第一傳導柱係在該第二傳導柱與該橋接組件之該傳導接點之間。
範例6包括範例4-5中任一者之標的,且進一步指定在該橋接組件之該第一面處的該傳導接點為在該橋接組件之該第一面處的一第一傳導接點,該橋接組件包括在該橋接組件之該第二面處的一第二傳導接點,且在該橋接組件之該第二面處的該第二傳導接點傳導式耦接至在該第一金屬化區中的一第三傳導路徑。
範例7包括範例6之標的,且進一步指定該第三傳導路徑係傳導式耦接至該等TGV中之至少一者。
範例8包括範例6-7中任一者之標的,且進一步指定該第二傳導接點係藉由焊料傳導式耦接至該第三傳導路徑。
範例9包括範例4之標的,且進一步指定該橋接組件不包括在該橋接組件之該第二面處的傳導接點。
範例10包括範例9之標的物,且進一步包括:與該橋接組件之該第二面接觸的黏著劑。
範例11包括範例1-10中任一者之標的,且進一步指定該第一金屬化區包括傳導柱。
範例12包括範例11之標的,且進一步指定平行於該第一金屬化區之該面的一平面係延伸通過該等傳導柱及該橋接組件。
範例13包括範例1-12中任一者之標的,且進一步指定在該第一金屬化區之該面處的該第一傳導接點為在該第一金屬化區之該面處的複數個第一傳導接點中之一者,在該第一金屬化區之該面處的該第二傳導接點為在該第一金屬化區之該面處的複數個第二傳導接點中之一者,且該等第一傳導接點之一間距係小於該等第二傳導接點之一間距。
範例14包括範例13之標的,且進一步指定該等第一傳導接點之該間距係小於36微米。
範例15包括範例13-14中任一者之標的,且進一步指定該等第二傳導接點之該間距係大於36微米。
範例16包括範例1-15中任一者之標的,且進一步指定該第一金屬化區包括四個或更少金屬化層。
範例17包括範例1-16中任一者之標的,且進一步指定該第二金屬化區包括四個或更少金屬化層。
範例18包括範例1-17中任一者之標的,且進一步指定在該第一金屬化區中之金屬化層的一數目係與在該第二金屬化區中之金屬化層的一數目相同。
範例19包括範例1-18中任一者之標的,且進一步指定在該第一金屬化區中之金屬化層的一數目係不同於在該第二金屬化區中之金屬化層的一數目。
範例20包括範例1-19中任一者之標的,並且進一步指定該第一金屬化區之一厚度係在30微米與200微米之間。
範例21包括範例1-20中任一者之標的,並且進一步指定該第二金屬化區之一厚度係在30微米與200微米之間。
範例22包括範例1-21中任一者之標的,且進一步指定該玻璃核心具有在350微米與500微米之間的一厚度。
範例23包括範例1-22中任一者之標的,且進一步指定該玻璃核心具有小於6每攝氏度之一熱膨脹係數。
範例24包括範例1-23中任一者之標的,且進一步指定該等TGV之一間距係在75微米與200微米之間。
範例25包括範例1-24中任一者之標的,且進一步指定該等TGV之一間距係在75微米與150微米之間。
範例26包括範例1-25中任一者之標的,且進一步指定該等TGV之一直徑係在35微米與100微米之間。
範例27包括範例1-26中任一者之標的,且進一步指定該等TGV之一直徑係在35微米與75微米之間。
範例28係一種微電子總成,其包括:一第一晶粒;一第二晶粒;一微電子結構,其中該微電子結構包括一玻璃核心、在該玻璃核心之一第一面處的一第一金屬化區、及在該玻璃核心之相對的一第二面處的一第二金屬化區,且其中該第一晶粒及該第二晶粒係耦接至該第一金屬化區之一面;以及一封裝體基體,其中該封裝體基體係耦接至該第二金屬化區之一面。
範例29包括範例28之標的,且進一步指定該封裝體基體包括一有機介電材料。
範例30包括範例28-29中任一者之標的,且進一步指定該封裝體基體包括一核心。
範例31包括範例28-29中任一者之標的,且進一步指定該封裝體基體不包括一核心。
範例32包括範例28-31中任一者之標的,且進一步指定該微電子結構係藉由在該封裝體基體與該第二金屬化區之間的焊料耦接至該封裝體基體。
範例33包括範例28-32中任一者之標的,且進一步指定該晶粒係藉由焊料耦接至該第一金屬化區之該面。
範例34包括範例28-33中任一者之標的,且進一步包括:在該第一晶粒與該第二晶粒之間的一模塑化合物。
範例35包括範例28-34中任一者之標的,且進一步包括:在該第一晶粒與該微電子結構之間的一底填材料。
範例36包括範例28-35中任一者之標的,且進一步指定該微電子結構包括在該第一金屬化區中之一橋接組件,該第一晶粒係部分地重疊該橋接組件,且該第二晶粒係部分地重疊該橋接組件。
範例37包括範例36之標的,且進一步指定該橋接組件包括一電晶體。
範例38包括範例36之標的,且進一步指定該橋接組件不包括一電晶體。
範例39包括範例36-38中任一者之標的,且進一步指定該玻璃核心包括穿玻璃通孔(TGV)於其中,且該微電子結構進一步包括:
在該第一金屬化區之該面處的一第一傳導接點;以及在該第一金屬化區之該面處的一第二傳導接點;其中該第一金屬化區中的一第一傳導路徑係傳導式耦接至該等TGV中之至少一者,該第二金屬化區中的一第二傳導路徑係傳導式耦接至該等TGV中之至少一者,該玻璃核心之該第二面係相對於該玻璃核心之該第一面,該第一傳導接點係傳導式耦接至該第一傳導路徑,且該第二傳導接點係傳導式耦接至該橋接組件。
範例40包括範例39之標的物,且進一步指定該等TGV之一間距係在75微米與200微米之間。
範例41包括範例39-40中任一者之標的,且進一步指定該等TGV之一間距係在75微米與150微米之間。
範例42包括範例39-41中任一者之標的,且進一步指定該等TGV之一直徑係在35微米與100微米之間。
範例43包括範例39-42中任一者之標的,且進一步指定該等TGV之一直徑係在35微米與75微米之間。
範例44包括範例39-43中任一者之標的,且進一步指定該橋接組件包括一第一面及相對的一第二面,該橋接組件之該第一面係在該橋接組件之該第二面與該第一金屬化區之該面之間,該橋接組件包括在該橋接組件之該第一面處的一傳導接點,且該橋接組件之該傳導接點係傳導式耦接至在該第一金屬化區之該面處的該第二傳導接點。
範例45包括範例44之標的,且進一步指定一第一傳導柱及一第二傳導柱係介於該橋接組件的該傳導接點與在該第一金屬化區之該面處的該第二傳導接點之間,且該第一傳導柱係在該第二傳導柱與該橋接組件之該傳導接點之間。
範例46包括範例44-45中任一者之標的,且進一步指定在該橋接組件之該第一面處的該傳導接點為在該橋接組件之該第一面處的一第一傳導接點,該橋接組件包括在該橋接組件之該第二面處的一第二傳導接點,且在該橋接組件之該第二面處的該第二傳導接點傳導式耦接至在該第一金屬化區中的一第三傳導路徑。
範例47包括範例46之標的,且進一步指定該第三傳導路徑係傳導式耦接至該等TGV中之至少一者。
範例48包括範例46-47中任一者之標的,且進一步指定該第二傳導接點係藉由焊料傳導式耦接至該第三傳導路徑。
範例49包括範例44之標的,且進一步指定該橋接組件不包括在該橋接組件之該第二面處的傳導接點。
範例50包括範例49之標的物,且進一步包括:與該橋接組件之該第二面接觸的黏著劑。
範例51包括範例39-50中任一者之標的,且進一步指定在該第一金屬化區之該面處的該第一傳導接點係為在該第一金屬化區之該面處的複數個第一傳導接點中之一者,在該第一金屬化區之該面處的該第二傳導接點係為在該第一金屬化區之該面處的複數個第二傳導接點中之一者,且該等第一傳導接點之一間距係小於該等第二傳導接點之一間距。
範例52包括範例51之標的,且進一步指定該等第一傳導接點之該間距係小於36微米。
範例53包括範例51-52中任一者之標的,且進一步指定該等第二傳導接點之該間距係大於36微米。
範例54包括範例28-54中任一者之標的,且進一步指定該第一金屬化區包括傳導柱。
範例55包括範例54之標的,且進一步指定該微電子結構包括該第一金屬化區中之一橋接組件,並且平行於該第一金屬化區之該面的一平面係延伸通過該等傳導柱及該橋接組件。
範例56包括範例28-55中任一者之標的,且進一步指定該第一金屬化區包括四個或更少金屬化層。
範例57包括範例28-56中任一者之標的,且進一步指定該第二金屬化層包括四個或更少金屬化層。
範例58包括範例28-57中任一者之標的,且進一步指定在該第一金屬化區中之金屬化層的一數目係與在該第二金屬化區中之金屬化層的一數目相同。
範例59包括範例28-58中任一者之標的,且進一步指定在該第一金屬化區中之金屬化層的一數目係不同於在該第二金屬化區中之金屬化層的一數目。
範例60包括範例28-59中任一者之標的,並且進一步指定該第一金屬化區之一厚度係在30微米與200微米之間。
範例61包括範例28-60中任一者之標的,並且進一步指定該第二金屬化區之一厚度係在30微米與200微米之間。
範例62包括範例28-61中任一者之標的,且進一步指定該玻璃核心具有在350微米與500微米之間的一厚度。
範例63包括範例28-62中任一者之標的,且進一步指定該玻璃核心具有小於6每攝氏度之一熱膨脹係數。
範例64包括範例28-63中任一者之標的,且進一步指定該封裝體基體之金屬化層的一數目係在10與25之間。
範例65係一種微電子總成,其包括:一第一晶粒;一第二晶粒;一微電子結構,其中該微電子結構包括一玻璃核心、在該玻璃核心之一面處的一金屬化區、及在該金屬化區中的一橋接組件,且其中該第一晶粒及該第二晶粒係耦接至該金屬化區之一面;以及一封裝體基體,其中該微電子結構係耦接於該封裝體基體與該等晶粒之間,且該玻璃核心係在該封裝體基體與該橋接組件之間。
範例66包括範例65之標的,且進一步指定該封裝體基體包括一有機介電材料。
範例67包括範例65-66中任一者之標的,且進一步指定該封裝體基體包括一核心。
範例68包括範例65-66中任一者之標的,且進一步指定該封裝體基體不包括一核心。
範例69包括範例65-68中任一者之標的,且進一步指定該金屬化區為一第一金屬化區,該玻璃核心之該面為該玻璃核心之一第一面,且該微電子結構包括一第二金屬化區,其在該玻璃核心之相對的一第二面處。
範例70包括範例69之標的,且進一步指定該第二金屬化區包括四個或更少金屬化層。
範例71包括範例69-70中任一者之標的,且進一步指定在該第一金屬化區中之金屬化層的一數目係與在該第二金屬化區中之金屬化層的一數目相同。
範例72包括範例69-71中任一者之標的,且進一步指定在該第一金屬化區中之金屬化層的一數目係不同於在該第二金屬化區中之金屬化層的一數目。
範例73包括範例69-72中任一者之標的,並且進一步指定該第二金屬化區之一厚度係在30微米與200微米之間。
範例74包括範例69-73中任一者之標的,且進一步指定該微電子結構係藉由在該封裝體基體與該第二金屬化區之間的焊料耦接至該封裝體基體。
範例75包括範例65-74中任一者之標的,且進一步指定該金屬化區包括四個或更少金屬化層。
範例76包括範例65-75中任一者之標的,且進一步指定該金屬化區之一厚度係在30微米與200微米之間。
範例77包括範例65-76中任一者之標的,且進一步指定該晶粒係藉由焊料耦接至該金屬化區之該面。
範例78包括範例65-77中任一者之標的,且進一步包括:在該第一晶粒與該第二晶粒之間的一模塑化合物。
範例79包括範例65-78中任一者之標的,且進一步包括:在該第一晶粒與該微電子結構之間的一底填材料。
範例80包括範例65-79中任一者之標的,且進一步指定該第一晶粒係部分地重疊該橋接組件,且該第二晶粒係部分地重疊該橋接組件。
範例81包括範例65-80中任一者之標的,且進一步指定該橋接組件包括一電晶體。
範例82包括範例65-80中任一者之標的,且進一步指定該橋接組件不包括一電晶體。
範例83包括範例65-83中任一者之標的,且進一步指定該玻璃核心包括穿玻璃通孔(TGV)於其中,且該微電子結構進一步包括:在該金屬化區之該面處的一第一傳導接點;以及在該金屬化區之該面處的一第二傳導接點;其中該金屬化區中的一第一傳導路徑係傳導式耦接至該等TGV中之至少一者,該第一傳導接點係傳導式耦接至該第一傳導路徑,且該第二傳導接點係傳導地耦接至該橋接組件。
範例84包括範例83之標的物,且進一步指定該等TGV之一間距係在75微米與200微米之間。
範例85包括範例83-84中任一者之標的,且進一步指定該等TGV之一間距係在75微米與150微米之間。
範例86包括範例83-85中任一者之標的,且進一步指定該等TGV之一直徑係在35微米與100微米之間。
範例87包括範例83-86中任一者之標的,且進一步指定該等TGV之一直徑係在35微米與75微米之間。
範例88包括範例83-87中任一者之標的,且進一步指定該橋接組件包括一第一面及相對的一第二面,該橋接組件之該第一面係在該橋接組件之該第二面與該金屬化區之該面之間,該橋接組件包括在該橋接組件之該第一面處的一傳導接點,且該橋接組件之該傳導接點係傳導式耦接至在該金屬化區之該面處的該第二傳導接點。
範例89包括範例88之標的,且進一步指定一第一傳導柱及一第二傳導柱係介於該橋接組件的該傳導接點與在該金屬化區之該面處的該第二傳導接點之間,且該第一傳導柱係在該第二傳導柱與該橋接組件之該傳導接點之間。
範例90包括範例88-89中任一者之標的,且進一步指定在該橋接組件之該第一面處的該傳導接點為在該橋接組件之該第一面處的一第一傳導接點,該橋接組件包括在該橋接組件之該第二面處的一第二傳導接點,且在該橋接組件之該第二面處的該第二傳導接點傳導式耦接至在該金屬化區中的一第三傳導路徑。
範例91包括範例90之標的,且進一步指定該第三傳導路徑係傳導式耦接至該等TGV中之至少一者。
範例92包括範例90-91中任一者之標的,且進一步指定該第二傳導接點係藉由焊料傳導式耦接至該第三傳導路徑。
範例93包括範例88之標的,且進一步指定該橋接組件不包括在該橋接組件之該第二面處的傳導接點。
範例94包括範例88之標的物,且進一步包括:與該橋接組件之該第二面接觸的黏著劑。
範例95包括範例83-94中任一者之標的,且進一步指定在該金屬化區之該面處的該第一傳導接點為在該金屬化區之該面處的複數個第一傳導接點中之一者,在該金屬化區之該面處的該第二傳導接點為在該金屬化區之該面處的複數個第二傳導接點中之一者,且該等第一傳導接點之一間距係小於該等第二傳導接點之一間距。
範例96包括範例95之標的,且進一步指定該等第一傳導接點之該間距係小於36微米。
範例97包括範例95-96中任一者之標的,且進一步指定該等第二傳導接點之該間距係大於36微米。
範例98包括範例65-97中任一者之標的,且進一步指定該金屬化區包括傳導柱。
範例99包括範例98之標的,且進一步指定平行於該金屬化區之該面的一平面係延伸通過該等傳導柱及該橋接組件。
範例100包括範例65-99中任一者之標的,且進一步指定該玻璃核心具有在350微米與500微米之間的一厚度。
範例101包括範例65-100中任一者之標的,且進一步指定該玻璃核心具有小於6每攝氏度之一熱膨脹係數。
範例102包括範例65-101中任一者之標的,且進一步指定該封裝體基體之金屬化層的一數目係在10與25之間。
範例103係一種電子裝置,其包括:一電路板;以及一微電子總成,其傳導式耦接至該電路板,其中該微電子總成包括範例1-102中任一者的該等微電子總成或結構。
範例104包括範例103之標的,且進一步指定該電子裝置係一手持式運算裝置、一膝上型運算裝置、一穿戴式運算裝置或一伺服器運算裝置。
範例105包括範例103-104中任一者之標的,且進一步指定該電路板為一主機板。
範例106包括範例103-105中任一者之標的,且進一步包括:一顯示器,其通訊式耦接至該電路板。
範例107包括範例106之標的,且進一步指定該顯示器包括一觸控螢幕顯示器。
範例108包括範例103-107中任一者之標的,且進一步包括:一殼體,其在該電路板及該微電子總成的周圍。
範例109係一種製造一微電子結構的方法,其包括本文所揭露之方法中任一者。
範例110係一種製造一微電子總成的方法,其包括本文所揭露之方法中任一者。
100:微電子總成 102,1502:晶粒 104:橋接組件 106:表面絕緣材料 108,128,1626:介電材料 110:微電子結構 112,112-1,112-2:金屬化區 114:玻璃核心 116,130:傳導材料 118:穿玻璃通孔(TGV) 120,120-1,120-2,121,124,136,136-1,136-2,138,138-1,138-2,1636:傳導接點 122:焊料 123:柱 126:封裝體基體 132:核心 134:穿核心通孔 140,1706:穿基體通孔(TSV) 142:底填材料 144:模塑材料 146:背側金屬化物 148:黏著劑材料 1500:晶圓 1600:積體電路(IC)裝置 1602:基體 1604:裝置層 1606:(第一)互連層 1608:(第二)互連層 1610:(第三)互連層 1619:金屬化堆疊(ILD堆疊) 1620:源極及/或汲極(S/D)區 1622:閘極 1624:S/D接點 1628:互連結構 1628a:線路 1628b,1708:通孔 1634:絕緣材料 1640:電晶體 1700:IC裝置總成 1702:電路板 1704:封裝體中介件 1710:金屬線路 1714:嵌入式裝置 1716,1722,1728,1730:耦接組件 1720,1724,1726,1732:IC封裝體 1734:堆疊式封裝體結構 1736:中介件上封裝體結構 1740:(第一)面 1742:(第二)面 1800:電氣裝置 1802:處理裝置 1804:記憶體 1806:顯示裝置 1808:音訊輸出裝置 1810:其他輸出裝置 1812:(第一/第二)通訊晶片 1814:電池/電源電路系統 1818:GPS裝置 1820:其他輸入裝置 1822:天線 1824:音訊輸入裝置
實施例將藉由以下結合隨附之圖式之詳細說明而易於理解。為了利於此說明,類似的數字表示類似的結構元件。於隨附圖式之各圖中的實施例係以範例之方式而非限制之方式說明。
圖1為根據各種實施例之包括具有一玻璃核心之一微電子結構的一範例微電子總成的側截面圖。
圖2-16為根據各種實施例之用於製造圖1之微電子總成之一範例程序之各種階段的側截面圖。
圖17及18為根據各種實施例之包括具有一玻璃核心之一微電子結構的其他範例微電子總成的側截面圖。
圖19為根據本文所揭露之實施例中之任一者之可被包括在一微電子結構或微電子總成中之一晶圓及晶粒的俯視圖。
圖20為根據本文所揭露之實施例中之任一者之可被包括在一微電子結構或微電子總成中之一積體電路(IC)裝置的側截面圖。
圖21為根據本文所揭露之實施例中之任一者之可包括一微電子結構或微電子總成之一IC裝置總成的側截面圖。
圖22為根據本文所揭露之實施例中之任一者之可包括一微電子結構或微電子總成之一範例電氣裝置的方塊圖。
100:微電子總成
102:晶粒
104:橋接組件
106:表面絕緣材料
108,128:介電材料
110:微電子結構
112-1,112-2:金屬化區
114:玻璃核心
116,130:傳導材料
118:穿玻璃通孔(TGV)
120-1,120-2,121,124,136-1,136-2,138-1,138-2:傳導接點
122:焊料
123:柱
126:封裝體基體
132:核心
134:穿核心通孔
140:穿基體通孔(TSV)
142:底填材料
144:模塑材料
146:背側金屬化物

Claims (20)

  1. 一種微電子結構,其包含: 一玻璃核心,其具有穿玻璃通孔(TGV)於其中; 一第一金屬化區,其在該玻璃核心之一第一面處,其中該第一金屬化區中之一第一傳導路徑係傳導式耦接至該等TGV中之至少一者; 一第二金屬化區,其在該玻璃核心之一第二面處,其中該第二金屬化區中之一第二傳導路徑係傳導式耦接至該等TGV中之至少一者,且該玻璃核心之該第二面係相對於該玻璃核心之該第一面; 一橋接組件,其在該第一金屬化區中; 一第一傳導接點,其在該第一金屬化區之一面處,其中該第一傳導接點係傳導式耦接至該第一傳導路徑;以及 一第二傳導接點,其在該第一金屬化區之該面處,其中該第二傳導接點係傳導式耦接至該橋接組件。
  2. 如請求項1之微電子結構,其中該橋接組件包括一第一面及相對的一第二面,該橋接組件之該第一面係在該橋接組件的該第二面與該第一金屬化區的該面之間,該橋接組件包括在該橋接組件之該第一面處的一傳導接點,且該橋接組件之該傳導接點係傳導式耦接至在該第一金屬化區之該面處的該第二傳導接點。
  3. 如請求項2之微電子結構,其中一第一傳導柱及一第二傳導柱係在該橋接組件的該傳導接點與在該第一金屬化區之該面處的該第二傳導接點之間,且該第一傳導柱係在該第二傳導柱與該橋接組件之該傳導接點之間。
  4. 如請求項2-3中任一項之微電子結構,其中在該橋接組件之該第一面處的該傳導接點係為在該橋接組件之該第一面處的一第一傳導接點,該橋接組件包括在該橋接組件之該第二面處的一第二傳導接點,且在該橋接組件之該第二面處的該第二傳導接點係傳導式耦接至在該第一金屬化區中的一第三傳導路徑。
  5. 如請求項4之微電子結構,其中該第三傳導路徑係傳導式耦接至該等TGV中之至少一者。
  6. 如請求項4之微電子結構,其中該第二傳導接點係藉由焊料傳導式耦接至該第三傳導路徑。
  7. 如請求項1之微電子結構,其中該第一金屬化區包括傳導柱。
  8. 如請求項7之微電子結構,其中與該第一金屬化區之該面平行的一平面係延伸通過該等傳導柱及該橋接組件。
  9. 一種微電子總成,其包含: 一第一晶粒; 一第二晶粒; 一微電子結構,其中該微電子結構包括一玻璃核心、在該玻璃核心之一第一面處的一第一金屬化區、及在該玻璃核心之相對的一第二面處的一第二金屬化區,且其中該第一晶粒及該第二晶粒係耦接至該第一金屬化區之一面;以及 一封裝體基體,其中該封裝體基體係耦接至該第二金屬化區之一面。
  10. 如請求項9之微電子總成,其中該封裝體基體包括一有機介電材料。
  11. 如請求項9-10中任一項之微電子總成,其中該微電子結構係藉由在該封裝體基體與該第二金屬化區之間的焊料耦接至該封裝體基體。
  12. 如請求項9-10中任一項之微電子總成,其中該晶粒係藉由焊料耦接至該第一金屬化區之該面。
  13. 如請求項9-10中任一項之微電子總成,其中該微電子結構包括該第一金屬化區中的一橋接組件,該第一晶粒係部分地重疊該橋接組件,且該第二晶粒係部分地重疊該橋接組件。
  14. 如請求項9-10中任一項之微電子總成,其中該玻璃核心包括穿玻璃通孔(TGV)於其中,且該微電子結構進一步包括: 在該第一金屬化區之該面處的一第一傳導接點;以及 在該第一金屬化區之該面處的一第二傳導接點; 其中該第一金屬化區中之一第一傳導路徑係傳導式耦接至該等TGV中之至少一者,該第二金屬化區中之一第二傳導路徑係傳導式耦接至該等TGV中之至少一者,該玻璃核心之該第二面係相對於該玻璃核心之該第一面,該第一傳導接點係傳導式耦接至該第一傳導路徑,且該第二傳導接點係傳導式耦接至該橋接組件。
  15. 如請求項14之微電子總成,其中該等TGV之一間距係在75微米與200微米之間。
  16. 一種微電子總成,其包含: 一第一晶粒; 一第二晶粒; 一微電子結構,其中該微電子結構包括一玻璃核心、在該玻璃核心之一面處的一金屬化區、及在該金屬化區中的一橋接組件,且其中該第一晶粒及該第二晶粒係耦接至該金屬化區之一面;以及 一封裝體基體,其中該微電子結構係耦接於該封裝體基體與該等晶粒之間,且該玻璃核心係在該封裝體基體與該橋接組件之間。
  17. 如請求項16之微電子總成,其中該金屬化區包括四個或更少金屬化層。
  18. 如請求項16-17中任一項之微電子總成,其中該金屬化區之一厚度係在30微米與200微米之間。
  19. 如請求項16-17中任一項之微電子總成,其中該第一晶粒係部分地重疊該橋接組件,且該第二晶粒係部分地重疊該橋接組件。
  20. 如請求項16-17中任一項之微電子總成,其中該玻璃核心具有小於6的一熱膨脹係數。
TW110134460A 2020-12-16 2021-09-15 包括玻璃核心之微電子結構 TW202226511A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/124,352 US20220189880A1 (en) 2020-12-16 2020-12-16 Microelectronic structures including glass cores
US17/124,352 2020-12-16

Publications (1)

Publication Number Publication Date
TW202226511A true TW202226511A (zh) 2022-07-01

Family

ID=81941634

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110134460A TW202226511A (zh) 2020-12-16 2021-09-15 包括玻璃核心之微電子結構

Country Status (5)

Country Link
US (1) US20220189880A1 (zh)
EP (1) EP4264667A1 (zh)
NL (2) NL2034848A (zh)
TW (1) TW202226511A (zh)
WO (1) WO2022132267A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20240030204A1 (en) * 2022-07-22 2024-01-25 Intel Corporation Multi-die panel-level high performance computing components
US20240030065A1 (en) * 2022-07-22 2024-01-25 Intel Corporation Multi-die panel-level high performance computing components

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8207453B2 (en) * 2009-12-17 2012-06-26 Intel Corporation Glass core substrate for integrated circuit devices and methods of making the same
JP2012054395A (ja) * 2010-09-01 2012-03-15 Nec Corp 半導体パッケージ
US8674235B2 (en) * 2011-06-06 2014-03-18 Intel Corporation Microelectronic substrate for alternate package functionality
JP6159820B2 (ja) * 2013-11-29 2017-07-05 株式会社日立製作所 半導体装置および情報処理装置
US9224709B2 (en) * 2014-02-13 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device including an embedded surface mount device and method of forming the same
US9202803B2 (en) * 2014-03-28 2015-12-01 Intel Corporation Laser cavity formation for embedded dies or components in substrate build-up layers
CN105097726B (zh) * 2015-06-16 2019-03-12 合肥矽迈微电子科技有限公司 封装结构及封装方法
US10276548B2 (en) * 2016-09-14 2019-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages having dummy connectors and methods of forming same
WO2018063316A1 (en) * 2016-09-30 2018-04-05 Robert Alan May Device and method of very high density routing used with embedded multi-die interconnect bridge
JP7139594B2 (ja) * 2017-11-30 2022-09-21 凸版印刷株式会社 ガラスコア、多層配線基板、及びガラスコアの製造方法
US20200335443A1 (en) * 2019-04-17 2020-10-22 Intel Corporation Coreless architecture and processing strategy for emib-based substrates with high accuracy and high density

Also Published As

Publication number Publication date
NL2029640A (en) 2022-07-08
EP4264667A1 (en) 2023-10-25
NL2034848A (en) 2023-07-19
US20220189880A1 (en) 2022-06-16
WO2022132267A1 (en) 2022-06-23
NL2029640B1 (en) 2023-06-28

Similar Documents

Publication Publication Date Title
US20240006331A1 (en) Embedded die on interposer packages
US20240128255A1 (en) Microelectronic assemblies
US11817390B2 (en) Microelectronic component having molded regions with through-mold vias
US20200395300A1 (en) Substrateless double-sided embedded multi-die interconnect bridge
US11557579B2 (en) Microelectronic assemblies having an integrated capacitor
US11721649B2 (en) Microelectronic assemblies
US11508587B2 (en) Microelectronic assemblies
TW202226509A (zh) 在具有直接接合之微電子總成中之遮蔽結構
EP4356426A1 (en) Microelectronic assemblies having a hybrid bonded interposer for die-to-die fan-out scaling
TW202226511A (zh) 包括玻璃核心之微電子結構
CN117561599A (zh) 具有背侧管芯到封装互连的微电子组件
US11688692B2 (en) Embedded multi-die interconnect bridge having a substrate with conductive pathways and a molded material region with through-mold vias
EP4152366A2 (en) Microelectronic assemblies including solder and non-solder interconnects
US20220270976A1 (en) Microelectronic assemblies including bridges
TW202301591A (zh) 具有頂側電力輸送結構之微電子總成
TW202226597A (zh) 電晶體源極/汲極接點
US20240006381A1 (en) Microelectronic assemblies including stacked dies coupled by a through dielectric via
EP4303925A1 (en) Microelectronic assemblies including stacked dies coupled by a through dielectric via
US20240071938A1 (en) Cavity-less interconnect component on glass core
TW202329391A (zh) 包括電橋的微電子組件