TW202223145A - 用於臨限電壓控制之方法、結構及系統 - Google Patents

用於臨限電壓控制之方法、結構及系統 Download PDF

Info

Publication number
TW202223145A
TW202223145A TW110137300A TW110137300A TW202223145A TW 202223145 A TW202223145 A TW 202223145A TW 110137300 A TW110137300 A TW 110137300A TW 110137300 A TW110137300 A TW 110137300A TW 202223145 A TW202223145 A TW 202223145A
Authority
TW
Taiwan
Prior art keywords
precursor
gallium
pulse
threshold voltage
indium
Prior art date
Application number
TW110137300A
Other languages
English (en)
Inventor
歐瑞斯特 馬蒂亞
維爾尼 吉賽佩 亞雷西歐
琦 謝
麥可 尤金 吉芬斯
瓦倫 夏瑪
安德里亞 伊利貝里
Original Assignee
荷蘭商Asm Ip私人控股有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm Ip私人控股有限公司 filed Critical 荷蘭商Asm Ip私人控股有限公司
Publication of TW202223145A publication Critical patent/TW202223145A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/407Oxides of zinc, germanium, cadmium, indium, tin, thallium or bismuth
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02488Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02502Layer structure consisting of two layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02565Oxide semiconducting materials not being Group 12/16 materials, e.g. ternary compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66969Multistep manufacturing processes of devices having semiconductor bodies not comprising group 14 or group 13/15 materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02551Group 12/16 materials
    • H01L21/02554Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing

Abstract

揭露用於在基材表面上沉積臨限電壓移位層的方法和系統,以及使用方法所形成的結構和裝置。一例示性方法包括使用循環沉積製程,將臨限電壓移位層沉積在基材的表面上。臨限電壓移位層尤其適用於金氧半導體場效電晶體。

Description

形成臨限電壓控制用之結構的方法
本揭露大致上係關於適於在基材表面上形成層之方法及系統,並關於包括層之結構。更具體地,本揭露係關於形成允許控制金氧半導體場效電晶體(MOSFET)之臨限電壓層的方法及系統,其及使用方法及系統形成之結構。
半導體裝置(諸如,例如互補式金氧半導體(complementary metal-oxide-semiconductor, CMOS)裝置)之縮放已導致積體電路之速度及密度的顯著改善。然而,習知的裝置縮放技術對未來技術節點而言面對重大的挑戰。例如,一項挑戰為找尋在場效電晶體之閘極與及通道之間形成隔絕障壁之合適的介電堆疊。在這方面的一個特定問題為控制場效電晶體之臨限電壓。
下列先前技術已備案:JP3589954描述電磁波偵測器和影像偵測器。US9773818描述具有透明導電膜及金屬膜之顯示裝置。US20200052056描述有機發光二極體顯示裝置和製造有機發光二極體顯示裝置的方法。US8823672描述觸控面板顯示裝置。WO2017171739描述電晶體閘極-通道配置。WO2006055226描述含有經由金屬氧化物沉積而形成之臨限電壓控制層的含氮場效電晶體閘極堆疊。US2012161126描述半導體裝置及其製造方法。US9780225描述半導體裝置及其製造方法。
本節提出之任何討論(包括問題及解決方案的討論)僅為了提供本揭露背景脈絡之目的而包括在本揭露中。此類討論不應視為承認資訊之任何或全部內容在完成本發明時已知或以其他方式構成先前技術。
本發明內容可以簡化形式介紹可在下文進一步詳述之一系列概念。本發明內容並非意欲必然地鑑別所主張主題之關鍵特徵或基本特徵,亦非意欲用以限制所主張主題的範疇。
本揭露之各種實施例係關於形成包括臨限電壓移位層的結構之方法,關於使用此類方法所形成之結構及裝置,且關於用於進行方法及/或用於形成結構及/或裝置之設備。臨限電壓移位層可用在各種應用中,包括功函數調整層。另外或替代地,其可用作臨限電壓調整層或p型二極移位層。
循環沉積製程可包括一原子層沉積製程及一循環化學氣相沉積製程中之一或多者。循環沉積製程可包括熱製程,亦即,不使用電漿活化物種(plasma-activated species)的製程。在一些情況下,氧反應物可暴露至一電漿以形成活化氧反應物物種,例如自由基及/或離子。
在一態樣中, 本文中描述一種用於沉積控制金氧半導體場效電晶體(MOSFET)之臨限電壓用的層之方法,方法包含以下步驟: 在一反應器室中提供一基材,基材包含一表面,表面包含一氧化矽表面及/或一高k介電表面;使用一循環沉積製程,將一臨限電壓移位層沉積在氧化矽表面及/或高k介電表面上;其中臨限電壓移位層包含鎵及氧;其中循環沉積製程包含:提供一鎵前驅物至反應室;及提供一氧反應物至反應室。
在一些實施例中,氧反應物選自由以下組成之列表;O 2、O 3、H 2O、H 2O 2及N 2O。
在一些實施例中,鎵前驅物係選自以下:鎵二酮酸鹽類、鎵烷氧化物類、烷基鎵類、烷基醯胺鎵類、鹵化鎵類及氫化鎵類。
在一些實施例中,鎵前驅物係選自由三(二甲基醯胺)鎵、乙醯丙酮酸鎵(III)、二甲基鎵異丙醇、氯化鎵和三甲基鎵組成之列表。
在一些實施例中,循環沉積製程包括一水脈衝、一鎵前驅物脈衝和一臭氧脈衝的序列。
在一些實施例中,循環沉積製程包括一水脈衝、一鎵前驅物脈衝和一氧脈衝的序列。
在一些實施例中,循環沉積製程包含一臭氧脈衝、一鎵前驅物脈衝和一水脈衝的序列。
在一些實施例中,循環沉積製程包含一氧脈衝、一鎵前驅物脈衝和一水脈衝的序列。
在一些實施例中,循環沉積製程包含一臭氧脈衝、一鎵前驅物脈衝和一氧脈衝的序列。
在一些實施例中,循環沉積製程包含一氧脈衝、一鎵前驅物脈衝和一臭氧脈衝的序列。
在一些實施例中,臨限電壓移位層進一步包含銦,且其中循環沉積製程進一步包含提供銦前驅物至反應室之步驟。
在一些實施例中, 循環沉積製程包括複數個脈衝, 脈衝包含一或多個鎵前驅物脈衝,一或多個銦前驅物脈衝,及一或多個氧反應物脈衝;其中鎵前驅物係在一或多個鎵前驅物脈衝中提供至反應室,其中銦前驅物係在銦前驅物脈衝中提供至反應室, 其中氧反應物係在氧反應物脈衝中提供至反應室;且其中脈衝係以以下序列中之任一者形式提供:鎵前驅物脈衝、銦前驅物脈衝、氧反應物脈衝;或者,銦前驅物脈衝、鎵前驅物脈衝、氧反應物脈衝。
在一些實施例中,銦前驅物係選自烷基銦類、鹵化銦類、貝他二酮酸鹽銦類、烷氧化銦類及烷基醯胺銦類。
在一些實施例中,銦前驅物係選自以下列表:三甲基銦、三(二甲胺)銦、三乙基銦TEIn、環戊二烯基銦、InCl 3、3-(二甲胺)丙基]二甲基-銦、六氟乙醯丙酮銦、乙醯丙酮銦。
在一些實施例中,臨限電壓移位層進一步包含鋅,且其中循環沉積製程進一步包含提供鋅前驅物至反應室之步驟。
在一些實施例中,鋅前驅物係選自烷基鋅類、鹵化鋅類、貝他二酮酸鹽鋅類、烷氧化鋅類及烷基醯胺鋅類。
在一些實施例中,臨限電壓移位層進一步包含錫,且其中循環沉積製程進一步包含提供錫前驅物至反應室之步驟。
在一些實施例中,錫前驅物係選自烷基錫類、鹵化錫類、貝他二酮酸鹽錫類、烷氧化錫類及烷基醯胺錫類。
文中進一步描述一種用於沉積控制金氧半導體場效電晶體(MOSFET)之臨限電壓用的一層之方法,方法包括以下步驟:在一反應器室中提供一基材,基材包含一表面,表面包含一氧化矽表面及/或一高k介電表面;使用一循環沉積製程,在氧化矽表面及/或高k介電表面上沉積一臨限電壓移位層; 其中臨限電壓移位層包含錫及氧;其中循環沉積製程包括:提供一錫前驅物至反應室;及提供一氧反應物至反應室。
在一些實施例中,氧反應物選自由以下組成之列表:O 2、O 3、H 2O、H 2O 2及N 2O。
在一些實施例中,錫前驅物係選自以下列表:貝他二酮酸鹽錫類、烷氧化錫類、烷基錫類、烷基醯胺錫類、鹵化錫類、有機錫烷類及錫烷類。
在一些實施例中,循環沉積製程包含一水脈衝、一錫前驅物脈衝和一臭氧脈衝的序列。
在一些實施例中,循環沉積製程包含一水脈衝、一錫前驅物脈衝和一氧脈衝的序列。
在一些實施例中,循環沉積製程包含一臭氧脈衝、一錫前驅物脈衝和一水脈衝的序列。
在一些實施例中,循環沉積製程包含一氧脈衝、一錫前驅物脈衝和一水脈衝的序列。
在一些實施例中,循環沉積製程包含一臭氧脈衝、一錫前驅物脈衝及一氧脈衝的序列。
在一些實施例中,循環沉積製程包含一氧脈衝、一錫前驅物脈衝及一臭氧脈衝的序列。
進一步描述一種用於沉積控制金氧半導體場效電晶體(MOSFET)之臨限電壓用的層之方法,方法包括以下步驟:在一反應器室中提供一基材,基材包含一表面,表面包含一氧化矽表面及/或一高k介電表面;使用一循環沉積製程,在氧化矽表面及/或高k介電表面上沉積一臨限電壓移層;其中臨限電壓移位層包含鋅及氧;以及其中循環沉積製程包括:提供一鋅前驅物至反應室;及提供一氧反應物至反應室。
在一些實施例中,氧反應物選自由以下組成之列表:O 2、O 3、H 2O、H 2O 2及N 2O。
在一些實施例中,鋅前驅物係選自以下列表:貝他二酮酸鹽鋅類、烷氧化鋅類、烷基鋅類、烷基醯胺鋅類、鹵化鋅類及氫化鋅類。
在一些實施例中,循環沉積製程包含一水脈衝、一鋅前驅物脈衝和一臭氧脈衝的序列。
在一些實施例中,循環沉積製程包含一水脈衝、一鋅前驅物脈衝和一氧脈衝的序列。
在一些實施例中,循環沉積製程包含一臭氧脈衝、一鋅前驅物脈衝和一水脈衝之序列。
在一些實施例中,循環沉積製程包含一氧脈衝、一鋅前驅物脈衝和一水脈衝的序列。
在一些實施例中,循環沉積製程包含一臭氧脈衝、一鋅前驅物脈衝和一氧脈衝之序列。
在一些實施例中,循環沉積製程包含一氧脈衝、一鋅前驅物脈衝和一臭氧脈衝之序列。
在一些實施例中,循環沉積製程包括使基材與表面改質劑接觸之步驟,表面改質劑包含醇或酸酐。
在一些實施例中,表面改質劑包含選自甲醇、乙醇及異丙醇之醇。
在一些實施例中,表面改質劑包含選自甲酸酐及乙酸酐的酸酐。
在一些實施例中,臨限電壓移位層具有從至少0.03 nm到至多1.0 nm的一厚度。
在一些實施例中,臨限電壓移位層在至少80°C至最多300°C之溫度下沉積。
在一些實施例中,臨限電壓移動層在至少1.0托至最多10.0托之壓力下沉積。
在一些實施例中,循環沉積製程包含一循環化學氣相沉積製程。
在一些實施例中,循環沉積製程包含一熱製程。
本文進一步描述一種包含根據本文所述之方法形成之臨限電壓移位層的結構。
在一些實施例中,結構包含介於臨限電壓移動層與基材之間的高k介電層。
在一些實施例中,臨限電壓移位層係定位在高k介電層與基材之間。
在一些實施例中,臨限電壓移位層的厚度係從至少0.03 nm到至多1.0 nm。
進一步描述一種包含如本文所述之結構的環繞式閘極金氧半導體場效電晶體。
進一步描述一種系統,其包含一或多個反應室;包含一鎵前驅物之一前驅物氣體源;包含一氧反應物之一氧反應物氣體源;一排氣源;及一控制器,其中控制器經組態以控制氣體流入一或多個反應室中之至少一者中,以根據本文所述之方法形成用於控制MOSFET之臨限電壓的層。
依據本發明之又進一步例示性實施例,使用如本文所述之方法形成一種結構。結構可包括一基材以及上覆於基材之一表面所形成的一臨限電壓移位層。例示性結構可進一步包括一或多個額外層,諸如上覆於臨限電壓移位層的一額外金屬或導電層及/或在臨限電壓移位層下方之一或多個絕緣或介電層。結構可係或可形成一CMOS結構(諸如一PMOS及NMOS結構之一或多者)或其他裝置結構的部分。
根據本揭露的又額外實施例,使用如本文所述的方法及/或結構可形成一裝置或其一部分。裝置可包括一基材、一絕緣或介電層、上覆於絕緣或介電層的一臨限電壓移位層,以及可選地上覆於臨限電壓移位層之一額外金屬層。裝置可係或可形成例如一CMOS裝置的部分。
根據本揭露的又額外實施例,使用如本文所述的方法及/或結構可形成一裝置或其一部分。裝置可包括一基材、一界面層(諸如一氧化矽層)、上覆於界面層的一臨限電壓移位層、上覆於臨限電壓移位層之一高k介電層及可選地上覆於臨限電壓移位層之一額外金屬層。裝置可係或可形成例如一CMOS裝置的部分。
根據本揭露之又額外的實例,揭示一種用以執行如本文所述之方法及/或用以形成一結構、裝置或任一者之部分的系統。
所屬技術領域中具有通常知識者將從已參照隨附圖之某些實施例的下列詳細描述輕易明白這些及其他實施例。本發明並未受限於任何所揭示具體實施例。
下文所提供之方法、結構、裝置及系統之例示性實施例的描述僅係例示性且僅係意欲用於說明之目的;下列描述並非意欲限制本揭露或申請專利範圍之範疇。此外,列舉具有所陳述特徵之多個實施例不意欲排除具有額外特徵之其他實施例或納入所陳述特徵之不同組合的其他實施例。例如,各種實施例係提出作為例示性實施例,並可列舉於附屬項中。除非另有註明,例示性實施例或其等之組件可組合或可彼此分開應用。
如下文更詳細描述,本揭露之各種實施例提供用於形成場校電晶體用的結構(諸如閘極介電質或其部分)之方法。例如,例示性方法可用以形成CMOS裝置或此類裝置的部分。然而,除非另有註明,本發明不必然受限於此類實例。
在本揭露中,「氣體(gas)」可包括在常溫及常壓(NTP)下為氣體、汽化固體及/或汽化液體之材料,並可取決於上下文由單一氣體或諸多氣體的混合物構成。除了製程氣體以外的氣體(亦即,未通過氣體分配總成、其他氣體分配裝置或類似者而引入的氣體)可用於例如密封反應空間,且可包括諸如稀有氣體或惰性氣體的密封氣體。在一些情況下,用語「前驅物(precursor)」可指參與生成另一化合物的化學反應之化合物,且具體係指構成膜基質或膜的主要骨架之化合物;用語「反應物(reactant)」可與用語前驅物互換地使用。用語「氧反應物」可用於表示包含氧之反應物。用語「惰性氣體(inert gas)」可指在可察覺的程度上不會參與化學反應及/或不會變為膜基質之一部分的氣體。例示性的惰性氣體包括氦、氬及其等之任何組合。在一些情況下,惰性氣體可包括氮及/或氫。
如本文中所使用,用語「基材(substrate)」可指可用以形成或在其上可形成裝置、電路或膜之任何(多個)下伏材料。基材可包括塊材(諸如矽(例如單晶矽))、其他IV族材料(諸如鍺)或其他半導體材料(諸如II-VI族或III-V族半導體材料),並可包括上覆或下伏於塊材的一或多層。進一步地,基材可包括各種特徵,諸如形成在基材之一層的至少一部分之內或之上的凹部、突起部及類似者。舉實例而言,一基材可包括塊材半導體材料及上覆於塊材半導體材料之至少一部分的一絕緣或介電材料層。在一些實施例中,基材表面可同時包括若干材料。
如本文中所使用,用語「膜 (film)」及/或「層 (layer)」可指任何連續或不連續的結構及材料(諸如,藉由本文所揭示之方法沉積之材料)。例如,膜及/或層可包括二維材料、三維材料、奈米粒子,或甚至是部分或完整分子層、部分或完整原子層或原子及/或分子團簇或由孤立的原子及/或分子構成的層。膜或層可包含具有針孔的材料或層,其可係至少部分連續的。
如本文中所使用,用語「環繞式閘極電晶體(Gate all around transistor)」可意指包括閘極堆疊的裝置,閘極堆疊包含環繞半導體通道區的閘極介電質和導電材料。如本文中所使用,用語「環繞式閘極電晶體」亦可意指多種裝置架構,諸如奈米片裝置、叉形片裝置、垂直場效電晶體、堆疊型裝置架構等。
用語「循環沉積製程(cyclic deposition process/cyclical deposition process)」可指將前驅物(及/或反應物)循序引入反應室中以在基材上方沉積層,並包括處理技術,諸如原子層沉積(ALD)、循環化學氣相沉積(循環CVD)及包括ALD成分及循環CVD成分之混合循環沉積製程。
用語「原子層沉積(atomic layer deposition)」可指氣相沉積製程,其中沉積循環(一般係複數個接續的沉積循環)係在製程室中實施。當使用(多個)前驅物/(多個)反應性氣體及(多個)沖洗(例如惰性載體)氣體的交替脈衝執行時,如本文中所使用之用語原子層沉積亦意指包括由相關用語指定的製程,諸如化學氣相原子層沉積、原子層磊晶(ALE)、分子束磊晶(MBE)、氣體源MBE、有機金屬MBE及化學束磊晶。
通常,對ALD製程而言,在各循環期間,前驅物係引入反應室且經化學吸附至沉積表面(例如,可包括來自一先前ALD循環之先前經沉積材料或其他材料的基材表面),並形成不易與額外前驅物起反應(亦即,自限式反應)的材料單層或次單層。其後,在一些情況下,可隨後將反應物(例如,另一前驅物或反應氣體)引入至製程室中,以用於在沉積表面上將經化學吸附之前驅物轉化為所欲材料。反應物可能夠進一步與前驅物起反應。可在一或多個循環期間(例如在各循環之各步驟期間)利用沖洗步驟來從製程室移除任何過量前驅物,且/或從反應室移除任何過量反應物及/或反應副產物。請注意,如本文中所使用,ALD製程不一定由一系列自限性表面反應組成。
如本文中所使用,「鎵前驅物」包括氣體或材料,其可變為氣態且其可由包括鎵的化學式表示。
如本文中所使用,「銦前驅物」包括氣體或材料,其可變為氣態且其可由包括銦的化學式表示。
如本文中所使用,「錫前驅物」包括氣體或材料,其可變為氣態且其可由包括錫的化學式表示。
如本文中所使用,「鋅前驅物」包括氣體或材料,其可變為氣態且其可由包括鋅的化學式表示。
如本文中所使用,用語「反應物」包括氣體或材料,其可變成氣態且可連同本文中所提及之前驅物之一或多者一起用來在基材上形成層。本文中具體地利用含氧反應物,亦稱為氧反應物。用語「氧反應物」可指氣體或材料,其可變為氣態且其可由包括氧的化學式表示。在一些情況下,化學式包括氧及氫。在一些情況下,氧反應物不包括雙原子氧。例示性氧反應物包括H 2O 2、H 2O、O 2、O 3及N 2O。
如本文所使用之用語「臨限電壓」係指在場效電晶體之源極與汲極端子之間建立導電路徑所需的最小閘極電壓。
用語「臨限電壓移位層」係指可用於場效電晶體之閘極堆疊中的層,且其可改變場效電晶體之臨限電壓。在本文中使用時,用語「臨限電壓移位層」可等同於諸如臨限電壓調整層、功函數調整層、功函數移位層、可調諧臨限電壓層、平帶電壓調整層、平帶電壓移位層或簡稱「層」等類似術語。
此外,在本揭露中,一變數的任何兩數值可構成變數的一可行範圍,且所指示的任何範圍可包含或排除端點。額外地,所指示的變數之任何數值(不管數值是否以「約」來指示)可指精確值或近似值並包括等效值,且可指平均值、中間值、代表值、多數值或類似者。進一步地,在本發明中,於一些實施例中,用語「包括(including)」、「由……構成(constituted by)」及「具有(having)」係獨立地指「一般或廣泛地包含(typically or broadly comprising)」、「包含(comprising)」、「基本上由……組成(consisting essentially of)」或「由……組成(consisting of)」。在本發明中,於一些實施例中,任何已定義之意義不必然排除尋常及慣例意義。
本文所描述之方法及裝置適用於控制場效電晶體之臨限電壓。特定言之,本發明之方法及裝置尤其適用於控制n通道或p通道場效電晶體之臨限電壓,諸如n通道或p通道金氧半導體場效電晶體,諸如n通道或p通道環繞式閘極金氧半導體場效電晶體。特定言之,本發明之方法及裝置尤其適用於針對p通道金氧半導體場效電晶體(p-MOSFETs)誘導正平帶電壓移位。此外,本發明方法及裝置尤其適用於針對n通道金氧半導體場效電晶體(n-MOSFETS)誘導負平帶電壓移位。因此,本發明方法及裝置尤其適用於增加閘極電壓,在此電壓下,在p-MOSFET之源極與汲極之間製造導電通道。此外,本發明方法及裝置尤其適用於降低閘極電壓,在此電壓下,在n-MOSFET之源極與汲極之間製造導電通道。n-MOSFET及/或p-MOSFET可例如包含在基於CMOS之積體電路中。換言之,本發明之方法及裝置尤其適用於增加電壓(在電壓下,p-MOSFET從關閉狀態切換至接通狀態),且用於降低電壓(在電壓下,n-MOSFET從關閉狀態轉切換至接通狀態)。本發明方法及裝置尤其適用於製造具有環繞式閘極架構或類似架構的n-或p-MOSFETS。另外或替代地,本發明之方法及裝置在片上系統的背景脈絡中特別有用。有利地,當前所揭示的方法允許沉積臨限移位層,其僅最小程度地貢獻至閘極介電堆疊之等效氧化物厚度,同時提供低生長速率及提供顯著的正臨限電壓移位。有利地,當前所揭示之方法允許沉積具有低雜質含量之臨限移位層。
因此,本文描述一種沉積一層之方法。方法包含在一反應器室中提供基材。基材包含一表面,其則包括氧化矽表面及/或高k介電表面。臨限電壓移位層係接著沉積於氧化矽表面及/或高k介電表面上。有利地,臨限電壓移位層可藉由循環沉積製程沉積。
在一些實施例中,循環沉積製程包含複數個前驅物脈衝及複數個氧反應物脈衝。應理解,可交替提供前驅物脈衝及氧反應物脈衝。在前驅物脈衝期間,將鎵前驅物、銦前驅物、鋅前驅物及錫前驅物之一或多者提供至反應室。在氧反應物脈衝期間,提供氧反應物至反應室。
在一些實施例中,臨限電壓移位層包含鎵及氧。換言之,在這些實施例中,臨限電壓移位層包含氧化鎵。並且,在這些實施例中,循環沉積製程包括提供鎵前驅物至反應室及提供氧反應物至反應室。
在一特定實施例中,藉由包括一或多個循環之循環沉積製程來沉積氧化鎵,循環包含一氧反應物脈衝、一氧反應物沖洗、一鎵前驅物脈衝及一前驅物沖洗。一合適的氧反應物包括臭氧。合適的鎵前驅物包括三(二甲基醯胺基)鎵(III) (TDMAGa)。循環沉積製程可在至少150°C至最多250°C之溫度(例如在約200°C之溫度)下發生。循環沉積製程在例如至少1.0托基壓至最多8.0托基壓(例如在約4.0托基壓)下發生。合適地,可透過連接至反應室之一或多個前驅物管維持連續的惰性氣體流,例如N2流,諸如,例如325 sccm之N2流。因此,惰性氣體可合適地充當吹掃氣體。前驅物管可指將一或多種前驅物或反應物自前驅物或反應物源輸送至反應室的管線。氧反應物脈衝可例如持續從至少0.1 s到至多10.0 s或約2.0 s。氧反應物沖洗可例如持續從至少1.0 s至最多6.0 s,例如約3.0 s。鎵前驅物脈衝可例如持續從至少0.5 s至最多5.0 s,例如約1.5 s。前驅物沖洗可例如持續從至少1.0 s至最多10.0 s,例如約5.0 s。循環沉積製程較佳地包含氧反應物脈衝作為其第一步驟,其可具有不同於後續氧反應物脈衝之持續時間。在例示性實施例中,此類第一氧反應物脈衝持續從至少0.1 s至最多10.0 s,或約3.0 s。在第一氧反應物脈衝之後的第一氧反應物沖洗可例如持續至少1.0 s至最多6.0 s。
氧反應物可包含含氧化合物、氧自由基或元素氧。例示性氧反應物包括O 2、H 2O、O 3、H 2O 2及N 2O。在一些實施例中,方法使用涉及複數個交替前驅物脈衝及氧反應物脈衝的循環沉積序列,其中氧反應物可能因不同脈衝而異。例如,在一些脈衝中,H 2O可用作氧反應物,在其他脈衝中,O 2可用作氧反應物,且在又其他脈衝中,O 3可用作氧反應物。在一些實施例中,氧反應物係選自由氧、臭氧及水組成之列表。在一些實施例中,所得生長速率每循環小於1.0 nm,或每循環小於0.5 nm,或每循環小於0.4 nm,或每循環小於0.3 nm,或每循環小於0.2 nm,或每循環小於0.1 nm。
合適的鎵前驅物可選自貝他二酮酸鹽鎵類(gallium beta diketonates)(諸如三乙醯丙酮鎵及三(2,2,6,6-四甲基-3,5-庚二烯二酸)鎵(III))、烷氧化鎵類、烷基鎵類(諸如三甲基鎵或三乙基鎵)、烷基醯胺鎵類(諸如三(二甲基醯胺)鎵,亦即TDMAGa)、鹵化鎵類及氫化鎵類(gallanes)。例如,鎵前驅物可包含三(二甲基醯胺)鎵、鎵(III)乙醯丙酮(Ga(acac)3)、烷氧化鎵類(諸如異丙醇二甲基鎵)、鹵化鎵(諸如氯化鎵、三溴化鎵及三碘化鎵)及/或烷基鎵類(諸如三甲基鎵(TMGa))。在一些實施例中,鎵之羧酸鹽類可用作前驅物,例如,三乙酸鎵或三丙酸鎵。
在一些實施例中,鎵前驅物包含三(二甲基醯胺基)鎵-二聚體且氧反應物包含O 2、H 2O、O 3及H 2O 2及/或N 2O。在一些實施例中,前驅物包含三(二甲基醯胺)鎵且氧反應物包含臭氧。
在一些實施例中,循環沉積製程包括具有下列順序的脈衝序列之一至六個、一至五個或一至四個循環:水脈衝、鎵前驅物脈衝、臭氧脈衝、鎵前驅物脈衝。鎵前驅物可包含本文中所提及之任何前驅物,諸如三(二甲基醯胺)鎵。
在一些實施例中,使用諸如三乙酸鎵的羧酸鎵作為鎵前驅物,且共反應物係選自由H 2O、NH 3、O 2、O 3及H 2O 2組成之列表。
在一些實施例中,循環沉積製程以任何次序包含下列脈衝序列之一或多個循環:水脈衝、鎵前驅物脈衝、臭氧脈衝、鎵前驅物脈衝。
在一些實施例中,形成臨限電壓移位層之方法包含以下脈衝序列中之一或多者:鎵前驅物脈衝、第一氧反應物脈衝、鎵前驅物脈衝、第二氧反應物脈衝。因此,將氧化鎵臨限電壓移位層形成於基材上。適宜地,臨限電壓移位層所沉積之基材包含界面層,例如,氧化矽,且將氧化鎵層沉積於界面層上。適宜地,氧化鎵臨限電壓移位層可直接沉積於界面層上。高k介電層可隨後沉積於氧化鎵臨限電壓移位層上。適宜地,形成臨限電壓移位層之方法由以下脈衝序列的1至16個循環、1至8個循環、1至4個循環或1至2個循環組成:鎵前驅物脈衝、第一氧反應物脈衝、鎵前驅物脈衝、第二氧反應物脈衝。用於鎵前驅物脈衝的鎵前驅物可包含烷基醯胺鎵,諸如三(二甲基醯胺基)鎵(III)。請注意,可以二聚體形式提供三(二甲基醯胺基)鎵(III)。第一氧反應物脈衝及/或第二氧反應物脈衝可使用含氧的氧化劑,諸如水、臭氧或氧。適當地,第一氧反應物脈衝可使用水。適當地,第二氧反應物脈衝可使用臭氧。
臨限電壓移位層可進一步包括銦。因此,且在一些實施例中,臨限電壓移位層進一步包括銦。在此類實施例中,循環沉積製程進一步包括提供銦前驅物至反應室的步驟。因此,可沉積基於氧化銦鎵的臨限電壓移位層。
在一些實施例中,循環沉積製程包括複數個脈衝。脈衝包含一或多個鎵前驅物脈衝、一或多個銦前驅物脈衝及一或多個氧反應物脈衝。在一或多個鎵前驅物脈衝中將鎵前驅物提供至反應室,在銦前驅物脈衝中將銦前驅物提供至反應室,且在氧反應物脈衝中提供氧反應物至反應室。前述脈衝可以下列任一序列提供:鎵前驅物脈衝、銦前驅物脈衝、氧反應物脈衝;或銦前驅物脈衝、鎵前驅物脈衝、氧反應物脈衝;或者,銦前驅物脈衝、氧反應物脈衝;鎵前驅物脈衝、氧反應物脈衝;或者,鎵前驅物脈衝、氧反應物脈衝、銦前驅物脈衝、氧反應物脈衝。換言之,前述脈衝可以給出順序中之任一者提供至反應室。可藉由以任何順序將鎵前驅物及銦前驅物依序提供至反應室,及隨後提供氧反應物脈衝至反應室來獲得特別有利的臨限移位層。此序列可進行一次,或可重複例如2、3、4、5、6或更多次以形成臨限移位層。在本發明不受限於理論或任何特定操作模式下,咸信改善的性質係由更均一的表面覆蓋所致,其藉由交錯不同大小之鎵與銦前驅物而成,例如,藉由交錯較大的鎵前驅物及較小的銦前驅物。
在一些實施例中,銦前驅物係選自烷基銦類(諸如三甲基銦及三乙基銦)、鹵化銦類(諸如氯化銦、二氯化銦及三氯化銦)、貝他二酮酸鹽銦類(諸如三乙醯丙酮銦)、烷氧化銦類及烷基醯胺銦類。例示性的銦前驅物包括三甲基銦(TMIn)、TDMAIn、三乙基銦(TEIn)、環戊二烯基銦(Cp-In)、InCl3、3-(二甲胺)丙基]二甲基-銦、六氟乙醯丙酮銦(In(hfac)3)、乙醯丙酮銦 (In(acac)3)。
在一些實施例中,銦前驅物包含三甲基銦且鎵前驅物包含三(二甲基醯胺)鎵。
在一些實施例中,銦前驅物包含諸如三甲基銦之烷基銦且鎵前驅物包含諸如三乙酸鎵之鎵羧酸鹽。在此等實施例中,循環沉積製程可適當地依以下順序包含鎵前驅物脈衝、氧反應物脈衝、銦前驅物脈衝及氧反應物脈衝。另外或替代地,此類循環沉積製程可依以下順序包含氧反應物脈衝、鎵前驅物脈衝、氧反應物脈衝及銦前驅物脈衝。另外或替代地,此類循環沉積製程可包含在一銦前驅物脈衝之後緊接著進行一鎵前驅物脈衝,或直接在一鎵前驅物脈衝之後緊接著進行一銦前驅物脈衝,隨後再進行一氧反應物脈衝。
在一特定實施例中,InGaOx係藉由包含一或多個循環之循環沉積製程所沉積,循環包含一氧反應物脈衝、一氧反應物沖洗、一鎵前驅物脈衝、一銦前驅物脈衝及一前驅物沖洗。一合適的氧反應物包括臭氧。一合適的鎵前驅物包括三(二甲基醯胺基)鎵(III)。一合適的銦前驅物包括三甲基銦。循環沉積製程可在至少200°C至最多250°C (例如在約225°C之環境下)進行。循環沉積製程可在例如至少1.0托基壓至最多8.0托基壓(例如在約4.0托基壓下)發生。合適地,可透過連接至反應室之一或多個前驅物管維持連續的惰性氣體流,例如N 2流,諸如,例如325 sccm之N 2流。因此,惰性氣體可合適地充當吹掃氣體。前驅物管可指將一或多種前驅物或反應物自前驅物或反應物源輸送至反應室的管線。氧反應物脈衝可例如持續從至少0.1 s到至多10.0 s,或約2.0 s。氧反應物沖洗可例如自至少1.0 s至最多6.0 s,例如約3.0 s。鎵前驅物脈衝可例如持續從至少0.5 s至最多5.0 s,例如,約1.5 s。例如,前驅物脈衝可例如從至少0.5 s到至多5.0 s,例如約2.0 s。前驅物沖洗可例如自至少1.0 s至最多10.0 s,例如約3.0 s。較佳地,鎵前驅物脈衝及銦前驅物脈衝直接跟隨彼此,而無中介沖洗或氧反應物脈衝。這可改善沉積層之品質。此外,循環沉積製程較佳地包括氧反應物脈衝作為其第一步驟,此脈衝可具有不同於後續氧反應物脈衝之持續時間。在例示性實施例中,此類第一氧反應物脈衝持續從至少0.1 s至最多10.0 s,或約3.0 s。在第一氧反應物脈衝之後的第一氧反應物沖洗可例如持續至少1.0 s至最多6.0 s。
在一些實施例中,臨限電壓移位層進一步包含鋅。在這些實施例中,循環沉積製程進一步包括提供鋅前驅物至反應室的步驟。因此,可形成含有GaZnOx層或InGaZnOx的臨限電壓移位層。在一些實施例中,鋅前驅物選自烷基鋅類、鹵化鋅類、貝他二酮酸鹽鋅類、烷氧化鋅類及烷基醯胺鋅類。
在一些實施例中,臨限電壓移位層包含銦、鋅及氧。換言之,在這些實施例中,臨限電壓移位層包含氧化銦鋅。此外,在這些實施例中,循環沉積製程包括提供銦前驅物至反應室,提供鋅前驅物至反應室,及提供氧反應物至反應室。有利地,此類層可在包含前驅物脈衝及反應物脈衝之交替序列的循環沉積製程中沉積。前驅物脈衝則包含將鋅前驅物及銦前驅物提供至反應室。反應脈衝則包含將反應物提供至反應室。可選地,前驅物脈衝及反應物脈衝係藉由沖洗步驟來分開。
在一些實施例中,包括銦、鋅及氧之臨限電壓移位層係使用包含如下順序之循環沉積製程來沉積:一銦前驅物脈衝、一氧前驅物脈衝、一鋅前驅物脈衝及一氧前驅物脈衝。
在一些實施例中,包含銦、鋅及氧之臨限電壓移位層係使用包含如下順序之循環沉積製程來沉積:一鋅前驅物脈衝、一氧前驅物脈衝、一銦前驅物脈衝及一氧前驅物脈衝。
在一些實施例中,臨限電壓移位層進一步包含錫。因此,可沉積氧化銦鎵鋅、氧化銦鎵錫或氧化鎵錫臨限電壓移層。在這些實施例中,循環沉積製程進一步包含提供錫前驅物至反應室的步驟。在一些實施例中,錫前驅物選自錫烷基類、鹵化錫類、貝他二酮酸鹽錫類、烷氧化錫類及烷基醯胺錫類。例示性的錫前驅物包括諸如四基(二甲基醯胺基)錫(TDMASn)的烷基醯胺錫類,及諸如N,N-三級-丁基-1,1-二甲基乙二胺亞錫(II)之錫烷基胺類。
在一些實施例中,本發明方法用於生長氧化銦鎵鋅臨限電壓移位層,且銦前驅物包含三甲基銦,鋅前驅物包含二乙基鋅,且鎵前驅物包含三(二甲基醯胺)鎵。
在一些實施例中,循環沉積製程包含依給定順序的一水脈衝、一鎵前驅物脈衝及一臭氧脈衝的序列。在一些實施例中,循環沉積製程在水脈衝與臭氧脈衝之間進一步包含一錫前驅物脈衝、一鋅前驅物脈衝及/或一銦前驅物脈衝。
在一些實施例中,循環沉積製程包含依給定順序的一水脈衝、一鎵前驅物脈衝及一氧脈衝的序列。在一些實施例中,循環沉積製程在水脈衝與氧脈衝之間進一步包含一錫前驅物脈衝、一鋅前驅物脈衝及/或一銦前驅物脈衝。
在一些實施例中,循環沉積製程包含依給定順序的一臭氧脈衝、一鎵前驅物脈衝及一水脈衝的序列。在一些實施例中,循環沉積製程在臭氧脈衝與水氧脈衝之間進一步包含一錫前驅物脈衝、一鋅前驅物脈衝及/或一銦前驅物脈衝。
在一些實施例中,循環沉積製程包含依給定順序之一氧脈衝、一鎵前驅物脈衝及一水脈衝的序列。在一些實施例中,循環沉積製程在氧脈衝與水脈衝之間進一步包含一錫前驅物脈衝、一鋅前驅物脈衝及/或一銦前驅物脈衝。
在一些實施例中,循環沉積製程包含依給定順序之一臭氧脈衝、一鎵前驅物脈衝及一氧脈衝的序列。在一些實施例中,循環沉積製程在臭氧脈衝與氧脈衝之間進一步包含一錫前驅物脈衝、一鋅前驅物脈衝及/或一銦前驅物脈衝。
在一些實施例中,循環沉積製程包含依給定順序之一氧脈衝、一鎵前驅物脈衝及一臭氧脈衝的序列。在一些實施例中,循環沉積製程在氧脈衝與臭氧脈衝之間進一步包含一錫前驅物脈衝、一鋅前驅物脈衝及/或一銦前驅物脈衝。
可用作臨限電壓移位層的另一材料係氧化錫。因此,進一步描述用於沉積控制金氧半導體場效電晶體(MOSFET)之臨限電壓用之層的另一種方法。此方法包含在反應器室中提供基材之步驟。基材包含一表面。表面包括氧化矽表面及/或高k介電表面。使用循環沉積製程,將臨限電壓移位層沉積於氧化矽表面及/或高k介電表面上。臨限電壓移位層包含錫及氧。循環沉積製程包含提供錫前驅物至反應室,以及提供氮反應物至反應室。
在一些實施例中,氧反應物選自由以下組成之列表:O 2、O 3及H 2O。
在一些實施例中,錫前驅物係選自以下列表:貝他二酮酸鹽錫類、烷氧化錫類、烷基錫類、烷基醯胺錫類、鹵化錫類、有機錫烷類及錫烷類。
在一些實施例中,循環沉積製程包含一水脈衝、一錫前驅物脈衝及一臭氧衝之序列。在一些實施例中,循環沉積製程包含一水脈衝、一錫前驅物衝和一氧脈衝的序列。在一些實施例中,循環沉積製程包含一臭氧脈衝、一錫前驅物脈衝及一水脈衝的序列。在一些實施例中,循環沉積製程包含一氧脈衝、一錫前驅物衝及一水脈衝的序列。在一些實施例中,循環沉積製程包含一臭氧脈衝、一錫前驅物脈衝及一氧脈衝的序列。在一些實施例中,循環沉積製程包含一氧脈衝、一錫前驅物衝及一臭氧脈衝的序列。
在一些實施例中,臨限電壓移位層包含銦、錫及氧。換言之,在這些實施例中,臨限電壓移位層包含氧化銦錫。此外,在這些實施例中,循環沉積製程包括提供銦前驅物至反應室,提供錫前驅物至反應室,及提供氧反應物至反應室。有利地,此類層可在包含前驅物脈衝及試劑脈衝之交替序列的循環沉積製程中沉積。前驅物脈衝則包含將錫前驅物及銦前驅物提供至反應室。試劑脈衝則包含將試劑提供至反應室。可選地,前驅物脈衝及試劑脈衝係藉由沖洗步驟來分開。
可適當地使用為臨限電壓轉換層的另一材料係氧化鋅。因此,進一步描述用於沉積控制金氧半導體場效電晶體(MOSFET)之臨限電壓用的層之另一種方法。方法包含在反應器室中提供基材。基材包含一表面。表面則包含氧化矽表面及/或高k介電表面。使用循環沉積製程,將臨限電壓移位層沉積於氧化矽表面及/或高k介電表面上。臨限電壓轉換層包括鋅及氧。循環沉積製程可包含提供鋅前驅物至反應室以及提供氮反應物至反應室。
在一些實施例中,氧反應物選自由以下組成之列表:O 2、O 3及H 2O。
在一些實施例中,鋅前驅物係選自以下列表:貝他二酮酸鹽鋅類、烷氧化鋅類、烷基鋅類、烷基醯胺鋅類、鹵化鋅類及氫化鋅類。
在一些實施例中,循環沉積製程包含一水脈衝、一鋅前驅物脈衝及一臭氧脈衝之序列。在一些實施例中,循環沉積製程包含一水脈衝、一鋅前驅物脈衝及一氧脈衝之序列。在一些實施例中,循環沉積製程包含一臭氧脈衝、一鋅前驅物脈衝及一水脈衝的序列。在一些實施例中,循環沉積製程包含一氧脈衝、一鋅前驅物脈衝及一水脈衝之序列。在一些實施例中,循環沉積製程包括一臭氧脈衝、一鋅前驅物脈衝及一氧衝的序列。在一些實施例中,循環沉積製程包含一氧脈衝、一鋅前驅物脈衝及一臭氧脈衝之序列。
在一些實施例中,臨限電壓移位層包含錫、鋅及氧。換言之,在這些實施例中,臨限電壓移位層包含氧化錫鋅。此外,在這些實施例中,循環沉積製程包括提供鋅前驅物至反應室、提供錫前驅物至反應室及提供氧反應物至反應室。有利地,此類層可在包含前驅物脈衝及試劑脈衝之交替序列的循環沉積製程中沉積。在此等實施例中,前驅物脈衝包含將錫前驅物及鋅前驅物提供至反應室。在此類實施例中,試劑脈衝包含將試劑提供至反應室。可選地,前驅物脈衝及試劑脈衝係藉由沖洗步驟來分開。
在一些實施例中,臨限電壓移位層包含銦、錫、鋅及氧。換言之,在這些實施例中,臨限電壓移位層包含氧化銦錫鋅。此外,在這些實施例中,循環沉積製程包括提供銦前驅物、提供鋅前驅物至反應室、提供錫前驅物至反應室及提供氧反應物至反應室。有利地,此類層可在包含前驅物脈衝及試劑脈衝之交替序列的循環沉積製程中沉積。前驅物脈衝則包含將銦前驅物、錫前驅物及鋅前驅物提供至反應室。試劑脈衝則包含提供試劑至反應室。可選地,前驅物脈衝及試劑脈衝係藉由沖洗步驟來分開。
在一些實施例中,提供前驅物至反應室之一或多個步驟係在表面改質步驟之前,在表面改質步驟中使基材與表面改質劑接觸。表面改質可活化或去活化基材上的表面態,從而影響在提供前驅物的後續步驟中化學吸附在基材上之前驅物的量。表面改質步驟可在任何合適之時間進行,亦即在任何前驅物脈衝之前。例如,表面改質步驟可在至少一個鎵前驅物脈衝之前進行。例如,表面改質步驟可在至少一個銦前驅物脈衝之前進行。例如,表面改質步驟可在至少一個鋅前驅物脈衝之前進行。例如,表面改質步驟可在至少一個錫前驅物脈衝之前進行。此可有利地減小在任何給定脈衝中沉積於基材上之材料的量。因此,可減小本層之生長速率,其可導致在生長方向中改善的厚度控制及/或降低組成變異。此外,在一些脈衝之前但不在其他脈衝之前執行表面改質步驟,可有利地用於組成物控制。
因此,在一些實施例中,如本文所述之製程使用表面改質步驟,其中在基材與氣相銦前驅物接觸之前、在基材與鎵前驅物接觸之前、在基材與鋅前驅物接觸之前及/或在基材與錫前驅物接觸之前,將基材係與表面改質劑接觸。因此,基材上的活性表面態係經去活化以形成去活化表面態。應瞭解,活性表面態與銦前驅物、鎵前驅物、鋅前驅物及/或一或錫前驅物輕易地起反應。反之,應瞭解,去活化表面態實質上不與或至少較少程度地與銦前驅物、鎵前驅物、鋅前驅物及/或錫前驅物起反應。
在一些實施例中,表面改質步驟係在使基材與每一個前驅物接觸之前實行。換言之,在一些實施例中,在使基材與銦前驅物接觸之前,使基材與表面改質劑接觸,及在使基材與鎵前驅物接觸之前,使基材與表面改質劑接觸,在使基材與鋅前驅物接觸之前,使基材與表面改質劑接觸,及在使基材與錫前驅物接觸之前,使基材與表面改質劑接觸。
在一些實施例中,表面改質劑可在基材表面上與OH基起反應。例示性的表面改質劑包括醇及酸酐。合適的醇包括甲醇、乙醇及/或異丙醇。合適的酸酐包括甲酸酐及乙酸酐。
在一例示性實施例中,如本文所述之方法包含藉由循環地執行下列第一子循環來形成一層,第一子循環包含:使具有以反應性表面終端封端之表面(例如具有OH封端表面)的基材暴露至表面改質劑,表面改質劑去活化反應性表面終端的一部分。可選地,隨後沖洗含有基材的反應室。接著,使表面暴露至第一前驅物(例如鋅前驅物、鎵前驅物、鋅前驅物或銦前驅物)。第一前驅物實質上僅與活性(亦即非去活化)反應性表面終端起反應。可選地,接著沖洗含有基材的反應室。之後,使基材暴露至氧反應物(諸如H 2O或O 3),其導致反應性表面終端的再生。可選地,隨後沖洗含有基材的反應室。因此,形成包含第一元素之氧化物(例如鋅氧化物、鎵氧化物、鋅氧化物或銦氧化物)的一層,且其生長率可經由表面改質劑以合適方式控制(亦即減少)。第一子循環可重複任何次數以到達期望的層厚度。
在另一例示性實施例中,超循環進一步包含第二子循環,其包含使基材再一次暴露至表面改質劑,表面改質劑再次去活化反應性表面終端的一部分。接著,使表面暴露至第二前驅物(例如鋅前驅物、鎵前驅物、錫前驅物或銦前驅物),其實質上僅與活性反應性表面終端起反應。可選地,接著沖洗含有基材的反應室。之後,使基材暴露至共反應物(諸如H 2O或O 3),其導致反應性表面終端的再生。可選地,隨後沖洗含有基材的反應室。因而形成包含第一氧化物及第二氧化物之混合物的一層,且其生長率可經由表面改質劑以合適方式控制(亦即減少)。當從第一或第二子循環中之一者省略表面改質劑的使用時,可有效地控制層的組成。可重覆第一子循環及第二子循環任何次數以到達期望的層厚度。當從第一或第二子循環省略表面改質劑的使用時,可有效地控制層的組成。
在另一例示性實施例中,循環沉積製程進一步包含第三子循環,其包含使基材暴露至表面改質劑,表面改質劑接著去活化反應性表面終端的一部分。接著,使表面暴露至第三前驅物(例如鋅前驅物、鎵前驅物、錫前驅物或銦前驅物),其僅與活性反應性表面終端起反應。可選地,接著沖洗含有基材的反應室。之後,使基材暴露至共反應物(諸如H 2O或O 3),其導致反應性表面終端的再生。可選地,隨後沖洗含有基材的反應室。因而形成包含第一氧化物、第二氧化物及第三氧化物之混合物的一層,且其生長率可經由表面改質劑以合適方式控制(亦即減少)。當從選自第一、第二及第三子循環之一或兩個子循環省略表面改質劑的使用時,可有效地控制層的組成。
在另一例示性實施例中,循環沉積製程進一步包含第四子循環,其包含使基材暴露至表面改質劑,表面改質劑接著去活化反應性表面終端的一部分。接著,使表面暴露至第四前驅物(例如鋅前驅物、鎵前驅物、錫前驅物或銦前驅物),其實質上僅與活性反應性表面終端起反應。可選地,接著沖洗含有基材的反應室。之後,使基材暴露至共反應物(諸如H 2O或O 3),其導致反應性表面終端的再生。可選地,隨後沖洗含有基材的反應室。因而形成包含第一氧化物、第二氧化物、第三氧化物及第四氧化物之混合物的一層,且其生長率可經由表面改質劑以合適方式控制(亦即減少)。當從選自第一、第二、第三及第四子循環之一個、兩個或三個子循環省略表面改質劑的使用時,可有效地控制層的組成。
在一些實施例中,在基材已暴露至表面改質劑之後,使基材同時暴露至二或更多個前驅物。
在一些實施例中,在下一個子循環發生之前,重複第一子循環、第二子循環、第三子循環及/或第四子循環一或多次。在一些實施例中,臨限電壓移位層具有從至少0.03 nm至最多1.0 nm之厚度、或至少0.05 nm至最多0.5 nm之厚度、或至少0.1 nm至最多0.2 nm之厚度、或至少0.5 nm至最多1.0 nm之厚度、或至少0.2 nm至最多0.5 nm之厚度、或至少0.1 nm至最多0.2 nm之厚度、或至少0.05 nm至最多0.1 nm之厚度、或至少0.03 nm至最多0.05 nm之厚度。在一些實施例中,臨限電壓移位層具有小於3.0 nm之厚度。在一些實施例中,臨限電壓移位層具有小於2.0 nm之厚度。在一些實施例中,臨限電壓移位層具有小於1.0 nm之厚度。在一些實施例中,臨限電壓移位層具有小於0.5 nm之厚度。在一些實施例中,臨限電壓移位層具有小於0.4 nm之厚度。在一些實施例中,臨限電壓移位層具有小於0.3 nm之厚度。在一些實施例中,臨限電壓移位層具有小於0.2 nm之厚度。在一些實施例中,臨限電壓移位層具有小於0.1 nm之厚度。在一些實施例中,臨限電壓移位層具有小於0.05 nm之厚度。
在一些實施例中,臨限電壓移位層係在至少30°C至最多450°C、或至少50°C至最多400°C、或至少80°C至最多300°C、或至少80°C至最多150°C、或至少150°C至最多200°C、或至少200°C至最多250°C或至少250°C至最多300°C的溫度下沉積。
在一些實施例中,臨限電壓移位層在至少0.1托(Torr)至最多20.0托之壓力下、或至少1.0托至最多10.0托、或至少2.0托至最多6.0托之壓力下或至少3.0托至最多5.0托之壓力下沉積。
在一些實施例中,MOSFET包含環繞式閘極結構。
在一些實施例中,環繞式閘極閘極包括覆蓋有氧化矽層之半導體材料。臨限電壓移位層係沉積在氧化矽層上。臨限電壓移位層可適當地被高k介電層覆蓋。
在一些實施例中,環繞式閘極結構包括覆蓋有氧化矽層之半導體材料。氧化矽層被高k介電層覆蓋。臨限電壓移位層係沉積在高k介電層上。
在一些實施例中,循環沉積製程包含循環化學氣相沉積製程。
在一些實施例中,循環沉積製程包含熱製程。
在一些實施例中,循環沉積製程使用電漿增強沉積技術。例如,循環沉積製程可包括電漿增強原子層沉積製程及/或電漿增強化學氣相沉積製程。
進一步描述一種結構,其包含根據本揭露的方法所形成的臨限電壓移位層。
在一些實施例中,結構包含介於臨限電壓移位層與基材之間的高k介電層。在一些實施例中,結構包含按以下順序之以下層序列:氧化矽/臨限移位層/氧化鉿/氮化鈦。作為氧化鉿的替代物,亦可使用另一高k介電質,諸如氧化鋁或氧化鈮。在此組態中,臨限移位層可具有例如從0.03 nm至1.0 nm之厚度,例如0.05 nm至0.5 nm之厚度,例如約0.1 nm至0.2 nm之厚度。此類組態尤其適用於在p-MOSFETS中誘導正臨限電壓移位。此外,此類組態尤其適用於在n-MOSFETS中誘導負臨限電壓移位。
在一些實施例中,結構包含介於高k介電層與基材之間的臨限電壓移位層。在一些實施例中,結構包含按以下順序的以下層序列:氧化矽、氧化鉿、臨限移位層、氮化鈦。氧化矽層可為形成於矽基材上之界面氧化矽層,例如作為化學氧化物。作為氧化鉿的替代物,亦可使用另一高k介電質,諸如氧化鋁或氧化鈮。在此組態中,臨限移位層可具有例如0.03 nm至1.0 nm之厚度,例如0.05 nm至0.5 nm之厚度,例如0.1 nm至0.2 nm之厚度。此類組態尤其適用於在p-MOSFETS中誘導正臨限電壓移位。此外,此類組態尤其適用於在n-MOSFETS中誘導負臨限電壓移位。
在一些實施例中,氧化鎵層具有小於0.3 nm的厚度。
進一步描述一種p通道環繞式閘極金氧半導體場效電晶體,其包含根據本揭露之結構。
進一步描述一種n通道環繞式閘極金氧半導體場效電晶體,其包含根據本揭露之結構。
進一步描述一種系統,其包含一或多個反應室;包含鎵前驅物之前驅物氣體源;包含氧反應物之氧反應物氣體源;排氣源;及控制器。控制器經組態以控制氣體流入一或多個反應室中之至少一者中,以根據本文所述之方法形成用於控制MOSFET(諸如n通道MOSFET或p通道MOSFET)之臨限電壓的一層。n通道MOSFET或p通道MOSFET可例如包含在基於CMOS之積體電路中。
茲參照圖式,圖1繪示根據本揭露之例示性實施例之方法100。例如,方法100可用以例如形成適於PMOS、NMOS及/或CMOS裝置的閘極電極結構(諸如,用於在CMOS裝置中作為臨限電壓移位層)。這些層尤其適用於在n通道或p通道MOSFET中作為臨限電壓控制層。然而,除非另有註明,方法不受限於此類應用。
方法100包括下列步驟:在反應器之反應室內提供基材(步驟102);及使用循環沉積製程將包含氧化鎵、氧化錫、氧化銦鎵、氧化鋅之一或多者的層沉積至基材表面上(步驟104)。
在步驟102期間,於反應室內提供一基材。步驟102期間所用的反應室可係或可包括化學氣相沉積反應器系統的反應室,其經組態以執行循環沉積製程。另外或替代地,步驟102期間所用的反應室可係或可包括原子層沉積反應器系統的反應室,其經組態以執行循環沉積製程。反應室可以是一獨立反應室或一叢聚式設備的部分。
步驟102可包含在反應室內將基材加熱至一所需沉積溫度。在本揭露之一些實施例中,步驟102包括將基材加熱至至少50°C至最多400°C,或至少80°C至最多300°C,或至少80°C至最多150°C,或至少150°C至最多200°C,或至少200°C至最多250°C,或至少250°C至最多300°C的溫度。
除了控制基材溫度以外,亦可調節反應室內的壓力。例如,在本揭露之一些實施例中,步驟102期間反應室中之壓力可為至少1.0托至最多10.0托,或至少2.0托至最多6.0托,或至少3.0托至最多5.0托。
在步驟104期間,使用循環沉積製程將包含氧化鎵、氧化錫、氧化銦鎵及/或氧化鋅之一層沉積至基材之表面上。循環沉積製程可包括循環CVD、ALD或混合式循環CVD/ALD製程。舉例而言,在一些實施例中,特定ALD製程之生長率與CVD製程相較可係低的。一種增加生長率的方法可係以高於ALD製程中典型採用的沉積溫度進行操作,導致化學氣相沉積製程的某些部分,但仍利用前驅物及反應物的循序引入。此一製程可稱為循環CVD。在一些實施例中,循環CVD製程可包含將二或更多個前驅物及反應物引入反應室中,其中在反應室中之二或多個前驅物及反應物之間可存在重疊的時間段,導致同時有沉積的ALD成分及沉積的CVD成分兩者。此係稱為混合式製程。根據進一步的實例,循環沉積製程可包含一個反應物/前驅物的連續流及前驅物或反應物至反應室中之週期性脈衝。然而,較佳地,當前方法係在ALD模式中,亦即,在前驅物脈衝及氧反應物藉由沖洗彼此分離的模式中進行。這樣做可使氣相反應最小化。請注意,當所討論之前驅物不易在氣相中彼此反應時,不同的前驅物脈衝不必藉由沖洗來彼此分開。
根據本揭露之一些實例,循環沉積製程係熱沉積製程。在此等情況下,循環沉積製程不包括使用電漿以形成用於循環沉積製程中的活化物種。
循環沉積製程可包括(例如分開地及循序地)提供鎵、銦、錫及/或鋅前驅物至反應室並提供氧反應物至反應室。鎵、銦、錫及/或鋅前驅物可包括本文中其他地方所提及之前驅物之一或多者。
在熱循環沉積製程的情況下,提供氧反應物至反應室之步驟的持續時間可相對長,以允許氧反應物與前驅物或其衍生物起反應。例如,持續時間可大於或等於5秒或大於或等於10秒,或從至少1.0秒到至多50.0秒,或從至少2.0秒到至多20.0秒,或從至少5.0秒到至多15.0秒。
作為步驟104的部分,反應室可使用真空及/或惰性氣體加以沖洗,以緩解前驅物及反應物之間的氣相反應,並致能部分或完全自飽和的表面反應(例如在ALD的情況下)。另外或替代地,可移動基材以分別接觸第一氣相氧反應物(例如前驅物)及第二氣相氧反應物(例如含氧氣體)。在使基材與下一反應性化學品接觸之前,可諸如藉由沖洗反應空間或藉由移動基材而從基材表面或反應室移除剩餘的化學品及反應副產物(若有的話)。可在提供前驅物至反應室的步驟之後及/或在提供氧反應物至反應室的步驟之後沖洗反應室。
在本揭露之一些實施例中,方法100包括重複單位沉積循環,單位沉積循環包括(1)提供銦前驅物、鎵前驅物、錫前驅物及鋅前驅物之一或多者至反應室及(2)提供氧反應物至反應室,其中在步驟(1)及/或步驟(2)之後可選地進行沖洗或移動步驟。沉積循環可基於例如臨限電壓移位層的期望厚度而重複一或多次。例如,若臨限電壓移位層的厚度小於特定應用所欲者,則提供前驅物至反應室及提供氧反應物至反應室的步驟可重複一或多次。臨限電壓移位層一旦沉積達所欲厚度,就可使基材遭受額外製程以形成裝置結構及/或裝置。
在一些實施例中,在具有多於約2、多於約5、多於約10、多於約25、多於約50、多於約100、或介於約10與100或約5至約25之間的高寬比(高度/寬度)的結構之中/之上,含有氧化鎵、氧化銦、氧化錫及/或氧化鋅的層(亦即臨限電壓移位層)的層之步階覆蓋係等於或大於約50%、或大於約80%、或大於約90%、或約95%、或約98%、或約99%或更大。
圖2區塊a)繪示依據本揭露之額外實例之結構/裝置的一部分200。裝置或結構200包括基材202、介電或絕緣材料205及含有氧化鎵、氧化銦、氧化錫及/或氧化鋅的層208。在所繪示之實例中,結構200亦包括額外的導電層210。
基材202可係或可包括本文所述之基材材料中的任何者。介電或絕緣材料205可包括一或多個介電或絕緣材料層。舉實例而言,介電或絕緣材料205可包括界面層204及經沉積為上覆於界面層204的高k材料206。在一些情況下,界面層204可不存在,或可不以可察覺程度存在。界面層204可包括氧化物(諸如氧化矽),其可使用例如化學氧化製程或氧化物沉積製程而形成於基材202之例如單晶矽表面上。高k材料206可係或可包括例如具有大於約7之介電常數的金屬氧化物。在一些實施例中,高k材料具有的介電常數高於氧化矽的介電常數。例示性高k材料包括氧化鉿(HfO 2)、氧化鉭(Ta 2O 5)、氧化鋯(ZrO 2)、氧化鈦(TiO 2)、矽酸鉿(HfSiOx)、氧化鋁(Al 2O 3)或氧化鑭(La 2O 3),或其混合物,及其層合物。
圖2區域b)繪示依據本揭露之額外實例的結構/裝置之一部分200。其類似於圖2區域a)中所示之結構,除了臨限電壓移位層208係位於界面層204與高k材料206之間。
臨限電壓移位層208可根據本文所述之方法形成。由於臨限電壓移位層208係使用循環沉積製程形成,臨限電壓移位層208中之氧化鎵、氧化銦、氧化錫及/或氧化鋅及/或其他成分的濃度可藉由例如在一或多個沉積循環期間控制鎵、銦、錫及/或鋅前驅物及/或氧反應物的量及/或個別脈衝時間而自臨限電壓移位層208之底部至臨限電壓移位層208之頂部有所不同。在一些情況中,臨限電壓移位層208可具有化學計量組成。包含臨限電壓移位層208之閘極堆疊的有效功函數及其他性質可藉由改變層中或沉積循環中之鎵、銦、錫、鋅及/或其他化合物之量來改變。
臨限電壓移位層208可包括雜質,諸如鹵化物、氫、碳或其類似物,其量單獨或結合地例如小於10.0原子百分比、小於6.0原子百分比、小於4.0原子百分比、小於2.0原子百分比、小於1.0原子百分比、小於0.2原子百分比、或小於0.1原子百分比、或小於0.05原子百分比。
當用於取代可包括而非鎵、銦、鋅和/或錫鋁之層時,臨限電壓移位層208可係相對的薄,例如可小於0.5 nm 厚,或小於 0.4 nm厚,或可小於0.3 nm 厚,或可小於0.2 nm 厚,或可小於0.1 nm厚,其可能對於許多應用而言為所欲的,包括例如在n通道或p通道MOSFET之閘極堆疊中的功函數和/或臨限電壓調整層。
包含臨限電壓移位層208之閘極堆疊的有效功函數可為> 4.6 eV、> 4.7 eV、> 4.8 eV、> 4.9 eV、> 4.95 eV或> 5.0 eV。閘極堆疊的有效功函數可使用如本文所述之含氧化鎵、氧化銦、氧化錫及/或氧化鋅的層來移位約30 meV至約400 meV、或約30 meV至約200 meV、或約50 meV至約100 meV。
臨限電壓移位層208可形成連續膜-例如,使用方法100-其厚度小於< 5 nm、< 4 nm、< 3 nm、< 2 nm、< 1.5 nm、< 1.2 nm、< 1.0 nm或< 0.9 nm。含氧化鎵、氧化銦、氧化錫及/或氧化鋅的層208可係相對平滑的,而顆粒邊界形成相對低。在一些情況下,含氧化鎵、氧化銦、氧化錫及/或氧化鋅的層208可係非晶的,具有相對低的柱狀晶體結構(與例如TiN相比)。在小於10 nm的厚度下,含氧化鎵、氧化銦、氧化錫及/或氧化鋅的一例示性層208的RMS粗糙度可係<1.0 nm、<0.7 nm、<0.5 nm、< 0.4 nm、<0.35 nm、或< 0.3 nm、或< 0.25 nm、或< 0.20 nm、或<0.15 nm、或<0.1 nm。
替代地,臨限電壓移位層208可比例如1.0 nm、0.5 nm、0.3 nm、0.2 nm或0.1 nm更薄且不連續。例如,層可包含分隔的島、間隙及/或孔洞。臨限電壓移位層208可甚至完全由複數個分隔的原子及/或原子團簇組成。
額外的導電層212可包括例如金屬,諸如耐火金屬或類似者。
圖3繪示根據本揭露之實例之另一例示性結構300。裝置或結構300包括基材302、介電或絕緣材料304及臨限電壓移位層306。介電或絕緣材料包含界面層308及高k介電層310。合適的界面層包括氧化矽。在所繪示之實例中,結構300亦包括額外的導電層312。在所繪示之實例中,臨限電壓移位層306沉積於高k介電層310之頂部上。或者,可將臨限電壓移位層306沉積在界面層308的頂部上,且高k介電層310可沉積在臨限電壓移位層306上。
在所繪示實例中,基材302包括源極區314、汲極區316以及通道區318。雖然繪示為水平結構,依據本揭露之實例的結構及裝置可包括垂直及/或三維結構及裝置(諸如鰭式FET裝置、環繞式場效電晶體及堆疊裝置架構)。
圖4繪示根據本揭露之實例之另一結構400。結構400適用於環繞式閘極場效電晶體(GAA FET)(亦稱為側向奈米線FET)裝置及類似者。
在所繪示之實例中,結構400包括半導體材料402、介電材料404、臨限電壓移位層406及導電層408。介電材料適宜地包含界面層(例如氧化矽)及高k介電層,類似於圖2及圖3中所繪示之層序列。結構400可形成為上覆於基材,包括本文所述之任何基材材料。
在所繪示之實例中,臨限電壓移動層406沉積於介電層之頂部上。替代地(實施例未顯示於圖4),臨限電壓移位層406可沉積於界面層之頂部上,且高k介電層可沉積於臨限電壓移位層406上。
半導體材料402可包括任何合適的半導體材料。例如,半導體材料402可包括IV族、III-V族或II-VI族半導體材料。舉例而言,半導體材料402包括矽,或更特定而言之單晶矽。
圖5繪示根據本揭露之尚有額外的例示性實施例之系統500。系統500可用以執行如本文所述之方法及/或形成如本文所述之結構或裝置部分。
在所繪示之實例中,系統500包括一或多個反應室502、前驅物氣體源504、氧反應物氣體源506、沖洗氣體源508、排氣源510及控制器512。
反應室502可包括任何合適的反應室(諸如ALD或CVD反應室)。
前驅物氣體源504可包括容器及單獨或與一或多個載體(例如惰性)氣體混合之如本文所述之一或多個前驅物。氧反應物氣體源506可包括容器及單獨或與一或多個載體氣體混合之如本文所述之一或多個氧反應物。如本文所述,沖洗氣體源508可包括一或多個惰性氣體。雖然經繪示為具有三個氣體源504至508,系統500可包括任何合適數目的氣體源。氣體源504至508可經由管線514、516、518耦合至反應室502,管線可各自包括流量控制器、閥、加熱器及類似者。
排氣源510可包括一或多個真空泵。
控制器512包括電子電路系統及軟體以選擇性地操作閥、歧管、加熱器、泵及其他包括在系統500中的組件。此類電路及組件操作以從各別的源504至508引入前驅物、氧反應物及沖洗氣體。控制器512可控制氣體脈衝序列的時序、基材及/或反應室的溫度、反應室內的壓力及各種其他操作,以提供系統500的適當操作。控制器512可包括控制軟體以電氣或氣動地控制閥,以控制前驅物、氧反應物及沖洗氣體進出反應室502的流動。控制器512可包括執行某些任務之模組(諸如軟體或硬體組件,例如FPGA或ASIC)。模組可有利地經組態以常駐在控制系統之可定址儲存媒體上,並經組態以執行一或多個製程。
系統500之其他組態係可行的,包括不同數目及種類的前驅物及氧反應物源以及沖洗氣體源。進一步地,將理解閥、導管、前驅物源及沖洗氣體源有許多配置,其等可用以實現將氣體選擇性饋入反應室502的目標。進一步地,作為一系統示意圖示,為了容易繪示已省略許多組件,且此類組件可包括例如各種閥、歧管、純化器、加熱器、容器、通氣孔及/或旁路。
在反應器系統500之操作期間,基材(諸如半導體晶圓(未繪示))係從例如基材搬運系統傳遞至反應室502。(多個)基材一經傳遞至反應室502,就將來自氣體源504至508之一或多個氣體(諸如前驅物、氧反應物、載體氣體及/或沖洗氣體)引入反應室502中。
前述本揭露的示例性實施例並未限制本發明的範疇,因為這些實施例僅是本發明之諸多實施例的示例,本發明的範疇是由所附之申請專利範圍及其法律上等效者所界定。任何等效實施例皆旨在本發明之範疇內。實際上,除本文中所示及所述者以外,所屬技術領域中具有通常知識者可由本說明書明白本發明之各種修改(諸如所述元件之替代可用組合)。此類修改及實施例亦意欲落在隨附之申請專利範圍的範疇內。
100:方法 102,104:步驟 200:裝置或結構 202:基材 204:界面層 205:介電或絕緣材料 206:高k材料 208:臨限電壓移位層 210:導電層 300:裝置或結構 302:基材 304:介電或絕緣材料 306:臨限電壓移位層 308:界面層 310:高k介電層 312:導電層 314:源極區 316:汲極區 318:通道區 400:結構 402:半導體材料 404:介電材料 406:臨限電壓移位層 408:導電層 500:系統 502:反應室 504:前驅物氣體源 506:氧反應物氣體源 508:沖洗氣體源 510:排氣源 512:控制器 514,516,518:管線
當結合下列闡釋性圖式考慮時,可藉由參照實施方式及申請專利範圍而得到對本揭露之實施例的更完整瞭解。 圖1繪示依據本揭露之例示性實施例之方法。 圖2至圖4繪示根據本揭露之實施例之例示性結構。 圖5繪示根據本揭露之額外的例示性實施例之反應器系統。 應瞭解,圖式中之元件係為簡明且清晰起見而繪示且不必然按比例繪製。舉例而言,圖式中一些元件的尺寸相對於其他元件而言可較為誇大,以幫助改善對所舉例說明之本發明實施例的理解。
100:方法
102,104:步驟

Claims (20)

  1. 一種用於沉積控制一金氧半導體場效電晶體(MOSFET)之一臨限電壓用的一層的方法,該方法包含下列步驟: 在一反應器室中提供一基材,該基材包含一表面,該表面包含一氧化矽表面及/或一高k介電表面; 使用一循環沉積製程,將一臨限電壓移位層沉積於該氧化矽表面上及/或該高k介電表面上; 其中該臨限電壓移位層包含鎵及氧; 其中該循環沉積製程包含: 提供一鎵前驅物至該反應室;及 提供一氧反應物至該反應室。
  2. 如請求項1所述之方法,其中該氧反應物係選自由O 2、O 3、H 2O、H 2O 2及N 2O所組成之列表。
  3. 如請求項1或2所述之方法,其中該鎵前驅物係選自以下列表:貝他二酮酸鹽鎵類、烷氧化鎵類、烷基鎵類、烷基醯胺鎵類、鹵化鎵類及氫化鎵類。
  4. 如請求項3所述之方法,其中該鎵前驅物係選自由三(二甲基醯胺)鎵、乙醯丙酮鎵(III)、異丙醇二甲基鎵、氯化鎵及三甲基鎵組成之列表。
  5. 如請求項1至4中任一項所述之方法,其中,該臨限電壓移位層進一步包含銦,且其中該循環沉積製程進一步包含提供一銦前驅物至該反應室之一步驟。
  6. 如請求項5所述之方法,其中該循環沉積製程包括複數個脈衝,該等脈衝包含一或多個鎵前驅物脈衝,一或多個銦前驅物脈衝,及一或多個氧反應物脈衝;其中該鎵前驅物係在該一或多個鎵前驅物脈衝中提供至該反應室,其中該銦前驅物係在該銦前驅物脈衝中提供至該反應室,其中該氧反應物係在氧反應物脈衝中提供至該反應室;且其中該等脈衝係以以下序列中之任一者的形式提供: - 鎵前驅物脈衝、銦前驅物脈衝、氧反應物脈衝;或 - 銦前驅物脈衝、鎵前驅物脈衝、氧反應物脈衝。
  7. 如請求項5或6所述之方法,其中該銦前驅物係選自烷基銦類、鹵化銦類、貝他二酮酸鹽銦類、烷氧化銦類及烷基醯胺銦類。
  8. 如請求項1至7中任一項所述之方法,其中該臨限電壓移位層進一步包含鋅,且其中該循環沉積製程進一步包含提供一鋅前驅物至該反應室之一步驟。
  9. 如請求項8所述之方法,其中該鋅前驅物係選自烷基鋅類、鹵化鋅類、貝他二酮酸鹽鋅類、烷氧化鋅類及烷基醯胺鋅類。
  10. 如請求項1至9中任一項所述之方法,其中該臨限電壓移位層進一步包含錫,且其中該循環沉積製程進一步包含提供一錫前驅物至該反應室之一步驟。
  11. 如請求項10所述之方法,其中該錫前驅物係選自烷基錫類、鹵化錫類、貝他二酮酸鹽錫類、烷氧化錫類及烷基醯胺錫類。
  12. 如請求項1至11中任一項所述之方法,其中該臨限電壓移位層具有從至少0.03 nm到至多1.0 nm的一厚度。
  13. 如請求項1至12中任一項所述之方法,其中該臨限電壓移位層係在至少80°C到至多300°C之溫度下沉積。
  14. 如請求項1至13中任一項所述之方法,其中該臨限電壓移位層在至少1.0托到至多10.0托之壓力下沉積。
  15. 如請求項1至14中任一項所述之方法,其中該循環沉積製程包括一循環化學氣相沉積製程。
  16. 如請求項1至15中任一項所述之方法,其中該沉積製程包含一熱製程。
  17. 一種結構,其包含根據如請求項1至16中任一項所述之方法所形成之一臨限電壓移位層。
  18. 如請求項17所述之結構,其包含介於該臨限電壓移位層與一基材之間的一高k介電層。
  19. 如請求項17所述之結構,其中該臨限電壓移位層位於一高k介電層與一基材之間。
  20. 一種系統,其包含: 一或多個反應室; 一前驅物氣體源,其包含一鎵前驅物; 一氧反應物氣體源,其包含一氧反應物; 一排氣源;以及 一控制器, 其中該控制器係經組態以控制氣體流入該一或多個反應室中之至少一者中,以根據如請求項1至19中任一項所述之方法形成用於控制一MOSFET之一臨限電壓的一層。
TW110137300A 2020-10-16 2021-10-07 用於臨限電壓控制之方法、結構及系統 TW202223145A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202063092790P 2020-10-16 2020-10-16
US63/092,790 2020-10-16

Publications (1)

Publication Number Publication Date
TW202223145A true TW202223145A (zh) 2022-06-16

Family

ID=81185230

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110137300A TW202223145A (zh) 2020-10-16 2021-10-07 用於臨限電壓控制之方法、結構及系統

Country Status (4)

Country Link
US (1) US20220123131A1 (zh)
KR (1) KR20220050784A (zh)
CN (1) CN114381711A (zh)
TW (1) TW202223145A (zh)

Also Published As

Publication number Publication date
US20220123131A1 (en) 2022-04-21
KR20220050784A (ko) 2022-04-25
CN114381711A (zh) 2022-04-22

Similar Documents

Publication Publication Date Title
US20210327715A1 (en) Method of forming chromium nitride layer and structure including the chromium nitride layer
US20210180184A1 (en) Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11244825B2 (en) Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
TW202130846A (zh) 形成包括釩或銦層的結構之方法
KR20200058288A (ko) 반응 챔버 내의 기판 상에 금속 실리케이트 막을 형성하는 방법 및 관련 반도체 소자 구조
KR20200099986A (ko) 반응 챔버에서 주기적 증착 공정에 의해 기판 상에 하프늄 란타늄 산화물 막을 증착하는 방법
US20220165575A1 (en) Method of forming structures for threshold voltage control
TW202223145A (zh) 用於臨限電壓控制之方法、結構及系統
US11898243B2 (en) Method of forming vanadium nitride-containing layer
US20230386846A1 (en) Methods and systems for forming a layer comprising a group 13 element on a substrate
US20230015690A1 (en) Methods and systems for forming a layer comprising a transitional metal and a group 13 element
US20220285146A1 (en) Methods and systems for forming a layer comprising vanadium and nitrogen
US11887857B2 (en) Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US20220285147A1 (en) Methods and systems for forming a layer comprising aluminum, titanium, and carbon
US20240014030A1 (en) Method for selective deposition of silicon nitride and structure including selectively-deposited silicon nitride layer
US20230215728A1 (en) Methods for forming a semiconductor structure including a dipole layer
TW202407137A (zh) 用於在基材上形成包含13族元素的層之方法及系統
TW202233884A (zh) 形成臨限電壓控制用之結構的方法