TW202217467A - 使用人工神經網路之運動控制 - Google Patents

使用人工神經網路之運動控制 Download PDF

Info

Publication number
TW202217467A
TW202217467A TW110124898A TW110124898A TW202217467A TW 202217467 A TW202217467 A TW 202217467A TW 110124898 A TW110124898 A TW 110124898A TW 110124898 A TW110124898 A TW 110124898A TW 202217467 A TW202217467 A TW 202217467A
Authority
TW
Taiwan
Prior art keywords
training
component
control
neural network
artificial neural
Prior art date
Application number
TW110124898A
Other languages
English (en)
Other versions
TWI808448B (zh
Inventor
伯克 庫斯 凡
瓊斯特 喬漢 鮑德爾
史丁 伯斯馬
Original Assignee
荷蘭商Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asml荷蘭公司 filed Critical 荷蘭商Asml荷蘭公司
Publication of TW202217467A publication Critical patent/TW202217467A/zh
Application granted granted Critical
Publication of TWI808448B publication Critical patent/TWI808448B/zh

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/0265Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric the criterion being a learning criterion
    • G05B13/027Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric the criterion being a learning criterion using neural networks only
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages
    • G03F7/70725Stages control
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/706835Metrology information management or control
    • G03F7/706839Modelling, e.g. modelling scattering or solving inverse problems
    • G03F7/706841Machine learning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • G03F7/70883Environment aspects, e.g. pressure of beam-path gas, temperature of optical system
    • G03F7/70891Temperature
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • G06N3/084Backpropagation, e.g. using gradient descent

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Artificial Intelligence (AREA)
  • Evolutionary Computation (AREA)
  • Theoretical Computer Science (AREA)
  • Software Systems (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • General Engineering & Computer Science (AREA)
  • Data Mining & Analysis (AREA)
  • General Health & Medical Sciences (AREA)
  • Molecular Biology (AREA)
  • Computing Systems (AREA)
  • Computational Linguistics (AREA)
  • Mathematical Physics (AREA)
  • Biophysics (AREA)
  • Biomedical Technology (AREA)
  • Medical Informatics (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Toxicology (AREA)
  • Atmospheric Sciences (AREA)
  • Automation & Control Theory (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Feedback Control In General (AREA)
  • Electrophonic Musical Instruments (AREA)
  • Thermistors And Varistors (AREA)

Abstract

可變設定點及/或其他因素可能限制用於一設備之移動組件之反覆學習控制。本發明描述一種經組態以控制一設備之一組件按至少一個規定移動之移動的處理器。該處理器經組態以接收諸如及/或包括一可變設定點之一控制輸入。該控制輸入指示該組件之該至少一個規定移動。該處理器經組態以用一經訓練人工神經網路基於該控制輸入判定該組件之一控制輸出。該人工神經網路用訓練資料進行訓練,使得該人工神經網路判定該控制輸出,而無關於該控制輸入是否在該訓練資料之外。該處理器至少基於該控制輸出來控制該組件。

Description

使用人工神經網路之運動控制
本發明係關於一種設備、一種用於控制一設備之一組件的方法,及一種非暫時性電腦可讀媒體。
微影設備為經建構以將所要之圖案施加至基板上之機器。微影設備可用於(例如)積體電路(IC)之製造中。微影設備可例如將圖案化裝置(例如遮罩)之圖案(亦常常稱作「設計佈局」或「設計」)投影至設置於基板(例如晶圓)上之一層輻射敏感材料(抗蝕劑)上。
隨著半導體製造製程持續進步,幾十年來,電路元件之尺寸已繼續減小,而每裝置的諸如電晶體之功能元件之量已穩固地增加,此遵循通常稱為「莫耳定律(Moore's law)」之趨勢。為了跟得上莫耳定律,半導體行業正追逐能夠產生愈來愈小特徵之技術。為了將圖案投影於基板上,微影設備可使用電磁輻射。此輻射之波長判定圖案化於基板上之特徵的最小大小。當前在使用中之典型波長為365 nm (i線)、248 nm、193 nm及13.5 nm。相比於使用例如具有193奈米之波長之輻射的微影設備,使用具有在4奈米至20奈米之範圍內之波長(例如6.7奈米或13.5奈米)之極紫外線(EUV)輻射的微影設備可用以在基板上形成較小特徵。
低k 1微影可用於處理尺寸小於微影設備之經典解析度極限的特徵。在此類程序中,可將解析度公式表達為CD = k 1×λ/NA,其中λ為所使用輻射之波長,NA為微影設備中之投影光學件之數值孔徑,CD為「臨界尺寸」(通常為經印刷之最小特徵大小,但在此情況下為半間距)且k 1為經驗解析度因數。一般而言,k 1愈小,則在基板上再生類似於由電路設計者規劃之形狀及尺寸以便達成特定電功能性及效能的圖案變得愈困難。
為了克服此等困難,可將複雜微調步驟應用於微影投影設備及/或設計佈局。此等步驟包括(例如)但不限於NA之最佳化、定製照射方案、使用相移圖案化裝置、諸如設計佈局中之光學近接校正(OPC,有時亦稱為「光學及製程校正」)之設計佈局的各種最佳化,或通常定義為「解析度增強技術」(RET)之其他方法。或者,用於控制微影設備之穩定性之嚴格控制迴路可用以改良在低k 1下之圖案之再生。
因此,在微影程序中,頻繁地需要對所產生結構進行量測,例如用於程序控制及驗證。用以進行此類量測之工具通常被稱為度量衡工具或檢測工具。用於進行此類量測之不同類型的度量衡工具為吾人所知,包括掃描電子顯微鏡或散射計度量衡工具之各種形式。散射計為多功能器具,其允許藉由在光瞳或與散射計之接物鏡之光瞳共軛的平面中具有感測器來量測微影製程之參數(量測通常被稱作以光瞳為基礎之量測),或藉由在影像平面或與影像平面共軛之平面中具有感測器來量測微影製程之參數,在此狀況下量測通常被稱作以影像或場為基礎之量測。以全文引用之方式併入本文中之專利申請案US2010/0328655、US2011/102753A1、US2012/0044470A、US2011/0249244、US2011/0026032或EP1,628,164A中進一步描述此類散射計及相關聯量測技術。前述散射計可使用來自軟x射線及對近IR波長範圍可見的光來量測光柵。
對設備之組件之運動的成功反覆學習控制(ILC)取決於用於組件之重複運動控制設定點、重複擾動力、控制下之系統之時間變異性及/或其他因素。擾動力可能為自如下各者產生的力:設備之各種組件的移動、用於設備中組件的類型、設備之方位、組件磨損,及/或其他類似因素。運動控制設定點可規定設備之組件之運動。在半導體製造及/或其他應用中,設定點及擾動力通常並不重複。此可引起例如半導體製造設備之組件之移動的不準確性,甚至在由ILC系統控制時亦如此。
由此,本發明之一目標為提供經組態以在用於組件之運動設定點及/或擾動力不重複時更準確地控制設備組件之運動的系統及方法。
與先前系統相比,本發明系統經組態以基於來自經訓練機器學習模型之輸出而控制設備之組件之移動。舉例而言,機器學習模型可為人工神經網路。該系統經組態以接收諸如可變運動設定點之控制輸入。該系統經組態以用該經訓練機器學習模型基於該控制輸入判定該組件之一控制輸出。該機器學習模型用訓練資料進行訓練,使得該機器學習模型判定該控制輸出,而無關於該控制輸入是否在該訓練資料之外。該系統接著至少基於該控制輸出來控制該組件。相較於先前系統,基於來自經訓練機器學習模型之控制輸出來控制組件之移動增強組件移動準確性(例如,組件較佳地遵循運動設定點中之規定移動),以及其他優點。方便地,可將此等特徵添加至現有控制器。
至少考慮到以上內容,根據本發明之一實施例,提供一種設備,其包含:一組件,其經組態以按至少一個規定移動來移動;以及一處理器,其由機器可讀指令來組態。該處理器經組態以接收一控制輸入。該控制輸入指示該組件之該至少一個規定移動。該處理器經組態以用一人工神經網路基於該控制輸入判定該組件之一控制輸出。該人工神經網路用訓練資料進行訓練,使得該人工神經網路判定該控制輸出,而無關於該控制輸入是否在該訓練資料之外。該處理器經組態以至少基於該控制輸出來控制該組件。
在一些實施例中,該人工神經網路用該訓練資料預先訓練。訓練可離線、線上或離線與線上組合地執行。該訓練資料可包含複數個基準訓練控制輸入及對應訓練控制輸出對。在一些實施例中,該訓練控制輸入包含用於該組件之複數個不斷改變的目標參數。在一些實施例中,該訓練控制輸出包含用於該組件的對應於該複數個不斷改變的目標參數的複數個已知力、扭矩、電流及/或電壓。所述訓練可產生用於該人工神經網路之一或多個係數。
在一些實施例中,該控制輸入(1)經預先濾波,及/或(2)包含一掃描及/或步進運動設定點。在一些實施例中,該控制輸入包含指示該組件隨時間推移之一位置、該位置之一高階時間導數、一速度或一加速度中之一或多者的一數位信號。在一些實施例中,該控制輸入包含指示該組件隨時間推移之一位置及以下中之一或多者的一數位信號:該位置之一高階時間導數,例如一速度,或一加速度。在一些實施例中,該運動設定點包含用於該組件之一不斷改變的目標參數。
在一些實施例中,該設備包含一半導體微影設備、一光學度量衡檢測工具、一電子束檢測工具及/或其他系統。
在一些實施例中,該組件包含經組態以移動至及/或移動出用於光微影之一或多個位置之一倍縮光罩載物台、一晶圓載物台、一鏡面、一透鏡元件及/或其他組件。
在一些實施例中,該控制輸出包含用以控制該組件之移動的力、扭矩、電流、電壓或電荷中之一或多者。
根據本發明之另一實施例,提供一種用於控制一設備之一組件的方法。該方法包含接收一控制輸入。該控制輸入指示該組件之至少一個規定移動。該方法包含用一經訓練人工神經網路基於該控制輸入判定該組件之一控制輸出。該人工神經網路用訓練資料進行訓練,使得該人工神經網路判定該控制輸出,而無關於該控制輸入是否在該訓練資料之外。該方法包含至少基於該控制輸出來控制該組件。
在一些實施例中,該人工神經網路用該訓練資料預先訓練。該訓練可離線、線上或離線與線上組合地執行。該訓練資料可包含複數個基準訓練控制輸入及對應訓練控制輸出對。訓練控制輸入可包含用於該組件之複數個不斷改變的目標參數。訓練控制輸出可包含用於該組件的對應於該複數個不斷改變的目標參數的複數個已知力、扭矩、電流及/或電壓。所述訓練可產生用於該人工神經網路之一或多個係數。
在一些實施例中,該控制輸入(1)經預先濾波,及/或(2)包含一步進及/或一掃描運動設定點。在一些實施例中,該控制輸入包含指示該組件隨時間推移之一位置、該位置之一高階時間導數、一速度或一加速度中之一或多者的一數位信號。在一些實施例中,該控制輸入包含指示該組件隨時間推移之一位置及以下中之一或多者的一數位信號:該位置之一高階時間導數,例如一速度,或一加速度。在一些實施例中,該運動設定點包含用於該組件之一不斷改變的目標參數。
在一些實施例中,該設備包含一半導體微影設備、一光學度量衡檢測工具、一電子束檢測工具及/或其他系統。
在一些實施例中,該組件包含經組態以移動至及/或移動出用於光微影之一或多個位置之一倍縮光罩載物台、一晶圓載物台、一鏡面、一透鏡元件及/或其他組件。
在一些實施例中,該控制輸出包含用以控制該組件之移動的力、扭矩、電流、電壓或電荷中之一或多者。
根據本發明之一實施例,提供一種上面具有指令之非暫時性電腦可讀媒體,該等指令在由一電腦執行時實施上文所描述的實施例中之任一者之程序。
根據本發明之另一實施例,提供一種上面具有指令之非暫時性電腦可讀媒體。該等指令在由一電腦執行時使得該電腦接收一控制輸入,該控制輸入指示一設備之一組件之至少一個規定移動;用一經訓練人工神經網路基於該控制輸入判定該組件之一控制輸出,其中該人工神經網路用訓練資料進行訓練,使得該人工神經網路判定該控制輸出,而無關於該控制輸入是否在該訓練資料之外;以及至少基於該控制輸出來控制該組件。
在一些實施例中,該人工神經網路用該訓練資料預先訓練。在一些實施例中,該訓練係離線、線上或離線與線上組合地執行。該訓練資料可包含複數個基準訓練控制輸入及對應訓練控制輸出對。訓練控制輸入可包含用於該組件之複數個不斷改變的目標參數。訓練控制輸出可包含用於該組件的對應於該複數個不斷改變的目標參數的複數個已知力、扭矩、電流及/或電壓。所述訓練可產生用於該人工神經網路之一或多個係數。
在一些實施例中,該控制輸入(1)經預先濾波,及/或(2)包含一步進及/或一掃描運動設定點。在一些實施例中,該控制輸入包含指示該組件隨時間推移之一位置、一高階時間導數、一速度或一加速度中之一或多者的一數位信號。在一些實施例中,該控制輸入包含指示該組件隨時間推移之一位置及以下中之一或多者的一數位信號:該位置之一高階時間導數,例如一速度,或一加速度。在一些實施例中,該設定點包含用於該組件之一不斷改變的目標參數。
在一些實施例中,該設備包含一半導體微影設備、一光學度量衡檢測工具、一電子束檢測工具及/或其他系統。
在一些實施例中,該組件包含經組態以移動至及/或移動出用於光微影之一或多個位置之一倍縮光罩載物台、一晶圓載物台、一鏡面、一透鏡元件及/或其他組件。
在一些實施例中,該控制輸出包含用以控制該組件之移動的力、扭矩、電流、電壓或電荷中之一或多者。
根據本發明之另一實施例,提供一種上面具有指令之非暫時性電腦可讀媒體,該等指令在由一電腦執行時使得該電腦用訓練資料訓練一人工神經網路。該訓練資料包含複數個基準訓練控制輸入及對應訓練控制輸出對。該經訓練人工神經網路經組態以基於一控制輸入判定用於一設備之一組件的一控制輸出,其中:該人工神經網路用訓練資料進行訓練,使得該人工神經網路判定該控制輸出,而無關於該控制輸入是否在該訓練資料之外;該控制輸入指示該組件之至少一個規定移動。該設備經組態以至少基於控制輸出來控制。
在一些實施例中,該訓練係離線、線上或離線與線上組合。在一些實施例中,訓練控制輸入包含用於該組件之複數個不斷改變的目標參數。訓練控制輸出可包含用於該組件的對應於該複數個不斷改變的目標參數的複數個已知力、扭矩、電流及/或電壓。所述訓練可產生用於該人工神經網路之一或多個係數。
反覆學習控制(ILC)係一種控制技術,其藉由在控制一設備之一或多個組件之運動時將用於反覆「i」之經量測控制誤差轉換成用於反覆「i+1」之經校正前饋控制信號來反覆地學習前饋控制信號。此技術已在許多運動控制系統中針對包括例如晶圓載物台之組件加以證明,且相對於其他前饋控制系統通常將控制誤差減小一個量值級或更多。
然而,如上所述,成功的ILC取決於重複設定點、重複擾動力及/或其他因素。擾動力可能為自如下各者產生的力:設備之各種組件的移動、用於設備中組件的類型、設備之方位、組件磨損,及/或其他類似因素。舉例而言,擾動力可是關於馬達換向、電纜厚塊、系統變動等。設定點可描述設備之組件的規定運動。運動設定點可規定組件隨時間推移之運動的位置、速度、加速度及/或其他參數(例如,此類參數之高階時間導數)。成功的ILC可取決於用於給定組件之重複設定點軌跡,包括例如固定長度移動、固定移動圖案、固定移動速度、固定加速度、藉由組件進行的重複急動及/或咬合運動等。
在半導體製造及/或其他應用中,設定點及擾動力通常並不重複。舉例而言,在半導體製造中,可出於若干原因(諸如支援不同場大小;針對疊對校正之即時或接近即時改變以校正晶圓加熱、倍縮光罩加熱及/或鏡面/透鏡加熱;及/或其他原因)改變設定點。可能設定點之數目及/或擾動力變化在理論上係無限的。實務上,可能設定點之數目及/或擾動力變化過大而不能個別地校準運動控制系統(例如,學習ILC前饋信號)。舉例而言,對此類校準之嘗試將需要設備(例如,微影內容脈絡中之掃描器)之廣泛使用以用於校準,且嚴重地限制用於製造目的之設備之可用性。
與先前系統相比,本發明系統經組態以基於來自經訓練機器學習模型之輸出而控制設備之組件之移動。舉例而言,機器學習模型可為人工神經網路。該系統經組態以接收諸如及/或包括可變運動設定點之控制輸入。該系統經組態以用該人工神經網路基於該控制輸入判定該組件之一控制輸出。舉例而言,該控制輸出可為前饋信號。該人工神經網路用訓練資料進行訓練,使得該人工神經網路判定該控制輸出,而無關於該控制輸入是否在該訓練資料之外。該系統接著至少基於該控制輸出來控制該組件之運動。
相較於先前系統,基於來自經訓練人工神經網路之控制輸出來控制組件之移動增強組件移動準確性(例如,組件較佳地遵循運動設定點中之規定移動),以及其他優點。在半導體製造中,此情形可導致增強的裝置尺寸精確性、較高產率、減小之製程設定時間、更快產出率、更準確的覆疊及/或其他製程控制量測,及/或具有其他效應。
藉由簡要介紹,在本文獻中,使用機器學習模型之運動控制描述於積體電路及/或半導體製造的內容脈絡中。一般熟習此項技術者可在需要精確控制設備之一或多個移動組件的其他操作中應用使用機器學習模型進行運動控制的原理。
給出本內容脈絡情況下,術語「輻射」及「光束」用以涵蓋所有類型之電磁輻射,包括紫外輻射(例如,具有365、248、193、157或126 nm的波長)及EUV (極紫外輻射,例如具有在約5至100 nm之範圍內的波長)。如本文中所採用之術語「倍縮光罩」、「遮罩」或「圖案化裝置」可廣泛地解釋為係指可用以向入射輻射光束賦予經圖案化橫截面之通用圖案化裝置,該圖案化橫截面對應於待在基板之目標部分中產生之圖案。在此內容脈絡中,亦可使用術語「光閥」。除經典遮罩(透射或反射、二元、相移、混合式等)以外,其他此類圖案化裝置之實例包括可程式化鏡面陣列及可程式化LCD陣列。
圖1示意性地描繪微影設備LA。微影設備LA包括:照射系統(亦稱為照射器) IL,其經組態以調節輻射光束B (例如UV輻射、DUV輻射或EUV輻射);遮罩支撐件(例如遮罩台) MT,其經建構以支撐圖案化裝置(例如遮罩) MA且連接至經組態以根據某些參數準確地定位圖案化裝置MA之第一定位器PM;基板支撐件(例如晶圓台) WT,其經建構以固持基板(例如抗蝕劑塗佈晶圓) W且連接至經組態以根據某些參數準確地定位支撐件WT之第二定位器PW及投影系統(例如折射投影透鏡系統) PS,其經組態以藉由圖案化裝置MA將賦予至輻射光束B之圖案投影至基板W的目標部分C (例如包含一或多個晶粒)上。
在操作中,照射系統IL例如經由光束遞送系統BD自輻射源SO接收輻射光束。照射系統IL可包括用於引導、塑形及/或控制輻射的各種類型之光學組件,諸如折射、反射、磁性、電磁、靜電及/或其他類型之光學組件,或其任何組合。照射器IL可用以調節輻射光束B,以在圖案化裝置MA之平面處在其橫截面中具有所要空間及角強度分佈。
本文中所使用之術語「投影系統」PS應被廣泛地解釋為涵蓋適於所使用之曝光輻射及/或適於諸如浸潤液體之使用或真空之使用之其他因素的各種類型之投影系統,包括折射、反射、反射折射、合成、磁性、電磁及/或靜電光學系統,或其任何組合。可認為本文中對術語「投影透鏡」之任何使用與更一般之術語「投影系統」PS同義。
微影設備LA可屬於一種類型,其中基板的至少一部分可由具有相對高折射率之例如水之液體覆蓋,以便填充投影系統PS與基板W之間的空間--此亦稱為浸潤微影。在以引用方式併入本文中之US6952253中給出關於浸潤技術之更多資訊。
微影設備LA亦可屬於具有兩個或更多個基板支撐件WT (又名「雙載物台」)之類型。在此「多載物台」機器中,可並行地使用基板支撐件WT,及/或可對位於基板支撐件WT中之一者上的基板W進行準備基板W之後續曝光的步驟,同時將另一基板支撐件WT上之另一基板W用於在另一基板W上曝光圖案。
除了基板支撐件WT以外,微影設備LA亦可包含一量測級。量測級經配置以固持感測器及/或清潔裝置。感測器可經配置以量測投影系統PS之特性或輻射光束B之特性。量測載物台可固持多個傳感器。清潔裝置可經配置以清潔微影設備之部分,例如,投影系統PS之部分或提供浸浸液體之系統之部分。量測載物台可在基板支撐器WT遠離投影系統PS時在投影系統PS之下移動。
在操作中,輻射光束B入射於固持在遮罩支撐件MT上的圖案化裝置(例如,遮罩) MA上,且藉由存在於圖案化裝置MA上的圖案(設計佈局)圖案化。在已橫穿圖案化裝置MA之情況下,輻射光束B傳遞通過投影系統PS,投影系統PS將該光束聚焦至基板W之目標部分C上。藉助於第二定位器PW及位置量測系統IF,可準確地移動基板支撐件WT,例如以便在聚焦且對準之位置處在輻射光束B之路徑中定位不同目標部分C。類似地,第一定位器PM及可能另一位置感測器(其未在圖1中明確地描繪)可用以相對於輻射光束B之路徑來準確地定位圖案化裝置MA。可使用遮罩對準標記M1、M2及基板對準標記P1、P2來對準圖案化裝置MA與基板W。儘管如所說明之基板對準標記P1、P2佔據專用目標部分,但其可位於目標部分之間的空間中。在基板對準標記P1、P2位於目標部分C之間時,此等基板對準標記稱為切割道對準標記。
為闡明本發明,使用笛卡爾座標系。笛卡爾座標系統具有三個軸,亦即,x軸、y軸及z軸。三個軸中之每一者與其他兩個軸正交。圍繞x軸之旋轉稱為Rx旋轉。圍繞y軸之旋轉稱為Ry旋轉。圍繞z軸之旋轉稱作Rz旋轉。x軸及y軸定義水平平面,而z軸處於豎直方向上。笛卡爾座標系不限制本發明且僅用於說明。實際上,另一座標系,諸如圓柱形座標系可用於闡明本發明。笛卡爾座標系之定向可不同,例如,使得z軸具有沿著水平平面之分量。
圖2展示圖1之微影設備LA之一部分的更詳細視圖。微影設備LA可具有基座框架BF、平衡塊BM、度量衡框架MF及振動隔離系統IS。度量衡框架MF支撐投影系統PS。另外,度量衡框架MF可支撐位置量測系統PMS之部分。度量衡框架MF係由基座框架BF經由振動隔離系統IS支撐。振動隔離系統IS經配置以防止或減小自基座框架BF傳播至度量衡框架MF的振動。
第二定位器PW經配置以藉由在基板支撐件WT與平衡塊BM之間提供驅動力來加速基板支撐件WT。驅動力使基板支架WT在所要方向上加速。由於動量守恆,驅動力亦以相等的大小施加至平衡塊BM上,但方向與所要方向相反。通常,平衡塊BM之質量顯著大於第二定位器PW及基板支撐件WT之移動部分之質量。
在一實施例中,第二定位器PW係由平衡塊BM支撐。舉例而言,其中第二定位器PW包含用以使基板支撐件WT懸浮於平衡塊BM上方之平面馬達。在另一實施例中,第二定位器PW係由基座框架BF支撐。舉例而言,其中第二定位器PW包含線性馬達且其中第二定位器PW包含用以使基板支撐件WT懸浮於基座框架BF上方之軸承,如氣體軸承。
微影設備LA可包含位置控制系統PCS,如圖3中示意性地描繪。位置控制系統PCS包含設定點產生器SP、前饋控制器FF及回饋控制器FB。位置控制系統PCS將驅動信號提供至致動器ACT。致動器ACT可為第一定位器PM或第二定位器PW的致動器,及/或微影設備LA的其他移動組件。舉例而言,致動器ACT可驅動設備P,設備P可包含基板支撐件WT或遮罩支撐件MT。設備P之輸出為位置量,諸如位置或速度或加速度,或者位置的另一高階時間導數。位置量由位置量測系統PMS進行量測。位置量測系統PMS產生訊號,其為表示設備P之定位量的定位訊號。設定點產生器SP產生信號,該信號為表示設備P之理想位置量之參考信號。舉例而言,參考信號表示基板支撐件WT之期望軌跡。參考信號及位置信號之間的差形成回饋控制器FB之輸入。基於該輸入,回饋控制器FB向致動器ACT提供驅動信號之至少一部分。參考信號可形成前饋控制器FF之輸入。基於該輸入,前饋控制器FF向致動器ACT提供驅動信號之至少一部分。前饋FF可使用關於設備P之動力特徵之資訊,諸如質量、硬度、共振模式及固有頻率。在下文描述圖3中所展示之該系統的額外細節。
如圖4中所展示,微影設備LA可形成微影單元LC (有時亦稱為微影單元(lithocell)或(微影)叢集)之部分,該微影單元LC通常亦包括用以對基板W執行曝光前製程及曝光後製程之設備。習知地,此等包括沈積抗蝕劑層之旋塗器SC、顯影經曝光之抗蝕劑的顯影器DE、例如用於調節基板W之溫度(例如用於調節抗蝕劑層中之溶劑)的冷卻板CH及烘烤板BK。基板處置器或機器人RO自輸入/輸出埠I/O1、I/O2拾取基板W、在不同製程設備之間移動基板W且將基板W遞送至微影設備LA之裝載匣LB。微影製造單元中通常亦統稱為塗佈顯影系統之裝置通常處於塗佈顯影系統控制單元TCU之控制下,該塗佈顯影系統控制單元TCU自身可藉由監督控制系統SCS控制,該監督控制系統SCS亦可例如經由微影控制單元LACU控制微影設備LA。
為了正確且一致地曝光由微影設備LA曝光之基板W,需要檢測基板以量測經圖案化結構之性質,諸如後續層之間的疊對誤差、線厚度、臨界尺寸(CD)等。出於此目的,可在微影製造單元LC中包括檢測工具(未展示)。若偵測到誤差,則可對後續基板之曝光或對待對基板W執行之其他處理步驟進行例如調整,在同一批量或批次之其他基板W仍待曝光或處理之前進行檢測的情況下尤其如此。
亦可被稱作度量衡設備之檢驗設備用以判定基板W之性質,且詳言之,判定不同基板W之性質如何變化或與同一基板W之不同層相關聯之性質在層與層間如何變化。檢測設備可替代地經建構以識別基板W上之缺陷,且可例如為微影製造單元LC之一部分,或可整合至微影設備LA中,或可甚至為單機裝置。檢測設備可量測潛影(在曝光之後在抗蝕劑層中之影像)上之屬性,或半潛影(在曝光後烘烤步驟PEB之後在抗蝕劑層中之影像)上之屬性,或經顯影抗蝕劑影像(其中抗蝕劑之曝光部分或未曝光部分已被移除)上之屬性,或甚至經蝕刻影像(在諸如蝕刻之圖案轉印步驟之後)上之屬性。
通常微影設備LA中之圖案化製程為在處理中之最具決定性步驟中的一者,其需要基板W上之結構之尺寸標定及置放之高準確度。為了確保此高準確性,可將三個系統組合於圖5中示意性地描繪之所謂「整體」控制環境中。此等系統中之一者為微影設備LA,其(實際上)連接至度量衡工具MT (第二系統)且連接至電腦系統CL (第三系統)。此「整體」環境之關鍵在於最佳化此等三個系統之間的合作以增強總體製程窗且提供嚴格控制迴路,以確保由微影設備LA執行之圖案化保持在製程窗內。程序窗界定程序參數(例如,劑量、聚焦、疊對)之範圍,特定製造程序產生該範圍內之定義結果(例如,功能性半導體裝置)--通常允許微影程序或圖案化程序中之程序參數在該範圍內變化。
電腦系統CL可使用待圖案化之設計佈局(之部分)以預測使用哪種解析度增強技術且執行計算微影模擬及演算以判定哪種遮罩佈局及微影設備設定達成圖案化製程之最大總體製程窗(在圖5中由第一標度SC1中之雙箭頭描繪)。通常,解析度增強技術經配置以匹配微影設備LA之圖案化可能性。電腦系統CL亦可用於偵測微影設備LA目前正在製程窗內何處操作(例如,使用來自度量衡工具MT之輸入),以預測由於例如次佳處理而是否可存在缺陷(在圖5中由第二標度SC2中之指向「0」的箭頭描繪)。
度量衡工具MT可將輸入提供至電腦系統CL以實現準確模擬及預測,且可將回饋提供至微影設備LA以識別例如微影設備LA之校準狀態中的可能漂移(在圖3中由第三標度SC3中之多個箭頭描繪)。
如上文參看圖1至圖5所述,微影設備、度量衡工具及/或微影製造單元典型地包括用以相對於參考或另一組件定位樣品、基板、遮罩或感測器配置的複數個載物台系統。其實例為遮罩支撐件MT及第一定位器PM、基板支撐件WT及第二定位器PW,經配置以固持感測器及/或清潔裝置的量測載物台及用於檢測工具MT中的載物台,其中基板W相對於例如掃描電子顯微鏡或幾種散射計定位。此等設備可包括數種其他移動組件,諸如倍縮光罩載物台、晶圓載物台、鏡、透鏡元件、光源(例如,驅動雷射、EUV源等)、倍縮光罩遮蔽載物台、晶圓頂部冷卻器、晶圓及倍縮光罩處置器、振動隔離系統、載物台扭矩補償器、控制及/或包括此等組件的軟體及/或硬體模組,及/或其他組件。此等實例並不意欲為限制性的。
如上所述,本發明系統經組態以基於來自經訓練機器學習模型之輸出來控制設備之組件(例如,諸如先前段落中所描述之彼等組件中之一或多者)的移動。舉例而言,機器學習模型可為人工神經網路。該系統經組態以接收諸如及/或包括可變運動設定點之控制輸入。該系統經組態以用經訓練機器學習模型基於控制輸入判定用於組件之控制輸出(例如,前饋信號及/或前饋信號之個別分量)。控制輸出可包含用於移動組件之對應於給定輸入可變運動設定點之力、扭矩、電流、電荷、電壓及/或其他資訊。該機器學習模型用訓練資料進行訓練,使得該機器學習模型判定該控制輸出,而無關於該控制輸入是否在該訓練資料之外。該系統接著至少基於該控制輸出來控制該組件。
舉例而言,本發明機器學習模型(例如,一或多個人工神經網路)在運動設定點內插上有效,且藉由有限且可接受訓練(例如,校準)需要促進超出先前運動設定點之外推。換言之,若用於對應控制輸入之獨立控制輸出已知且用以訓練機器學習模型,則機器學習模型可判定針對處於已知控制輸入(例如,先前運動設定點)之間某處或已知控制輸入之外某處的對應控制輸入之新控制輸出。
本發明方法之概述如下。ILC可應用於用於微影設備中之載物台(作為僅一個實例)在預定義設定點空間內之移動(例如,針對各種微影掃描長度、掃描速度、加速度等)的一組訓練運動設定點(例如,控制輸入)。可記錄及儲存習得前饋信號(對應於給定可變運動設定點的載物台之力、扭矩、電流、電荷、電壓及/或其他資訊)連同其對應設定點。在一些實施例中,與圖6中所示之系統類似及/或相同之系統可用於此等操作。
圖6類似於圖3,但添加了ILC模組(在圖6中展示為ILC)。圖6亦說明除了如圖3中示意性地描繪之位置控制系統PCS以外的控制誤差CE及載物台ST。如上所述,位置控制系統PCS包含設定點產生器SP、前饋控制器FF及回饋控制器FB。位置控制系統PCS將驅動信號提供至致動器ACT。致動器ACT可致動載物台ST,使得載物台ST具有特定位置量,諸如位置或速度或加速度(P/V/A)。位置量由位置量測系統PMS進行量測。位置量測系統PMS產生一信號,該信號為表示載物台ST之位置量的位置信號。設定點產生器SP產生信號,其為表示載物台ST之所要位置量的參考信號。舉例而言,參考信號表示載物台ST之所要軌跡。參考信號與位置信號(例如,控制誤差CE)之間的差形成回饋控制器FB之輸入。基於該輸入,回饋控制器FB向致動器ACT提供驅動信號之至少一部分。參考信號可形成前饋控制器FF之輸入。基於該輸入,前饋控制器FF向致動器ACT提供驅動信號之至少一部分。前饋控制器FF可利用關於載物台ST之動力特性之資訊,諸如質量、剛性、諧振模式及固有頻率。應注意,開關SW指示ILC模組可如何離線地更新以實現全掃瞄輪廓時間跡線(例如,在微影設備之內容脈絡中)。ILC模組可經組態以使得前饋信號係藉由最小化(或最佳化)即將進行之試驗的控制誤差之預測來判定,其中前饋信號為自由變數(其可以許多不同方式進行)。
圖7說明在半導體製造及/或在其他應用中,運動設定點(例如,如本文所描述之控制輸入)如何通常不重複。舉例而言,在半導體製造中,可出於若干原因(諸如支援不同場大小;針對疊對校正之即時或接近即時改變以校正晶圓加熱、倍縮光罩加熱及/或鏡面/透鏡加熱;及/或其他原因)改變設定點。可能設定點之數目及/或擾動力變化在理論上係無限的。圖7說明導致不同ILC習得力及力矩(例如,前饋信號之可能分量)之兩個運動設定點的實例。此等及其他設定點及對應的習得力及力矩可包括於上文所描述的所記錄及儲存資訊(其最終用以訓練如下文所描述的人工神經網路)中。
兩個不同的設定點SP1及SP2展示於圖7中。對於設備之移動組件,SP1及SP2各自包含隨時間推移之規定位置。圖7亦說明展示在每一設定點下方之ILC習得力F1 (Fy)、F2 (Fz)、F3 (Fy)、F4 (Fz)及力矩M1(Mx)、M2(Mx)。當修改設定點(SP1與SP2)時,遵循參考(頂部列中之y,z=0,Rx=0)所需的補償信號(Fy,Fz,Mx)迥然不同。
返回至本發明方法之概述,可用所記錄及儲存之運動設定點及對應前饋信號訓練人工神經網路,以在給出特定設定點的情況下再現前饋信號。舉例而言,人工神經網路的輸入可為隨時間推移的規定位置、速度、加速度、急動及/或其他參數。人工神經網路可輸出前饋力、扭矩及模擬用ILC習得之彼等參數的其他參數。可實施人工神經網路(例如,作為替換圖6中之ILC模組的前饋附加元件),且人工神經網路可即時地及/或接近即時地(例如,以>10 kHz之頻率)對於新運動控制設定點(設備之載物台及/或其他組件的規定移動)產生新前饋信號。
圖8說明用於控制設備之移動組件的實例方法800。方法800可與微影設備、光學及/或電子束檢測工具、基於原子力顯微術(AFM)之檢測工具及/或其他系統之移動組件相關聯。如上所述,該組件可為及/或包括倍縮光罩載物台、晶圓載物台、鏡面、透鏡元件、光源(例如,驅動雷射、EUV源等)、倍縮光罩遮蔽載物台、晶圓頂部冷卻器、晶圓及倍縮光罩處置器、振動隔離系統、載物台扭矩補償器、包括此等組件的軟體及/或硬體模組,及/或其他組件。
方法800包含:訓練802人工神經網路;接收804移動組件之控制輸入;用人工神經網路判定806控制輸出;以及至少基於控制輸出而控制808設備之移動組件;及/或其他操作。在一些實施例中,例如,方法800針對半導體製造程序(或作為其部分)而執行。在一些實施例中,組件經組態以移動至及/或移動出用於微影、檢測等之一或多個位置。
下文呈現之方法800的操作意欲為說明性的。在一些實施例中,方法800可用未描述之一或多個額外操作及/或在無所論述之操作中之一或多者的情況下實現。舉例而言,方法800可能不需要訓練人工神經網路(例如,可預先訓練人工神經網路)。另外,在圖8中說明且在下文描述方法800之操作之次序不意欲為限制性的。
在一些實施例中,方法800之一或多個部分可(例如,藉由模擬、模型化等)實施於一或多個處理裝置(例如,一或多個處理器)中。一或多個處理裝置可包括回應於以電子方式儲存於電子儲存媒體上之指令而執行方法800之操作中之一些或全部的一或多個裝置。一或多個處理裝置可包括經由硬體、韌體及/或軟體組態之一或多個裝置,該硬體、韌體及/或軟體經專門設計以用於執行例如方法800之操作中之一或多者。
如上文所描述,方法800包含訓練802人工神經網路。舉例而言,人工神經網路可具有輸入層、輸出層及一或多個中間或隱藏層。在一些實施例中,一或多個神經網路可為及/或包括深度神經網路(例如,在輸入層與輸出層之間具有一或多個中間或隱藏層的神經網路)。
作為一實例,一或多個人工神經網路可基於大的神經單元(或人工神經元)集合。該一或多個神經網路可不嚴格地模仿生物大腦工作之方式(例如經由由軸突連接之大的生物神經元簇)。人工神經網路之每一神經單元可與該神經網路之許多其他神經單元連接。此類連接可加強或抑制其對所連接之神經單元之激活狀態之影響。在一些實施例中,每一個別神經單元可具有將所有其輸入之值組合在一起之求和函數。在一些實施例中,每一連接(或神經單元自身)可具有定限功能,使得信號在其經允許傳播至其他神經單元之前必須超出臨限值。此等神經網路系統可為自學習及經訓練的,而非經明確程式化,且與傳統電腦程式相比,可在某些問題解決領域中顯著更佳地執行。在一些實施例中,一或多個人工神經網路可包括多個層(例如,其中信號路徑自前端層橫穿至後端層)。在一些實施例中,可由人工神經網路利用反向傳播技術,其中使用前向刺激以對「前端」神經單元重設權重及/或偏壓。在一些實施例中,對一或多個神經網路之刺激及抑制可更自由流動,其中連接以較混亂且複雜之方式相互作用。在一些實施例中,一或多個人工神經網路的中間層包括一或多個迴旋層、一或多個循環層及/或其他層。藉助於非限制性實例,人工神經網路可具有分佈於輸入層、三個隱藏層及輸出層之間的十個神經元。此類人工神經網路可具有足夠自由度以擷取多個尺寸上的非線性,且以典型計算系統(例如,膝上型電腦)上之>10 kHz之取樣率計算前饋信號。應注意,此情形可藉由專用程式碼及硬體而快得多。
一或多個神經網路可使用訓練資料之集合予以訓練(亦即,其參數予以判定)(例如,如本文所描述)。該訓練資料可包含複數個基準訓練控制輸入及對應訓練控制輸出對。訓練資料可包括一組訓練樣本。每一樣本可為包含如下兩者的對:輸入目標(常常格式化為一向量,該向量可被稱作特徵向量),及所要輸出值(亦被稱作監督信號)。訓練演算法分析訓練資料且藉由基於訓練資料調整人工神經網路之參數(例如,一或多個層及/或其他參數的權重、偏置等)調整人工神經網路的行為。舉例而言,給定形式為
Figure 02_image001
之一組N個訓練樣本使得
Figure 02_image003
為第i實例之特徵向量且
Figure 02_image005
為其監督信號,訓練演算法尋找神經網路
Figure 02_image007
,其中X為輸入空間,且Y為輸出空間。特徵向量係表示某一物件(例如,諸如運動設定點之控制輸入、諸如前饋信號之控制輸出等)之數值特徵的n維向量。與此等向量相關聯之向量空間常常稱為特徵或潛在空間。在訓練之後,神經網路可用於使用新樣本(例如,不同運動設定點及/或其他控制輸入)進行預測。
在一些實施例中,訓練控制輸入包含用於該組件之複數個不斷改變的目標參數。舉例而言,不斷改變的目標參數可由運動設定點描述。不斷改變的目標參數可包括位置、位置之高階時間導數、速度、加速度及/或其他參數。在一些實施例中,訓練控制輸入可包含例如指示該組件隨時間推移之位置、位置之高階時間導數、速度或加速度中之一或多者的數位信號。在一些實施例中,訓練控制輸入可包含指示組件隨時間推移之位置及以下中之一或多者的數位信號:位置之高階時間導數、例如速度,或加速度。在一些實施例中,訓練控制輸入可包括擾動力(例如,如上所述)及/或其他資訊。
舉例而言,訓練控制輸出可包含已知前饋信號。此等訓練控制輸出可包括用於組件的對應於複數個運動設定點(例如,不斷改變的目標參數)的複數個已知力、扭矩、電流、電荷、電壓及/或其他資訊。基準訓練資料之特定實例可包括控制輸入及輸出,包含例如反覆學習控制資料、機器迴路內最佳化前饋信號及/或其他資料。基準訓練資料可包括誤差資料(例如,指示組件之規定位置/速度/加速度/等與實際位置/速度/加速度/等之間的差異的資料)及/或其他資訊。
經訓練人工神經網路經組態以基於控制輸入判定組件之控制輸出。人工神經網路用訓練資料進行訓練,使得該人工神經網路判定該控制輸出,而無關於該控制輸入是否在該訓練資料之外。此意謂人工神經網路可在例如已知運動控制設定點與對應前饋信號之間內插,及/或外推超出已知運動控制設定點及對應前饋信號。
在一些實施例中,該訓練係離線、線上或離線與線上組合。離線訓練可包含與組件及/或設備單獨地發生的程序。此意謂機器(設備)生產(例如,半導體製造)在訓練人工神經網路的同時並不需要中斷。線上訓練包含在訓練迴路內部用機器(設備)進行訓練。此情形將要求生產被中斷,此係由於機器(設備)需要執行訓練運動。
所述訓練可產生用於該人工神經網路之一或多個係數。一或多個係數可包括層及/或個別神經元權重及/或偏置,舉例而言,及/或其他係數。此等係數回應於模型藉由使用者及/或其他操作重新訓練、人工調整而隨時間推移而改變。
應注意,即使在設備之單一移動組件之內容脈絡中描述訓練人工神經網路,但亦訓練人工神經網路以考量一或多個設備中之多於一個移動組件及/或一或多個此等組件之間的交互效應。舉例而言,交互效應可包括及/或引起本文所描述之擾動力。
方法800包含接收804用於移動組件之控制輸入。該控制輸入指示該組件之至少一個規定移動。舉例而言,控制輸入可為運動設定點。在一些實施例中,控制輸入包含步進及/或掃描(例如,對於微影設備)運動設定點。在一些實施例中,該運動設定點包含用於該組件之一不斷改變的目標參數。不斷改變的目標參數可為位置、位置之高階時間導數、速度、加速度及/或其他參數。在一些實施例中,控制輸入包含例如指示組件隨時間推移之位置、位置之高階時間導數、速度或加速度中之一或多者的數位信號。在一些實施例中,該控制輸入包含指示該組件隨時間推移之一位置及以下中之一或多者的一數位信號:該位置之一高階時間導數,例如一速度,或一加速度。在一些實施例中,控制輸入可與圖7中所示之SP1及/或SP2類似及/或相同。舉例而言,控制輸入可規定組件(例如,倍縮光罩載物台)隨時間推移之不同位置。控制輸入可根據三角波(SP1)、正弦波(SP2)及/或根據任何其他圖案來規定移動。然而,至少因為本發明系統及方法利用人工神經網路(其可基於其訓練而內插及/或外推),因此控制輸入無需與用於訓練之任何控制輸入相同。有利地,控制輸入可為處於用於訓練之運動設定點內(例如,具有與用於訓練之運動設定點中之對應參數不同但並不違犯對應參數之數值範圍的極值的參數)及/或用於訓練之運動設定點之外(例如,具有違犯用於訓練之運動設定點中之對應參數之值範圍之極值的參數)的運動設定點。
在一些實施例中,控制輸入經預先濾波。濾波可包括低通、高通、帶通及/或其他濾波。可執行濾波以限制神經網路在其上「在作用中」之頻率頻寬,此可避免放大器飽和及/或其他效應。作為另一實例,可應用諸如三角函數(正弦、餘弦)之非線性分析函數以使得神經網路之輸入與輸出之間的關係更簡單(例如,若吾人希望知曉效應是否在頻率上重複,則此可縮短訓練程序)。
返回至圖8,方法800包含用人工神經網路判定806控制輸出。控制輸出係用經訓練人工神經網路基於控制輸入及/或其他資訊判定。舉例而言,該控制輸出可為及/或包括前饋信號。在一些實施例中,如上所述,控制輸出包含用以控制組件之移動的力、扭矩、電流、電壓、電荷及/或其他資訊。
在一些實施例中,控制輸出可包括類似於及/或相同於圖7中所示之F1至F4及/或M1至M2的力、扭矩、電流、電壓、電荷及/或其他資訊。舉例而言,控制輸出可取決於控制輸入(例如,運動設定點)而隨時間推移對組件(例如,倍縮光罩載物台)輸送不同力(例如,F1及F2與F3及F4)及/或力矩(M1與M2),等。再次,至少因為本發明系統及方法利用人工神經網路(其可基於其訓練而內插及/或外推),因此控制輸出無需與用於訓練之任何控制輸出相同。有利地,控制輸出可為處於用於訓練之前饋信號內及/或用於訓練之前饋信號之外的前饋信號。
返回至圖8,方法800包含至少基於控制輸出控制808設備之移動組件。控制808移動組件可包括產生前饋信號及/或其他電子信號。控制808移動組件可包括傳輸前饋信號及/或其他電子信號至移動組件(及/或控制移動組件之一或多個致動器)及/或包括該組件之總體設備。除了控制輸出之外,亦可基於資訊來控制組件之移動。舉例而言,組件之移動可基於回饋控制資訊(例如,見圖3及/或圖6中之FB)、控管組件之移動的一般物理性質(例如,見圖3及/或圖6中之FF)及/或其他資訊來控制。在一較佳實施例中,經由前饋信號FF來準確地模型化且控制所有已知及一般的物理性質。
作為非限制性實例,圖9說明包括人工神經網路PM之本發明系統的可能實施例。圖9說明在基於物理性質之前饋(諸如質量前饋及快速前饋)之後,本發明系統可如何被視為集中於(常常非線性)殘餘的基於資料之前饋附加元件。此實現基於機器學習模型之控制與已經存在之現有控制方法的互補實施。圖9說明人工神經網路PM可如何在與用於ILC之組態不同的組態中添加,但仍然作為其他系統組件之互補附加元件。如本文中所描述且圖9中所展示,本發明系統之處理器(見下文圖11)經組態以接收諸如及/或包括可變設定點SP之控制輸入。該控制輸入指示諸如載物台ST之組件的至少一個規定移動。處理器經組態以用人工神經網路PM基於控制輸入SP判定組件之控制輸出P/V/A。人工神經網路PM用訓練資料進行訓練,使得人工神經網路PM判定控制輸出,而無關於控制輸入(SP)是否在訓練資料之外。處理器至少基於控制輸出來控制組件ST (經由致動器ACT)。在圖9中所示之實例中,處理器亦基於回饋資訊(來自回饋控制器) FB及來自前饋控制器FF之資訊控制組件ST。此實例並不意欲為限制性的。
如本文中所描述,人工神經網路可判定組件之控制輸出,而無關於控制輸入(例如,運動設定點)是否在訓練資料之外。人工神經網路可有效地進行內插及外推。訓練資料運動設定點之間的運動設定點(例如,包含微影設備之各種掃描速度、掃描長度及掃描加速度)係由人工神經網路精確地內插(相對於先前ILC情況>90%)。運用本發明系統及方法,外推(掃描)針對運動設定點之加速度(以產生外推運動設定點)仍給出適當效能(例如,為或高於75%之準確度)。
圖10為根據一實施例之實例電腦系統CS之方塊圖。電腦系統CS可輔助實施本文中所揭示之方法、流程或設備。電腦系統CS包括用於傳達資訊之匯流排BS或其他通信機構,及與匯流排BS耦接以處理資訊之處理器PRO (或多個處理器)。電腦系統CS亦包括耦合至匯流排BS以用於儲存待由處理器PRO執行之資訊及指令的主記憶體MM,諸如隨機存取記憶體(RAM)或其他動態儲存裝置。主記憶體MM亦可用於在待由例如處理器PRO執行之指令的執行期間儲存暫時性變數或其他中間資訊。電腦系統CS包括耦接至匯流排BS以用於儲存用於處理器PRO之靜態資訊及指令的唯讀記憶體(ROM) ROM或其他靜態儲存裝置。提供諸如磁碟或光碟之儲存裝置SD,且將其耦接至匯流排BS以用於儲存資訊及指令。
電腦系統CS可經由匯流排BS耦接至用於向電腦使用者顯示資訊之顯示器DS,諸如陰極射線管(CRT),或平板或觸控面板顯示器。包括文數字及其他按鍵之輸入裝置ID耦接至匯流排BS以用於將資訊及命令選擇傳達至處理器PRO。另一類型之使用者輸入裝置為用於將方向資訊及命令選擇傳達至處理器PRO且用於控制顯示器DS上之游標移動的游標控制件CC,諸如滑鼠、軌跡球或游標方向按鍵。此輸入裝置通常具有在兩個軸線(第一軸線(例如,x)及第二軸線(例如,y))中之兩個自由度,其允許該裝置指定在平面中之位置。觸控面板(螢幕)顯示器亦可被用作輸入裝置。
在一些實施例中,本文中所描述之一或多種方法的部分可藉由電腦系統CS回應於處理器PRO執行主記憶體MM中所含有之一或多個指令的一或多個序列而執行。可將此等指令自另一電腦可讀媒體(諸如儲存裝置SD)讀取至主記憶體MM中。主記憶體MM中所含有之指令序列的執行促使處理器PRO執行本文中所描述之程序步驟。呈多處理佈置之一或多個處理器亦可用於執行主記憶體MM中所含有之指令序列。在一些實施例中,可代替或結合軟體指令而使用硬連線電路系統。因此,本文中之描述不限於硬體電路及軟體之任何特定組合。
如本文中所使用之術語「電腦可讀媒體」係指參與將指令提供至處理器PRO以供執行之任何媒體。此媒體可採取許多形式,包括(但不限於)非揮發性媒體、揮發性媒體及傳輸媒體。非揮發性媒體包括例如光碟或磁碟,諸如儲存裝置SD。揮發性媒體包括動態記憶體,諸如主記憶體MM。傳輸媒體包括同軸纜線、銅線及光纖,包括包含匯流排BS之導線。傳輸媒體亦可採取聲波或光波之形式,諸如,在射頻(RF)及紅外線(IR)資料通信期間產生之聲波或光波。電腦可讀媒體可為非暫時性的,例如軟碟、可撓性磁碟、硬碟、磁帶、任何其他磁性媒體、CD-ROM、DVD、任何其他光學媒體、打孔卡、紙帶、具有孔圖案之任何其他實體媒體、RAM、PROM及EPROM、FLASH-EPROM、任何其他記憶體晶片或卡匣。非暫時性電腦可讀媒體可具有記錄於其上之指令。在由電腦執行時,指令可實施本文中所描述的特徵中之任一者。暫時性電腦可讀媒體可包括載波或其他傳播電磁信號。
可在將一或多個指令之一或多個序列攜載至處理器PRO以供執行時涉及電腦可讀媒體之各種形式。舉例而言,初始地可將該等指令承載於遠端電腦之磁碟上。遠端電腦可將指令載入至其動態記憶體內,且使用數據機經由電話線而發送指令。在電腦系統CS本端之數據機可接收電話線上之資料,且使用紅外線傳輸器將資料轉換為紅外線信號。耦接至匯流排BS之紅外線偵測器可接收紅外線信號中所攜載之資料且將資料置放於匯流排BS上。匯流排BS將資料攜載至主記憶體MM,處理器PRO自該主記憶體擷取且執行指令。由主記憶體MM接收之指令可視情況在由處理器PRO執行之前或之後儲存於儲存裝置SD上。
電腦系統CS亦可包括耦合至匯流排BS之通信介面CI。通信介面CI提供與網路連結NDL之雙向資料通信耦接,該網路連結NDL連接至區域網路LAN。舉例而言,通信介面CI可為整合服務數位網路(ISDN)卡或數據機以提供與相應類型之電話線的資料通信連接。作為另一實例,通信介面CI可為區域網路(LAN)卡以提供與相容LAN的資料通信連接。亦可實施無線連結。在任何此實施中,通信介面CI發送且接收攜載表示各種類型之資訊之數位資料流的電信號、電磁信號或光學信號。
網路連結NDL通常通過一或多個網路提供與其他資料裝置之資料通信。舉例而言,網路連結NDL可通過區域網路LAN提供與主機電腦HC之連接。此可包括經由全球封包資料通信網路(現在通常稱為「網際網路」INT)而提供資料通信服務。區域網路LAN (網際網路)皆使用攜載數位資料串流之電信號、電磁信號或光學信號。通過各種網路之信號及在網路資料連結NDL上且通過通信介面CI之信號為輸送資訊的例示性載波形式,該等信號將數位資料攜載至電腦系統CS且自該電腦系統攜載數位資料。
電腦系統CS可通過網路、網路資料連結NDL及通信介面CI發送訊息及接收資料(包括程式碼)。在網際網路實例中,主機電腦HC可經由網際網路INT、網路資料連結NDL、區域網路LAN及通信介面CI傳輸用於應用程式之經請求程式碼。例如,一個此經下載應用程式可提供本文中所描述之方法的全部或部分。所接收程式碼可在接收其時由處理器PRO執行,及/或儲存於儲存裝置SD或其他非揮發性儲存器中以供稍後執行。以此方式,電腦系統CS可獲得呈載波之形式之應用程式碼。
儘管可在本文中特定地參考在IC製造中的微影設備之使用,但應理解,本文中所描述之微影設備可具有其他應用。可能其他應用包括製造整合式光學系統、用於磁疇記憶體之導引及偵測、平板顯示器、液晶顯示器(LCD)、薄膜磁頭,等等。
儘管可在本文中特定地參考在微影設備之內容背景中之本發明之實施例,但本發明之實施例可用於其他設備中。本發明之實施例可形成遮罩檢測設備、度量衡設備或量測或處理諸如晶圓(或其他基板)或遮罩(或其他圖案化裝置)之物件之任何設備的部件。此等設備可一般被稱作微影工具。此微影工具可使用真空條件或周圍(非真空)條件。
儘管上文可能已經特定地參考在光學微影之上下文中對本發明之實施例的使用,但應瞭解,在上下文允許之情況下,本發明不限於光學微影,且可用於其他應用(例如壓印微影)中。
在內容脈絡允許之情況下,可以硬體、韌體、軟體或其任何組合實施本發明之實施例。本發明之實施例亦可被實施為儲存於機器可讀媒體上之指令,其可由一或多個處理器讀取及執行。如本文所描述,機器可讀媒體可包括用於儲存或傳輸以可由機器(例如,計算裝置)讀取之形式之資訊的任何機構。舉例而言,機器可讀媒體可包括:唯讀記憶體(ROM); 隨機存取記憶體(RAM);磁性儲存媒體;光學儲存媒體;快閃記憶體裝置;電學、光學、聲學或傳播信號之其他形式(例如,載波、紅外信號、數位信號等)及其他。另外,韌體、軟件、例程、指令可在本文中被描述為執行某些動作。然而,應瞭解,此等描述僅僅為方便起見,且此等動作事實上係由計算裝置、處理器、控制器或執行韌體、軟體、常式、指令等等之其他裝置引起。且如此進行可使致動器或其他裝置與實體世界互動。
雖然上文已描述本發明之特定實施例,但將瞭解,可以與所描述之方式不同的其他方式來實踐本發明。上方描述意欲為說明性,而非限制性的。因此,對於熟習此項技術者將顯而易見,可在不脫離下文所闡述之申請專利範圍之範疇的情況下對如所描述之本發明進行修改。如在以下經編號條項中闡明本發明之其他態樣。 1. 一種設備,其包含: 一組件,其經組態以按至少一個規定移動來移動;以及 一處理器,其由機器可讀指令組態以: 接收一控制輸入,該控制輸入指示該組件之該至少一個規定移動; 用一經訓練機器學習模型基於該控制輸入判定該組件之一控制輸出,其中該機器學習模型用訓練資料進行訓練,使得該機器學習模型判定該控制輸出,而無關於該控制輸入是否在該訓練資料之外;以及 至少基於該控制輸出來控制該組件。 2. 如條項1之設備,其中該機器學習模型為一人工神經網路。 3. 如條項1至2中任一項之設備,其中該控制輸入(1)經預先濾波,及/或(2)包含一掃描及/或步進運動設定點。 4. 如條項3之設備,其中該運動設定點包含用於該組件之一不斷改變的目標參數。 5. 如條項1至4中任一項之設備,其中該設備包含一半導體微影設備、一光學度量衡檢測工具,或一電子束檢測工具。 6. 如條項1至5中任一項之設備,其中該組件包含經組態以移動至及/或移動出用於光微影之一或多個位置之一倍縮光罩載物台、一晶圓載物台、一鏡面或一透鏡元件。 7. 如條項1至6中任一項之設備,其中該控制輸入包含指示該組件隨時間推移之一位置、該位置之一高階時間導數、一速度或一加速度中之一或多者的一數位信號。 8. 如條項1至6中任一項之設備,其中該控制輸入包含指示該組件隨時間推移之一位置及以下中之一或多者的一數位信號:該位置之一高階時間導數,例如一速度,或一加速度。 9. 如條項1至8中任一項之設備,其中該控制輸出包含用以控制該組件之移動的力、扭矩、電流、電壓或電荷中之一或多者。 10.   如條項1至9中任一項之設備,其中該機器學習模型用該訓練資料預先訓練。 11.    如條項10之設備,其中訓練係離線、線上或離線與線上組合地執行。 12.   如條項10或11之設備,其中該訓練資料包含複數個基準訓練控制輸入及對應訓練控制輸出對。 13.   如條項12之設備,其中訓練控制輸入包含用於該組件之複數個不斷改變的目標參數。 14.   如條項13之設備,其中訓練控制輸出包含用於該組件的對應於該複數個不斷改變的目標參數的複數個已知力、扭矩、電流及/或電壓。 15.   如條項10至14中任一項之設備,其中該訓練產生用於該機器學習模型之一或多個係數。 16.   一種用於控制一設備之一組件的方法,該方法包含: 接收一控制輸入,該控制輸入指示該組件之至少一個規定移動; 用一經訓練機器學習模型基於該控制輸入判定該組件之一控制輸出,其中該機器學習模型用訓練資料進行訓練,使得該機器學習模型判定該控制輸出,而無關於該控制輸入是否在該訓練資料之外;以及 至少基於該控制輸出來控制該組件。 17.   如條項16之方法,其中該機器學習模型為一人工神經網路。 18.   如條項16至17中任一項之方法,其中該控制輸入(1)經預先濾波,及/或(2)包含一步進及/或一掃描運動設定點。 19.   如條項18之方法,其中該運動設定點包含用於該組件之一不斷改變的目標參數。 20.   如條項16至19中任一項之方法,其中該設備包含一半導體微影設備、一光學度量衡檢測工具,或一電子束檢測工具。 21.   如條項16至20中任一項之方法,其中該組件包含經組態以移動至及/或移動出用於光微影之一或多個位置之一倍縮光罩載物台、一晶圓載物台、一鏡面或一透鏡元件。 22.   如條項16至21中任一項之方法,其中該控制輸入包含指示該組件隨時間推移之一位置、該位置之一高階時間導數、一速度或一加速度中之一或多者的一數位信號。 23. 如條項16至21中任一項之設備,其中該控制輸入包含指示該組件隨時間推移之一位置及以下中之一或多者的一數位信號:該位置之一高階時間導數,例如一速度,或一加速度。 24.   如條項16至23中任一項之方法,其中該控制輸出包含用以控制該組件之移動的力、扭矩、電流、電壓或電荷中之一或多者。 25.   如條項16至24中任一項之方法,其中該機器學習模型用該訓練資料預先訓練。 26.   如條項25之方法,其中訓練係離線、線上或離線與線上組合地執行。 27.   如條項25或26之方法,其中該訓練資料包含複數個基準訓練控制輸入及對應訓練控制輸出對。 28.   如條項27之方法,其中訓練控制輸入包含用於該組件之複數個不斷改變的目標參數。 29.   如條項28之方法,其中訓練控制輸出包含用於該組件的對應於該複數個不斷改變的目標參數的複數個已知力、扭矩、電流及/或電壓。 30.   如條項25至29中任一項之方法,其中該訓練產生用於該機器學習模型之一或多個係數。 31.   一種非暫時性電腦可讀媒體,其上具有指令,該等指令在由一電腦執行時實施如條項16至30中任一項之方法。 32.   一種非暫時性電腦可讀媒體,其上具有指令,該等指令在由一電腦執行時使得該電腦: 接收一控制輸入,該控制輸入指示一設備之一組件之至少一個規定移動; 用一經訓練機器學習模型基於該控制輸入判定該組件之一控制輸出,其中該機器學習模型用訓練資料進行訓練,使得該機器學習模型判定該控制輸出,而無關於該控制輸入是否在該訓練資料之外;以及 至少基於該控制輸出來控制該組件。 33.   如條項32之媒體,其中該機器學習模型為一人工神經網路。 34.   如條項32至33中任一項之媒體,其中該控制輸入(1)經預先濾波,及/或(2)包含一步進及/或一掃描運動設定點。 35.   如條項34之媒體,其中該設定點包含用於該組件之一不斷改變的目標參數。 36.   如條項32至35中任一項之媒體,其中該設備包含一半導體微影設備、一光學度量衡檢測工具,或一電子束檢測工具。 37.   如條項32至36中任一項之媒體,其中該組件包含經組態以移動至及/或移動出用於光微影之一或多個位置之一倍縮光罩載物台、一晶圓載物台、一鏡面或一透鏡元件。 38.   如條項32至37中任一項之媒體,其中該控制輸入包含指示該組件隨時間推移之一位置、一高階時間導數、一速度或一加速度中之一或多者的一數位信號。 39. 如條項32至37中任一項之設備,其中該控制輸入包含指示該組件隨時間推移之一位置及以下中之一或多者的一數位信號:該位置之一高階時間導數,例如一速度,或一加速度。 40.   如條項32至39中任一項之媒體,其中該控制輸出包含用以控制該組件之移動的力、扭矩、電流、電壓或電荷中之一或多者。 41.   如條項32至40中任一項之媒體,其中該機器學習模型用該訓練資料預先訓練。 42.   如條項41之媒體,其中訓練係離線、線上或離線與線上組合地執行。 43.   如條項41或42之媒體,其中該訓練資料包含複數個基準訓練控制輸入及對應訓練控制輸出對。 44.   如條項43之媒體,其中訓練控制輸入包含用於該組件之複數個不斷改變的目標參數。 45.   如條項43或44之媒體,其中訓練控制輸出包含用於該組件的對應於該複數個不斷改變的目標參數的複數個已知力、扭矩、電流及/或電壓。 46.   如條項41至45中任一項之媒體,其中該訓練產生用於該機器學習模型之一或多個係數。 47.   一種非暫時性電腦可讀媒體,其上具有指令,該等指令在由一電腦執行時使得該電腦: 用訓練資料訓練一機器學習模型,該訓練資料包含複數個基準訓練控制輸入及對應訓練控制輸出對; 該經訓練機器學習模型經組態以基於一控制輸入判定一設備之一組件之一控制輸出,其中: 該機器學習模型用訓練資料進行訓練,使得該機器學習模型判定該控制輸出,而無關於該控制輸入是否在該訓練資料之外; 該控制輸入指示該組件之至少一個規定移動;且 該設備經組態以至少基於控制輸出來控制。 48.   如條項47之媒體,其中訓練係離線、線上或離線與線上組合。 49.   如條項47或48之媒體,其中訓練控制輸入包含用於該組件之複數個不斷改變的目標參數。 50.   如條項47至49中任一項之媒體,其中訓練控制輸出包含用於該組件的對應於該複數個不斷改變的目標參數的複數個已知力、扭矩、電流及/或電壓。 51.   如條項47至50中任一項之媒體,其中該訓練產生用於該機器學習模型之一或多個係數。
800:方法 ACT:致動器 B:輻射光束 BD:光束遞送系統 BF:基座框架 BK:烘烤板 BM:平衡塊 BS:匯流排 C:目標部分 CC:游標控制件 CE:控制誤差 CH:冷卻板 CI:通信介面 CL:電腦系統 CS:電腦系統 DE:顯影器 DS:顯示器 F1:ILC習得力 F2:ILC習得力 F3:ILC習得力 F4:ILC習得力 FB:回饋控制器 FF:前饋控制器 HC:主機電腦 ID:輸入裝置 IL:照射系統 ILC:反覆學習控制 INT:網際網路 I/O1:輸入/輸出埠 I/O2:輸入/輸出埠 IS:振動隔離系統 LA:微影設備 LAN:區域網路 LB:裝載匣 LACU:微影控制單元 LC:微影單元 M1:遮罩對準標記 M2:遮罩對準標記 MA:圖案化裝置 MF:度量衡框架 MM:主記憶體 MT:遮罩支撐件/度量衡工具 NDL:網路連結 P:設備 P1:基板對準標記 P2:基板對準標記 PCS:位置控制系統 PM:第一定位器 PMS:位置量測系統 PRO:處理器 PS:投影系統 P/V/A:位置或速度或加速度 PW:第二定位器 RO:機器人 ROM:唯讀記憶體 SC:旋塗器 SC1:第一標度 SC2:第二標度 SC3:第三標度 SCS:監督控制系統 SD:儲存裝置 SO:輻射源 SP:設定點產生器 SP1:設定點 SP2:設定點 ST:載物台 SW:開關 TCU:塗佈顯影系統控制單元 W:基板 WT:基板支撐件
現在將參考隨附示意性圖式而僅藉助於實例來描述本發明之實施例,在該等圖式中: -  圖1描繪微影設備之示意性概述; -  圖2描繪圖1之微影設備之部分的詳細視圖; -  圖3示意性地描繪位置控制系統; -  圖4示意性地描繪微影單元之示意性概述; -  圖5示意性地描繪整體微影之示意性表示,其表示最佳化半導體製造之三種關鍵技術之間的協作; -  圖6示意性地描繪具有反覆學習控制(ILC)模組之位置控制系統; -  圖7說明導致不同ILC習得力及力矩之兩個運動設定點的實例; -  圖8說明用於控制設備之移動組件的實例方法; -  圖9說明包含人工神經網路的本發明系統的實例實施例。 -  圖10為實例電腦系統之方塊圖。
ACT:致動器
CE:控制誤差
FB:回饋控制器
FF:前饋控制器
PCS:位置控制系統
PM:第一定位器
PMS:位置量測系統
P/V/A:位置或速度或加速度
SP:設定點產生器
ST:載物台

Claims (48)

  1. 一種設備,其包含: 一組件,其經組態以按至少一個規定移動來移動;以及 一處理器,其由機器可讀指令組態以: 接收一控制輸入,該控制輸入指示該組件之該至少一個規定移動; 用一經訓練人工神經網路基於該控制輸入判定該組件之一控制輸出,其中該人工神經網路用訓練資料進行訓練,使得該人工神經網路判定該控制輸出,而無關於該控制輸入是否在該訓練資料之外;以及 至少基於該控制輸出來控制該組件。
  2. 如請求項1之設備,其中該控制輸入(1)經預先濾波,及/或(2)包含一掃描及/或步進運動設定點。
  3. 如請求項2之設備,其中該運動設定點包含用於該組件之一不斷改變的目標參數。
  4. 如請求項1至3中任一項之設備,其中該設備包含一半導體微影設備、一光學度量衡檢測工具,或一電子束檢測工具。
  5. 如請求項1至3中任一項之設備,其中該組件包含經組態以移動至及/或移動出用於光微影之一或多個位置之一倍縮光罩載物台、一晶圓載物台、一鏡面或一透鏡元件。
  6. 如請求項1至3中任一項之設備,其中該控制輸入包含指示該組件隨時間推移之一位置、該位置之一高階時間導數、一速度或一加速度中之一或多者的一數位信號。
  7. 如請求項1至3中任一項之設備,其中該控制輸入包含指示該組件隨時間推移之一位置及以下中之一或多者的一數位信號:該位置之一高階時間導數,例如一速度,或一加速度。
  8. 如請求項1至3中任一項之設備,其中該控制輸出包含用以控制該組件之移動的力、扭矩、電流、電壓或電荷中之一或多者。
  9. 如請求項1至3中任一項之設備,其中該人工神經網路用該訓練資料預先訓練。
  10. 如請求項9之設備,其中訓練係離線、線上或離線與線上組合地執行。
  11. 如請求項9之設備,其中該訓練資料包含複數個基準訓練控制輸入及對應訓練控制輸出對。
  12. 如請求項11之設備,其中訓練控制輸入包含用於該組件之複數個不斷改變的目標參數。
  13. 如請求項12之設備,其中訓練控制輸出包含用於該組件的對應於該複數個不斷改變的目標參數的複數個已知力、扭矩、電流及/或電壓。
  14. 如請求項9之設備,其中該訓練產生用於該人工神經網路之一或多個係數。
  15. 一種用於控制一設備之一組件的方法,該方法包含: 接收一控制輸入,該控制輸入指示該組件之至少一個規定移動; 用一經訓練人工神經網路基於該控制輸入判定該組件之一控制輸出,其中該人工神經網路用訓練資料進行訓練,使得該人工神經網路判定該控制輸出,而無關於該控制輸入是否在該訓練資料之外;以及 至少基於該控制輸出來控制該組件。
  16. 如請求項15之方法,其中該控制輸入(1)經預先濾波,及/或(2)包含一步進及/或一掃描運動設定點。
  17. 如請求項16之方法,其中該運動設定點包含用於該組件之一不斷改變的目標參數。
  18. 如請求項15至17中任一項之方法,其中該設備包含一半導體微影設備、一光學度量衡檢測工具,或一電子束檢測工具。
  19. 如請求項15至17中任一項之方法,其中該組件包含經組態以移動至及/或移動出用於光微影之一或多個位置之一倍縮光罩載物台、一晶圓載物台、一鏡面或一透鏡元件。
  20. 如請求項15至17中任一項之方法,其中該控制輸入包含指示該組件隨時間推移之一位置、該位置之一高階時間導數、一速度或一加速度中之一或多者的一數位信號。
  21. 如請求項15至17中任一項之方法,其中該控制輸入包含指示該組件隨時間推移之一位置及以下中之一或多者的一數位信號:該位置之一高階時間導數,例如一速度,或一加速度。
  22. 如請求項15至17中任一項之方法,其中該控制輸出包含用以控制該組件之移動的力、扭矩、電流、電壓或電荷中之一或多者。
  23. 如請求項15至17中任一項之方法,其中該人工神經網路用該訓練資料預先訓練。
  24. 如請求項23之方法,其中訓練係離線、線上或離線與線上組合地執行。
  25. 如請求項23之方法,其中該訓練資料包含複數個基準訓練控制輸入及對應訓練控制輸出對。
  26. 如請求項25之方法,其中訓練控制輸入包含用於該組件之複數個不斷改變的目標參數。
  27. 如請求項26之方法,其中訓練控制輸出包含用於該組件的對應於該複數個不斷改變的目標參數的複數個已知力、扭矩、電流及/或電壓。
  28. 如請求項23之方法,其中該訓練產生用於該人工神經網路之一或多個係數。
  29. 一種非暫時性電腦可讀媒體,其上具有指令,該等指令在由一電腦執行時實施如請求項15至28中任一項之方法。
  30. 一種非暫時性電腦可讀媒體,其上具有指令,該等指令在由一電腦執行時使得該電腦: 接收一控制輸入,該控制輸入指示一設備之一組件之至少一個規定移動; 用一經訓練人工神經網路基於該控制輸入判定該組件之一控制輸出,其中該人工神經網路用訓練資料進行訓練,使得該人工神經網路判定該控制輸出,而無關於該控制輸入是否在該訓練資料之外;以及 至少基於該控制輸出來控制該組件。
  31. 如請求項30之媒體,其中該控制輸入(1)經預先濾波,及/或(2)包含一步進及/或一掃描運動設定點。
  32. 如請求項31之媒體,其中該設定點包含用於該組件之一不斷改變的目標參數。
  33. 如請求項30至32中任一項之媒體,其中該設備包含一半導體微影設備、一光學度量衡檢測工具,或一電子束檢測工具。
  34. 如請求項30至32中任一項之媒體,其中該組件包含經組態以移動至及/或移動出用於光微影之一或多個位置之一倍縮光罩載物台、一晶圓載物台、一鏡面或一透鏡元件。
  35. 如請求項30至32中任一項之媒體,其中該控制輸入包含指示該組件隨時間推移之一位置、一高階時間導數、一速度或一加速度中之一或多者的一數位信號。
  36. 如請求項30至32中任一項之媒體,其中該控制輸入包含指示該組件隨時間推移之一位置及以下中之一或多者的一數位信號:該位置之一高階時間導數,例如一速度,或一加速度。
  37. 如請求項30至32中任一項之媒體,其中該控制輸出包含用以控制該組件之移動的力、扭矩、電流、電壓或電荷中之一或多者。
  38. 如請求項30至32中任一項之媒體,其中該人工神經網路用該訓練資料預先訓練。
  39. 如請求項38之媒體,其中訓練係離線、線上或離線與線上組合地執行。
  40. 如請求項38之媒體,其中該訓練資料包含複數個基準訓練控制輸入及對應訓練控制輸出對。
  41. 如請求項40之媒體,其中訓練控制輸入包含用於該組件之複數個不斷改變的目標參數。
  42. 如請求項40之媒體,其中訓練控制輸出包含用於該組件的對應於該複數個不斷改變的目標參數的複數個已知力、扭矩、電流及/或電壓。
  43. 如請求項38之媒體,其中該訓練產生用於該人工神經網路之一或多個係數。
  44. 一種非暫時性電腦可讀媒體,其上具有指令,該等指令在由一電腦執行時使得該電腦: 用訓練資料訓練一人工神經網路,該訓練資料包含複數個基準訓練控制輸入及對應訓練控制輸出對; 該經訓練人工神經網路經組態以基於一控制輸入判定一設備之一組件之一控制輸出,其中: 該人工神經網路用訓練資料進行訓練,使得該人工神經網路判定該控制輸出,而無關於該控制輸入是否在該訓練資料之外; 該控制輸入指示該組件之至少一個規定移動;且 該設備經組態以至少基於控制輸出來控制。
  45. 如請求項44之媒體,其中訓練係離線、線上或離線與線上組合。
  46. 如請求項44或45之媒體,其中訓練控制輸入包含用於該組件之複數個不斷改變的目標參數。
  47. 如請求項44或45之媒體,其中訓練控制輸出包含用於該組件的對應於該複數個不斷改變的目標參數的複數個已知力、扭矩、電流及/或電壓。
  48. 如請求項44或45之媒體,其中該訓練產生用於該人工神經網路之一或多個係數。
TW110124898A 2020-07-09 2021-07-07 使用人工神經網路之運動控制 TWI808448B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202063049719P 2020-07-09 2020-07-09
US63/049,719 2020-07-09

Publications (2)

Publication Number Publication Date
TW202217467A true TW202217467A (zh) 2022-05-01
TWI808448B TWI808448B (zh) 2023-07-11

Family

ID=76662453

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110124898A TWI808448B (zh) 2020-07-09 2021-07-07 使用人工神經網路之運動控制

Country Status (7)

Country Link
US (1) US20230315027A1 (zh)
JP (1) JP2023533027A (zh)
KR (1) KR20230022237A (zh)
CN (1) CN115989459A (zh)
NL (1) NL2028478A (zh)
TW (1) TWI808448B (zh)
WO (1) WO2022008198A1 (zh)

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7058617B1 (en) * 1996-05-06 2006-06-06 Pavilion Technologies, Inc. Method and apparatus for training a system model with gain constraints
SG135052A1 (en) 2002-11-12 2007-09-28 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
JP2004311904A (ja) * 2003-04-10 2004-11-04 Nikon Corp ステージ制御装置及び露光装置
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US8014881B2 (en) * 2007-02-15 2011-09-06 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036734A1 (nl) 2008-04-09 2009-10-12 Asml Netherlands Bv A method of assessing a model, an inspection apparatus and a lithographic apparatus.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
KR101295203B1 (ko) 2008-10-06 2013-08-09 에이에스엠엘 네델란즈 비.브이. 2차원 타겟을 이용한 리소그래피 포커스 및 조사량 측정
WO2012022584A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for use in metrology, metrology method and device manufacturing method
US8756047B2 (en) * 2010-09-27 2014-06-17 Sureshchandra B Patel Method of artificial nueral network loadflow computation for electrical power system
CN115185163A (zh) * 2017-09-08 2022-10-14 Asml荷兰有限公司 用于机器学习辅助的光学邻近误差校正的训练方法
NL2021938B1 (en) * 2018-11-05 2020-05-15 Suss Microtec Lithography Gmbh Method for measuring a thickness of a layer, method for controlling a substrate processing device as well as substrate processing device

Also Published As

Publication number Publication date
CN115989459A (zh) 2023-04-18
WO2022008198A1 (en) 2022-01-13
KR20230022237A (ko) 2023-02-14
TWI808448B (zh) 2023-07-11
US20230315027A1 (en) 2023-10-05
JP2023533027A (ja) 2023-08-01
NL2028478A (en) 2022-02-28

Similar Documents

Publication Publication Date Title
EP3807720B1 (en) Method for configuring a semiconductor manufacturing process, a lithographic apparatus and an associated computer program product
TWI782597B (zh) 調整圖案化製程之系統、產品及方法
TWI646400B (zh) 微影裝置、元件製造方法及相關資料處理裝置與電腦程式產品
KR102087310B1 (ko) 패터닝 프로세스 오차를 정정하기 위한 방법 및 장치
CN102763040A (zh) 光刻设备、器件制造方法和相关的数据处理设备以及计算机程序产品
TWI754539B (zh) 用於製程度量之察覺製程控制的系統及方法
TWI808448B (zh) 使用人工神經網路之運動控制
TWI811870B (zh) 光學成像系統、用於使用光學成像系統對物件成像之方法、及相關的度量衡器件及光學檢測器件
EP3944020A1 (en) Method for adjusting a patterning process
US20230393487A1 (en) A method for modeling measurement data over a substrate area and associated apparatuses
US11774865B2 (en) Method of controlling a position of a first object relative to a second object, control unit, lithographic apparatus and apparatus
EP4216106A1 (en) Method for controlling a production system and method for thermally controlling at least part of an environment
US20230229093A1 (en) Mark to be projected on an object during a lithograhpic process and method for designing a mark
TW202347035A (zh) 用於判定半導體製造程序中之至少一控制參數之校正之方法
WO2023198359A1 (en) A method of determining a correction for control of a lithography and/or metrology process, and associated devices
WO2023217460A1 (en) Mechatronic system control method, lithographic apparatus control method and lithographic apparatus
TW202236023A (zh) 用於組態採樣架構產生模型之方法及電腦程式
WO2022238098A1 (en) System and method to ensure parameter measurement matching across metrology tools
TW202209018A (zh) 使用產品特徵上之在解析度度量衡之晶圓對準方法
JP2014078640A (ja) 露光装置及びデバイスの製造方法