TW202217444A - 光阻之乾式顯影製程 - Google Patents

光阻之乾式顯影製程 Download PDF

Info

Publication number
TW202217444A
TW202217444A TW110123956A TW110123956A TW202217444A TW 202217444 A TW202217444 A TW 202217444A TW 110123956 A TW110123956 A TW 110123956A TW 110123956 A TW110123956 A TW 110123956A TW 202217444 A TW202217444 A TW 202217444A
Authority
TW
Taiwan
Prior art keywords
photoresist
gas
plasma
substrate
metal
Prior art date
Application number
TW110123956A
Other languages
English (en)
Inventor
宇瓊 代
馬賀 沙奇安
里賈納 弗里德
和湧 黃
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202217444A publication Critical patent/TW202217444A/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/36Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0047Photosensitive materials characterised by additives for obtaining a metallic or ceramic pattern, e.g. by firing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor

Abstract

本文揭示實施例包括用非濕式製程顯影金屬側氧基光阻的方法。在一實施例中,該方法包括將具有金屬側氧基光阻的基板提供到腔室中。在一實施例中,金屬側氧基光阻包括曝露區域及未曝露區域,並且未曝露區域包括比曝露區域更高的碳濃度。在一實施例中,該方法進一步包括使氣體流入腔室,其中氣體與未曝光區域反應以產生揮發性副產物。

Description

光阻之乾式顯影製程
本申請案主張於2020年7月1日提交的美國臨時申請案第63/047,160號的權益,其全部內容以引用方式併入本文。
本揭示案的實施例係關於半導體處理之領域,且特定而言係關於使用非濕式製程圖案化金屬側氧基光阻的方法。
數十年來,微影技術已用於半導體工業以在微電子元件中創建二維及三維圖案。微影製程涉及薄膜(光阻)的旋塗沉積,藉由能量源照射具選定圖案的薄膜(曝露),及藉由溶解在溶劑中移除(蝕刻)薄膜的曝露(正色調)或未曝露(負色調)區域。將進行烘烤以移除殘留的溶劑。
光阻應該是輻射敏感材料,且在照射時,在膜的曝露部分發生化學轉變,此實現曝露與未曝露區域之間的溶解度變化。利用此種溶解度變化,光阻的曝露或未曝露區域被移除(顯影)。如本文所用,「顯影」是指在光阻中形成圖案的製程。既然光阻被顯影,且圖案即可藉由蝕刻轉移到下層的薄膜或基板上。在轉移圖案之後,移除殘留的光阻,並且多次反覆該製程即可得到將用於微電子元件的二維及三維結構。
微影製程中有數個重要的特性。該等重要特性包括敏感度、解析度、較低的線邊緣粗糙度(line-edge roughness; LER)、抗蝕刻性及形成較薄層的能力。當靈敏度較高時,改變已沉積膜之溶解度所需的能量較低。此實現了微影製程之更高效率。解析度及LER決定了微影製程可獲得多窄的特徵。圖案轉移需要抗蝕刻性更高的材料來形成深結構。抗蝕刻性更高的材料亦實現更薄的薄膜。更薄的薄膜提高了微影製程的效率。
本文揭示的實施例包括利用非濕式製程顯影金屬側氧基光阻的方法。在一實施例中,該方法包括將具有金屬側氧基光阻的基板提供至腔室中。在一實施例中,金屬側氧基光阻包括曝露區域及未曝露區域,並且未曝露區域包括比曝露區域更高的碳濃度。在一實施例中,該方法進一步包括使氣體流入腔室,其中氣體與未曝露區域反應以產生揮發性副產物。
實施例亦可包括顯影金屬側氧基光阻的方法,該方法包括在基板表面上提供具有金屬側氧基光阻的基板,並且曝露金屬側氧基光阻以形成曝露區域及未曝露區域。在一實施例中,未曝露區域比曝露區域包含更高的碳濃度。在一實施例中,該方法可進一步包括將基板放置在電漿腔室中,使氣體流入電漿腔室,並在電漿腔室中轟擊電漿。在一實施例中,電漿與未曝露區域反應產生揮發性副產物。在一個實施例中,該方法可進一步包括淨化電漿腔室。
實施例亦可包括顯影金屬側氧基光阻的方法,該方法包括將具有金屬側氧基光阻的基板提供至電漿腔室中,其中金屬側氧基光阻包括SnOC。在一實施例中,金屬側氧基光阻包括曝露區域及未曝露區域,並且未曝露區域包括比曝露區域更高的碳濃度。在一實施例中,該方法進一步包括使氣體流入電漿腔室,其中該氣體包括氯氣及氬氣,並在電漿腔室中轟擊電漿。在實施例中,電漿與未曝露區域反應以產生揮發性副產物。該方法可進一步包括淨化電漿腔室。
本文描述了使用非濕式製程圖案化金屬側氧基光阻的方法。在以下描述中,闡述了許多特定細節,諸如用於顯影光阻的反應性電漿製程及材料方法,以便提供對本揭示案之實施例的透徹理解。熟習該項技術者可顯而易見,可以在無該等具體細節之情況下實施本揭示案的實施例。在其他情況下,沒有詳細描述眾所熟知的態樣,如積體電路製造,以免不必要地模糊本揭示案的實施例。此外,應當理解,附圖中所示的各種實施例是說明性呈現,且未必按比例繪製。
為了提供上下文,用於極紫外(extreme ultraviolet; EUV)微影技術的光阻系統效率低。換言之,用於EUV微影的現有光阻材料系統需要高劑量,以便提供允許光阻材料顯影所需的溶解度轉換。歸因於對EUV輻射的敏感度增加,有機-無機混成材料(例如,金屬側氧基材料系統)已被提出作為EUV微影的材料系統。此種材料系統通常包含金屬(例如錫、鉿、鋯等)、氧及碳。在一些情況下,金屬側氧基材料系統可進一步包括氮及氫。金屬側氧基有機-無機混成材料亦顯示出提供較低的LER及較高的解析度,此特性是形成窄特徵所必需的。
在金屬側氧基光阻系統中,曝露於EUV輻射會導致碳的移除及金屬氧化物網路的交聯。曝露區域及未曝露區域之間的碳百分比及鍵結強度的差異被用作顯影期間的溶解度轉換。特定而言,具有較高碳含量及較弱鍵結強度的未曝露區域優先被顯影劑溶液蝕刻。
金屬側氧基光阻系統目前使用濕式化學製程顯影。換言之,在曝露之後,光阻的未曝露區域藉由與旋塗乾燥製程一起使用的有機溶劑/鹼溶液顯影。亦可包括後烘烤退火。然而,由於圖案塌陷的風險,濕式方法在處理高深寬比特徵時會很麻煩(尤其是線型或柱狀結構中)。此外,濕式製程可能無法移除所有應該移除的材料,並且因為機械力被用於移除溶劑及溶解的副產物,膜材料/副產物/溶劑等可能被截留在較小特徵中。此外,對於更小及更複雜的特徵,溶劑(其通常是較大分子)更難滲透未曝露抗蝕劑的所有區域。如此導致光阻的部分顯影並產生缺陷。旋塗乾燥製程亦可能導致線擺動甚至脫落。此限制了光阻的厚度及深寬比。
因此,本揭示案的實施例提供了顯影金屬側氧基光阻的電漿蝕刻製程。特定而言,電漿蝕刻製程具有以下優點:1)消除了濕副產物的產生;2)由於經由消減系統處理了乾化學物質,因此降低了廢物流;3)提供較少的缺陷及雜質;4)改善LER、LWR及源於表面張力、毛細管力及旋塗乾燥製程的任何低頻粗糙度;5)提供用於顯影光阻並將圖案轉移到底層中的多合一製程;及6)提供金屬側氧基光阻的未曝露區域對曝露區域的高蝕刻選擇性。
本文揭示的實施例提供了一種電漿蝕刻製程,該製程在用合適的電磁輻射源(例如,EUV源)曝露了金屬側氧基光阻的諸部分之後執行。在一實施例中,包括曝露的金屬側氧基光阻的基板係放置在電漿腔室中。包含反應性氣體及惰性氣體的氣體流入電漿腔室,並且轟擊電漿。反應性氣體是一種其成分與金屬側氧基光阻的金屬反應以形成揮發性物種之氣體。例如,反應性氣體包括Cl 2、H 2、Br 2、HBr、HCl、BCl 3、CH xCl y、CH 4、BBr 3及CH xBr y中的一或更多者。在一特定實施例中,反應性氣體包括HBr,惰性氣體包括Ar。在一些實施例中,氣體流入腔室而不轟擊電漿(即熱處理)。在其他實施例中,可轟擊電漿。在一實施例中,未曝露的金屬側氧基光阻相對曝露的金屬側氧基光阻的蝕刻選擇性可為10∶1或更大。在一特定實施例中,蝕刻選擇性為約12∶1。在一實施例中,顯影的金屬側氧基光阻的圖案可轉移到下層中,而無需將基板從電漿腔室移除。
現在參考第1圖,該圖根據本揭示案的實施例,提供了用於在基板表面上顯影金屬側氧基光阻的製程120。第2A-2D圖是在製程120中的各個操作之後的基板261及金屬側氧基光阻262的橫截面圖。
在一實施例中,製程120可從操作121開始,操作121包括提供具有金屬側氧基光阻的基板。第2A圖是基板261的橫截面圖,金屬側氧基光阻262設置在基板261的表面上。在一實施例中,基板261可包含半導體製造環境中典型的任何基板材料。例如,基板261可包含半導體材料。基板261可包含半導體元件或半導體元件之部分。此種半導體元件的實例包括但不限於製造在矽基板中並封裝在介電層中的記憶體元件或互補金屬氧化物半導體(complementary metal-oxide-semiconductor; CMOS)電晶體。基板261亦可包含形成在元件或電晶體上方及周圍介電層中的複數個金屬互連,並且可用於電耦合元件或電晶體以形成積體電路。在一實施例中,基板261可為晶圓。
在一實施例中,金屬側氧基光阻262(亦簡稱為為「光阻262」)可為任何金屬側氧基材料系統。此種材料系統通常包含金屬(例如,錫、鉿、鋯等)、氧及碳。在一特定實施例中,光阻262包括SnOC。除SnOC之外,實施例可包括進一步包含氮及氫的金屬側氧基材料系統。
光阻262可使用任何合適的沉積製程安置在基板261的表面上。在一實施例中,使用旋塗製程,用濕式化學方法將光阻安置在基板261的表面上。在一替代實施例中,使用氣相製程(即,乾式製程)將光阻安置在基板261的表面上。在氣相製程中,金屬前驅物及氧化劑可經蒸發至真空腔室,其中金屬前驅物及氧化劑反應以在基板261的表面上沉積金屬側氧基光阻262。此種乾式製程的特徵可為化學氣相沉積(chemical vapor deposition; CVD)製程、原子層沉積(atomic layer deposition; ALD)製程、電漿增強化學氣相沉積製程(plasma enhanced CVD; PECVD)或電漿增強原子層沉積(plasma enhanced atomic layered position; PEALD)製程。
在一實施例中,製程120可繼續操作122,操作122包含曝露金屬側氧基光阻的部分以產生曝露區域及未曝露區域。第2B圖是描述曝露製程的橫截面圖。如圖所示,電磁輻射264穿過遮罩263以曝露曝露區域262 E。未曝露區域262 U被遮罩263阻擋而不受電磁輻射影響。在一實施例中,電磁輻射是EUV輻射。當使用EUV輻射時,EUV輻射264可被反射離開遮罩,而不是穿過遮罩。儘管本文具體揭示了EUV輻射,但是應當理解,可使用能夠在金屬側氧基光阻262中引發溶解度轉換的任何合適波長的電磁輻射。例如,在一些實施例中可使用DUV輻射。
在一實施例中,溶解度轉換由曝露區域中碳損失及金屬側氧基網路的交聯來提供。特定而言,曝露於電磁輻射導致碳從曝露區域262 E移除。未曝露區域262 U中的較高碳含量及更多的較弱鍵結使得未曝露區域在隨後的非濕式顯影製程中更容易形成圖案。
在一實施例中,製程120可繼續進行操作123,操作123包括將基板放入電漿腔室中。在一實施例中,電漿腔室可為用於在亞大氣壓的條件下轟擊電漿的任何合適腔室。電漿腔室亦可包括加熱/冷卻特徵,以提供電漿製程的熱控制。例如,其上放置基板261的卡盤可為主動加熱及/或冷卻的卡盤。另外,在一些實施例中,電漿腔室的壁可被主動加熱及/或冷卻。下文參照第7圖對合適的電漿腔室進行更詳細的描述。
在一實施例中,製程120可繼續進行操作124及125,該等操作包括使氣體流入電漿腔室並在電漿腔室中轟擊電漿。在一實施例中,氣體包括反應性氣體及惰性氣體。反應性氣體可包括Cl 2、Br 2、HBr、HCl、H 2、BCl 3、CH xCl y、CH 4、BBr 3,及CH xBr y中的一或更多者。在一實施例中,惰性氣體可包括Ar、N 2或He。在一特定實施例中,反應性氣體包括HBr,且惰性氣體包括Ar。在一實施例中,由反應性氣體形成的電漿與金屬側氧基光阻的未曝露區域262 U反應,以形成揮發性副產物。例如,金屬M(例如錫)及Cl將反應形成揮發性MCl 4。第2C圖示出了在未曝露區域262 U轉化成揮發性副產物之後顯影的光阻的實例。應當理解,轟擊電漿是可選的。換言之,在一些實施例中,非濕式製程可包括在不轟擊電漿的情況下將反應性氣體流入腔室。與電漿製程相反,此種製程可被認為是熱製程。在此種情況下,反應性氣體可直接與金屬側氧基光阻的未曝露區域262 U反應,而不需要電離反應性氣體。
在一實施例中,惰性氣體的流動速率與反應性氣體的流動速率之比率在0:1及50:1之間。例如,惰性氣體的流動速率可為300sccm,而反應性氣體的流動速率可為50sccm。稀釋的化學物質減緩了蝕刻速率並提高了蝕刻均勻性。均勻性得到改善,因為惰性氣體有助於將反應性氣體均勻地分佈在整個電漿腔室中。此外,通常已經顯示,相對於曝露區域262 E,反應性氣體流動速率的增加提供了未曝露區域262 U的蝕刻的增加。在一實施例中,壓力可在約1毫托與約100毫托之間。在一特定實施例中,壓力可在約5毫托與約20毫托之間。在又一實施例中,壓力可在約1毫托與約10托之間。
在一實施例中,基板261在操作124與125期間可具有受控的溫度。例如,溫度可在約0℃與約500℃之間變化。在特定實施例中,溫度可在約50℃與約150℃之間變化。通常,較低的溫度(例如,小於500℃)是有益的,因為金屬側氧基光阻在較低的溫度下不會熱分解。在又一實施例中,溫度可小於約200℃。例如,溫度可在約40℃與約100℃之間。
在一實施例中,可控制電漿蝕刻製程的射頻功率。通常,較低的射頻功率可提高蝕刻選擇性。在一實施例中,源功率可在約200瓦與約1200瓦之間。在一特定實施例中,源功率可約為400瓦。在一實施例中,偏壓功率可在約0W與約200W之間。在一特定實施例中,偏壓功率可約為50W。已經表明,偏壓功率增加到約100瓦提供了未曝露區域262 U相對於曝露區域262 E的增強的蝕刻選擇性
在一實施例中,操作125可用脈衝偏壓來實現。脈衝的工作週期可在0%與100%之間。在一特定實施例中,工作週期約為50%。此種工作週期留有時間移除副產物,並提供較少的離子轟擊。因此,未曝露區域262 U相對於曝露區域262 E的蝕刻選擇性得到改善。
在一實施例中,操作125可在任何期望的持續時間內實現。較長的時間段允許更多的未曝露區域262 U被移除。在一實施例中,操作125可具有在約5秒與約120秒之間的持續時間。在一特定實施例中,操作125可具有約15秒的持續時間。
藉由改變電漿顯影製程的各種參數,例如所述彼等參數,提供了金屬側氧基光阻的未曝露區域262 U相對金屬側氧基光阻的曝露區域262 E的高蝕刻選擇性。例如,蝕刻選擇性可約為10:1或更大。在一特定實施例中,蝕刻選擇性可為約12:1。高蝕刻選擇性提供了若干益處。一種益處是光阻的厚度可減小。此允許使用較小劑量的電磁輻射即可完全顯影光阻。
在一實施例中,製程120可繼續進行操作126,該操作包括淨化電漿腔室。淨化電漿腔室將操作125中反應的副產物從電漿腔室中移除。在一實施例中,在操作125中完成蝕刻之後,可實施單次清洗。在替代實施例中,操作124/125及126可定義包括蝕刻脈衝及隨後清洗的循環。在此種實施例中,為了清除光阻的未曝露區域262 U,可重複複數次循環。
本文揭示的實施例提供了在電漿腔室中實現的額外益處。當使用電漿蝕刻執行基板261的後續圖案化時,此尤其有益。特定而言,在光阻顯影製程之後,不需要從電漿腔室移除基板261。亦即,提供了用於圖案顯影及圖案轉移到下層的多合一(即多合一腔室)解決方案。
在一實施例中,製程120可繼續可選操作127,此操作包括蝕刻基板261。第2D圖是金屬側氧基光阻的曝露區域262 E的圖案轉移到基板261中之後的基板261的橫截面圖。如圖所示,圖案轉移可導致在基板261中形成溝槽265。在一實施例中,基板261的蝕刻可使用電漿蝕刻製程來實現。電漿蝕刻製程可在用於顯影金屬側氧基光阻的同一腔室中進行。
第3圖是根據本揭示案的實施例的電漿腔室的示意圖,該電漿腔室被配置為執行金屬側氧基光阻的基於電漿的顯影。電漿腔室300包括接地腔室305。基板310被裝載通過開口315,並被夾緊到溫度受控的卡盤320。
處理氣體從氣體源344供應,經由各自的質量流量控制器349流向腔室305內部。在某些實施例中,氣體分配板335提供處理氣體344的分配,該氣體諸如Cl 2、Br 2、H 2、HCl、HBr及/或惰性氣體。腔室305藉由排氣泵355排空。
當在基板310的處理期間中施加射頻功率時,電漿在基板310上方的腔室處理區域中形成。偏壓功率射頻產生器325耦合到溫度受控的卡盤320。若需要,偏壓功率射頻產生器325提供偏壓功率來激發電漿。偏壓功率射頻產生器325可具有例如在約2兆赫與60兆赫之間的低頻,且在一特定實施例中,處於13.56兆赫頻帶中。在某些實施例中,電漿腔室300包括頻率約為2兆赫的第三偏壓功率射頻產生器326,其連接到與偏壓功率射頻產生器325相同的射頻匹配器327。源功率射頻產生器330經由匹配(未示出)耦合到電漿產生元件(例如,氣體分配板335),以提供源功率來激發電漿。源射頻產生器330可具有例如在100與180兆赫之間的頻率,並且在一特定實施例中,處於162兆赫頻帶中。因為基板直徑隨著時間的推移而變化,從150毫米、200毫米、300毫米等變化,因此,在本領域中,將電漿蝕刻系統的電源及偏壓功率正規化到基板區域是常見的。
電漿腔室300由控制器370控制。控制器370可包括中央處理單元372、記憶體373及輸入/輸出介面374。中央處理單元372可根據儲存在記憶體373中的指令在電漿腔室300內執行處理操作。例如,控制器370可在電漿腔室中執行一或更多個製程,例如上述製程120的部分。
第4圖圖示了機器在電腦系統400的示例性形式中的圖形表示,該電腦系統中可執行一組指令,用於使該機器執行本文描述的任何一或更多種方法。在替代實施例中,該機器可連接(例如,聯網)到區域網路(LAN)、內部網路、外部網路或網際網路中的其他機器。該機器可在用戶端-伺服器網路環境中以伺服器或用戶端機器的身份作業,或者作為同級間(或分散式)網路環境中的同級機器作業。該機器可為個人電腦(PC)、平板電腦、機上盒(STB)、個人數位助理(PDA)、蜂巢式電話、網路設備、伺服器、網路路由器、交換機或橋接器,或者能夠執行指定該機器要採取的動作的一組指令(順序的或其他方式)的任何機器。此外,儘管僅示出了單個機器,但是術語「機器」亦應當被理解為包括單獨或聯合執行一組(或多組)指令以執行本文描述的任何一或更多種方法的機器(例如,電腦)的任何集合。
示例性電腦系統400包括處理器402、主記憶體404(例如,唯讀記憶體(read-only memory; ROM)、快閃記憶體、動態隨機存取記憶體(dynamic random access memory; DRAM),如同步動態隨機存取記憶體(synchronous DRAM; SDRAM)或Rambus動態隨機存取記憶體(Rambus DRAM; RDRAM)等),靜態記憶體406(例如,快閃記憶體、靜態隨機存取記憶體(static random access memory; SRAM)、磁阻隨機存取記憶體(Magetoresistive random access memory; MRAM)等)及次要記憶體418(例如,資料儲存裝置),上述各者藉由匯流排430彼此通信。
處理器402代表一或更多個通用處理裝置,如微處理器、中央處理單元等。更特定而言,處理器402可為複雜指令集計算(complex instruction set computing; CISC)微處理器、精簡指令集計算(reduced instruction set computing; RISC)微處理器、超長指令字(very long instruction word; VLIW)微處理器、實現其他指令集的處理器或實現指令集組合的處理器。處理器402亦可為一或更多個專用處理裝置,如特殊應用積體電路(application specific integrated circuit; ASIC)、現場可程式設計閘陣列(field programmable gate array; FPGA)、數位訊號處理器(digital signal processor; DSP)、網路處理器等。處理器402被配置為執行處理邏輯426,用於執行本文描述的操作。
電腦系統400進一步可包括網路介面裝置408。電腦系統400亦可包括視訊顯示單元410(例如,液晶顯示器(LCD)、發光二極體顯示器(LED)或陰極射線管(CRT))、字母數字輸入裝置412(例如,鍵盤)、游標控制裝置414(例如,滑鼠)及信號產生裝置416(例如,揚聲器)。
次要記憶體418可包括機器可存取的儲存媒體(或更具體地,電腦可讀儲存媒體)432,其上儲存了實施本文描述的任何一或更多種方法或功能的一或更多組指令(例如,軟體422)。軟體422亦可在電腦系統400執行期間完全或至少部分地常駐在主記憶體404之內及/或處理器402之內,主記憶體404及處理器402亦構成機器可讀儲存媒體。軟體422可進一步經由網路介面裝置408在網路420上發送或接收。
儘管機器可存取儲存媒體432在示例性實施例中被示為單個媒體,但是術語「機器可讀儲存媒體」應當被理解為包括儲存一或更多組指令的單個媒體或多個媒體(例如,集中式或分散式資料庫,及/或相關聯的快取記憶體及伺服器)。術語「機器可讀儲存媒體」亦應被理解為包括能夠儲存或編碼一組由機器執行的指令並使機器執行本揭示案的任何一或更多種方法的任何媒體。因此,術語「機器可讀儲存媒體」應被理解為包括但不限於固態記憶體及光學及磁性媒體。
根據本揭示案的實施例,機器可存取的儲存媒體具有儲存在其上的指令,該等指令使得資料處理系統執行利用電漿製程在基板上顯影金屬側氧基光阻的方法。該方法包括曝露金屬側氧基光阻以提供曝露及未曝露區域,並將具有曝露光阻的基板放置到電漿腔室中。在一實施例中,氣體流入電漿腔室並轟擊電漿。氣體可包括反應性氣體及惰性氣體。在一實施例中,電漿與光阻的未曝露區域反應,以將光阻的未曝露區域轉化為可從電漿腔室中清除的揮發性副產物。例如,反應性氣體可包括Ck、Br 2、HBr、HCl及H 2中的一或更多種。
因此,已經揭示使用電漿製程形成顯影金屬側氧基光阻的方法。
120:製程 121:操作 122:操作 123:操作 124:操作 125:操作 126:操作 127:操作 261:基板 262:金屬側氧基光阻 262 E:曝露區域 262 U:未曝露區域 263:遮罩 264:EUV輻射 265:溝槽 300:電漿腔室 305:接地腔室 310:基板 315:開口 320:卡盤 325:偏壓功率射頻產生器 327:射頻匹配器 330:源射頻產生器 335:氣體分配板 344:處理氣體 349:質量流量控制器 355:排氣泵 370:控制器 372:中央處理器 373:記憶體 374:輸入/輸出介面 400:電腦系統 402:處理器 404:主記憶體 406:靜態記憶體 408:網路介面裝置 410:視訊顯示單元 412:字母數位輸入裝置 414:游標控制裝置 416:信號產生裝置 418:次要儲存裝置 420:網路 422:軟體 426:處理邏輯 430:匯流排 432:機器可存取儲存媒體
第1圖是根據本揭示案的實施例,描述使用電漿製程顯影金屬側氧基光阻的製程的流程圖。
第2A圖至第2D圖是根據本揭的示案實施例,描繪了第1圖的流程圖的操作之基板及光阻的橫截面圖。
第3圖是根據本揭示案的實施例,可用於實施第1圖中的製程之諸部分的處理工具的橫截面圖。
第4圖圖示根據本揭示案的實施例的示例性電腦系統的方塊圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
120:製程
121:操作
122:操作
123:操作
124:操作
125:操作
126:操作
127:操作

Claims (20)

  1. 一種顯影一金屬側氧基光阻的方法,包括以下步驟: 將具有該金屬側氧基光阻的一基板提供到一腔室中,其中該金屬側氧基光阻包括曝露區域及未曝露區域,並且其中該等未曝露區域包括比該等曝露區域更高的一碳濃度; 使一氣體流入該腔室,其中該氣體與該等未曝露區域反應以產生一揮發性副產物。
  2. 如請求項1所述之方法,進一步包括以下步驟: 在該腔室內轟擊一電漿。
  3. 如請求項1所述之方法,其中該氣體包括一反應性氣體,該反應性氣體包括Cl 2、Br 2、H 2、HBr、HCl、BCl 3、CH xCl y、CH 4、BBr 3及CH xBr y中的一或更多者。
  4. 如請求項3所述之方法,其中該氣體進一步包括一惰性氣體。
  5. 如請求項4所述之方法,其中該惰性氣體的一流動速率與該反應性氣體的一流動速率之比率在0:1及50:1之間。
  6. 如請求項1所述之方法,其中該氣體與該等未曝露區域的反應是藉由無電漿的一熱處理來實現的。
  7. 如請求項1所述之方法,其中該基板的一基板溫度為200℃或更低。
  8. 如請求項1所述之方法,其中一源功率為1200瓦或更低,並且其中一偏壓功率為200瓦或更低。
  9. 如請求項8所述之方法,其中該偏壓功率被脈衝化,其中該脈衝化具有在0%及100%之間的一工作週期。
  10. 如請求項1所述之方法,其中該金屬側氧基光阻包括SnOC。
  11. 如請求項1所述之方法,其中該等曝露區域包括一交聯的金屬氧化物網路,並且其中該等未曝露區域包括一金屬氧化物叢集。
  12. 一種顯影一金屬側氧基光阻的方法,包括以下步驟: 在一基板的一表面上提供具有一金屬側氧基光阻的一基板; 曝露該金屬側氧基光阻以形成曝露區域及未曝露區域,其中該等未曝露區域包含比該等曝露區域更高的一碳濃度; 將該基板放置在一電漿腔室中; 使一氣體流入該電漿腔室; 在該電漿腔室中轟擊一電漿,其中該電漿與該等未曝露區域反應以產生一揮發性副產物;及 淨化該電漿腔室。
  13. 如請求項12所述之方法,其中該氣體包括一反應性氣體及一惰性氣體,其中該反應性氣體包括Cl 2、Br 2、H 2、HBr、HCl、BCl 3、CH xCl y、CH 4、BBr 3及CH xBr y中的一或更多者。
  14. 如請求項13所述之方法,其中該惰性氣體的一流動速率與該反應性氣體的一流動速率之一比率在0:1及50:1之間。
  15. 如請求項12所述之方法,進一步包括以下步驟: 在從該電漿腔室移除該基板之前蝕刻該基板。
  16. 如請求項12所述之方法,其中該金屬側氧基光阻包括SnOC。
  17. 如請求項12所述之方法,其中曝露該金屬側氧基光阻之步驟包括以下步驟:將該金屬側氧基光阻曝露於一極紫外(EUV)輻射。
  18. 一種顯影一金屬側氧基光阻的方法,包括以下步驟: 將具有一金屬側氧基光阻的一基板提供到一電漿腔室中,其中該金屬側氧基光阻包括SnOC,其中該金屬側氧基光阻包括曝露區域及未曝露區域,並且其中該等未曝露區域包括比該等曝露區域更高的一碳濃度; 使一氣體流入一電漿腔室,其中該氣體包括氯氣及氬氣; 在該電漿腔室中轟擊一電漿,其中該電漿與該等未曝露區域反應以產生一揮發性副產物;及 淨化該電漿腔室。
  19. 如請求項18所述之方法,其中該等未曝露區域與該等曝露區域之間的一蝕刻選擇性為10:1或更大。
  20. 如請求項18所述之方法,其中氬氣的一流動速率與氯氣的一流動速率之比率在0:1及50:1之間。
TW110123956A 2020-07-01 2021-06-30 光阻之乾式顯影製程 TW202217444A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063047160P 2020-07-01 2020-07-01
US63/047,160 2020-07-01
US17/349,534 2021-06-16
US17/349,534 US20220004105A1 (en) 2020-07-01 2021-06-16 Dry develop process of photoresist

Publications (1)

Publication Number Publication Date
TW202217444A true TW202217444A (zh) 2022-05-01

Family

ID=79167697

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110123956A TW202217444A (zh) 2020-07-01 2021-06-30 光阻之乾式顯影製程

Country Status (6)

Country Link
US (1) US20220004105A1 (zh)
JP (1) JP2023531769A (zh)
KR (1) KR20230029977A (zh)
CN (1) CN115720646A (zh)
TW (1) TW202217444A (zh)
WO (1) WO2022005855A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230350303A1 (en) * 2022-04-27 2023-11-02 Tokyo Electron Limited Dry Developing Metal-Free Photoresists
WO2024015168A1 (en) * 2022-07-11 2024-01-18 Applied Materials, Inc. Dual tone photoresists
US20240045337A1 (en) * 2022-08-03 2024-02-08 Tokyo Electron Limited Metal Oxide Resists for EUV Patterning and Methods for Developing the Same
US20240053684A1 (en) * 2022-08-15 2024-02-15 Tokyo Electron Limited Cyclic Method for Reactive Development of Photoresists

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5229251A (en) * 1991-04-29 1993-07-20 International Business Machines Corp. Dry developable photoresist containing an epoxide, organosilicon and onium salt
US20010008227A1 (en) * 1997-08-08 2001-07-19 Mitsuru Sadamoto Dry etching method of metal oxide/photoresist film laminate
WO2004095551A1 (en) * 2003-03-31 2004-11-04 Tokyo Electron Limited Method and apparatus for multilayer photoresist dry development
US8551689B2 (en) * 2010-05-27 2013-10-08 Samsung Electronics Co., Ltd. Methods of manufacturing semiconductor devices using photolithography
US9310684B2 (en) * 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
US9418836B2 (en) * 2014-01-14 2016-08-16 Az Electronic Materials (Luxembourg) S.A.R.L. Polyoxometalate and heteropolyoxometalate compositions and methods for their use
US9229326B2 (en) * 2014-03-14 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9996004B2 (en) * 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
US10649328B2 (en) * 2016-03-11 2020-05-12 Inpria Corporation Pre-patterned lithography templates, processes based on radiation patterning using the templates and processes to form the templates
WO2018004646A1 (en) * 2016-07-01 2018-01-04 Intel Corporation Metal oxide resist materials
US10546748B2 (en) * 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
US20190131130A1 (en) * 2017-10-31 2019-05-02 Lam Research Corporation Etching metal oxide substrates using ale and selective deposition
KR20210095218A (ko) * 2018-12-20 2021-07-30 램 리써치 코포레이션 레지스트들의 건식 현상 (dry development)
WO2020264158A1 (en) * 2019-06-26 2020-12-30 Lam Research Corporation Photoresist development with halide chemistries

Also Published As

Publication number Publication date
JP2023531769A (ja) 2023-07-25
WO2022005855A1 (en) 2022-01-06
KR20230029977A (ko) 2023-03-03
CN115720646A (zh) 2023-02-28
US20220004105A1 (en) 2022-01-06

Similar Documents

Publication Publication Date Title
TW202217444A (zh) 光阻之乾式顯影製程
JP2017199909A (ja) Aleおよび選択的蒸着を用いた基板のエッチング
US20140162194A1 (en) Conformal sacrificial film by low temperature chemical vapor deposition technique
JP2023507677A (ja) 照射フォトレジストパターニングのための統合乾式プロセス
US20220262625A1 (en) Chemical vapor condensation deposition of photoresist films
US20220155689A1 (en) Photoresist deposition using independent multichannel showerhead
US20230290646A1 (en) Vapor phase thermal etch solutions for metal oxo photoresists
TW202214906A (zh) 半導體整合膜的沉積
US20220308453A1 (en) Oxidation treatment for positive tone photoresist films
US20220199406A1 (en) Vapor deposition of carbon-doped metal oxides for use as photoresists
US20240160100A1 (en) Integrated solution with low temperature dry develop for euv photoresist
JP2007189153A (ja) 半導体装置の製造方法
US20220342302A1 (en) Dual tone photoresists
TW202233868A (zh) 藉由物理氣相沉積之光阻
TW202407463A (zh) 雙型光阻劑
JP3802900B2 (ja) レジストパターン形成方法および半導体装置の製造方法