TW202216809A - 光阻劑圖案修整組成物及修整光阻劑圖案之方法 - Google Patents

光阻劑圖案修整組成物及修整光阻劑圖案之方法 Download PDF

Info

Publication number
TW202216809A
TW202216809A TW110135375A TW110135375A TW202216809A TW 202216809 A TW202216809 A TW 202216809A TW 110135375 A TW110135375 A TW 110135375A TW 110135375 A TW110135375 A TW 110135375A TW 202216809 A TW202216809 A TW 202216809A
Authority
TW
Taiwan
Prior art keywords
acid
polymer
photoresist pattern
photoresist
group
Prior art date
Application number
TW110135375A
Other languages
English (en)
Inventor
艾維戴爾 考爾
聰 劉
凱文 羅威爾
Original Assignee
美商羅門哈斯電子材料有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商羅門哈斯電子材料有限公司 filed Critical 美商羅門哈斯電子材料有限公司
Publication of TW202216809A publication Critical patent/TW202216809A/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0048Photosensitive materials characterised by the solvents or agents facilitating spreading, e.g. tensio-active agents
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

光阻劑圖案修整組成物,其包含:聚合物,該聚合物包含含有酸可分解基團的單體作為聚合單元,該基團的分解在該聚合物上形成羧酸基團;非聚合物酸或非聚合物熱酸產生劑;以及 包含一種或多種有機溶劑的基於有機物的溶劑系統。修整光阻劑圖案之方法包括將此類圖案修整組成物施加到光阻劑圖案上,該光阻劑圖案由包含光酸產生劑和含有酸可分解基團的聚合物的光阻劑組成物形成。該光阻劑圖案修整組成物和圖案形成方法特別用於在半導體製造工業中形成精細光刻圖案。

Description

光阻劑圖案修整組成物及修整光阻劑圖案之方法
本發明總體上關於電子裝置的製造。更具體地,本發明關於光阻劑圖案修整組成物以及使用此類組成物修整光阻劑圖案之方法。該組成物和方法特別用於形成用於製造半導體裝置的精細光刻圖案。
在半導體製造工業中,光阻劑層用於將圖像轉移到佈置在半導體基底上的一個或多個下層,如金屬、半導體或介電層,以及該基底本身。為了增加半導體裝置的集成密度並且使得形成具有在奈米範圍內的尺寸的結構,已經並且繼續開發具有高解析度能力的光阻劑組成物和光刻處理工具。
正性化學增強的光阻劑組成物通常用於高解析度處理。此類組成物典型地使用光酸產生劑(PAG)和具有酸不穩定基團的聚合物。將由此種光阻劑組成物形成的層以圖案形式曝光於活化輻射使酸產生劑形成酸,在曝光後烘烤期間,該酸使光阻劑層的曝光區域中的酸不穩定基團斷裂。這在顯影劑溶液中層的曝光區域與未曝光區域之間產生了溶解度特性的差異。在正性顯影(PTD)過程中,光阻劑層的曝光區域可溶於顯影劑中並且從基底表面除去,而不溶於顯影劑的未曝光區域在顯影後保留以形成正像。所得浮雕圖像允許基底的選擇性處理。
光刻縮放通常藉由增加光學曝光工具的數值孔徑和使用較短的曝光波長來實現。為了形成比僅藉由直接成像可獲得的更精細的光阻劑圖案,例如在美國專利申請公開號US 2013/0171574 A1、US 2013/0171825 A1、US 2014/0186772 A1和US 2016/0187783 A1中已經提出了光阻劑圖案修整製程。光阻劑圖案修整製程典型地包括使包含具有酸不穩定基團的聚合物的光阻劑圖案與含有聚合物和酸或熱酸產生劑的修整組成物接觸。修整組成物中的酸或生成的酸導致抗蝕劑圖案的表面區域中的抗蝕劑聚合物去保護,該區域然後藉由與沖洗劑如水基顯影劑(例如TMAH)溶液接觸而被去除。這使得修整光阻劑圖案,引起例如與當僅使用直接成像時相比,更精細的抗蝕劑線或柱圖案的產生。
KrF(248 nm)和極紫外(EUV)光阻劑材料典型地包括基於乙烯基芳香族化合物,例如基於羥基苯乙烯的聚合物。該等材料通常包括有益的耐蝕刻性、蝕刻選擇性和靈敏度特性、以及低成本。該等益處比典型地含有(甲基)丙烯酸酯聚合物並且由於在ArF曝光波長下的高吸收基本上不含芳香族基團的常規ArF(193 nm)光阻劑材料係有利的。考慮到ArF對比KrF和EUV光阻劑組成物的聚合物化學性質顯著不同,設計用於ArF光阻劑圖案的圖案修整組成物可能與KrF和EUV光阻劑圖案不相容。此種不相容性可以表現為,例如,由於在修整組成物的澆鑄溶劑中的溶解抗蝕劑圖案被洗掉而造成嚴重的圖案損壞。為了解決該問題,修整組成物中可以使用基於非極性的疏水澆鑄溶劑。然而,這對修整組成物聚合物施加了額外的限制,其應該溶於澆鑄溶劑和沖洗劑二者。修整組成物聚合物在澆鑄溶劑中的不溶性可能導致塗層不均勻和圖案缺陷,而在沖洗劑中的不溶性可能導致圖案缺陷和無效修整。該等不溶性問題可能不利地影響所得電子裝置的性能和/或產量。
本領域需要改進的光阻劑圖案修整組成物和圖案形成方法,其解決與先前技術相關的一個或多個問題。
根據本發明之第一方面,提供了光阻劑圖案修整組成物。該組成物包含:聚合物,該聚合物包含含有酸可分解基團的單體作為聚合單元,該基團的分解在該聚合物上形成羧酸基團;非聚合物酸或非聚合物熱酸產生劑;以及包含一種或多種有機溶劑的基於有機物的溶劑系統。
還提供了修整光阻劑圖案之方法。該方法包括:(a) 提供半導體基底;(b) 在該半導體基底上形成光阻劑圖案,其中該光阻劑圖案由包含光酸產生劑和含有酸可分解基團的聚合物的光阻劑組成物形成;(c) 將如請求項1至9中任一項所述之圖案修整組成物塗覆在該光阻劑圖案上;(d) 加熱經塗覆的光阻劑圖案;以及 (e) 用沖洗劑沖洗經塗覆並加熱的光阻劑圖案以除去該光阻劑圖案的表面區域。
本文使用的術語僅用於描述具體實施方式的目的,而不旨在限制本發明。除非上下文另有指示,否則單數形式「一個/一種(a/an)」和「該/該(the)」旨在包括單數和複數形式。本文所揭露的全部範圍包括端點,並且所述端點彼此可獨立組合。當一個元件被稱為係「在」另一個元件「之上」或「上」時,它可以與該另一個元件直接接觸或其間可能存在插入元件。相反,當一個元件被稱為係「直接在」另一個元件「之上」時,不存在插入元件。
如本文使用,「酸可分解基團」係指以下基團,其中藉由酸的催化作用(視需要並且典型地與熱處理一起)使鍵斷裂,導致極性基團(例如羧酸或醇基)在聚合物上形成以及視需要並且典型地,與斷裂的鍵連接的部分從聚合物斷開。酸可分解基團包括例如:三級烷基酯基團、二級或三級芳基酯基團、具有烷基和芳基的組合的二級或三級酯基團、三級烷氧基基團、縮醛基團或縮酮基團。酸可分解基團在本領域中通常也稱為「酸可裂解基團」、「酸可裂解保護基團」、「酸不穩定基團」、「酸不穩定保護基團」、「酸脫離基」以及「酸敏感基團」。
除非另有說明,否則「取代的」基團係指其氫原子中的一個或多個被一個或多個取代基取代的基團。示例性的取代基基團包括但不限於,羥基(-OH)、鹵素(例如-F、-Cl、-I、-Br)、C 1-18烷基、C 1-8鹵代烷基、C 3-12環烷基、具有至少一個芳環的C 6-12芳基(例如苯基、聯苯基、萘基等,每個環係取代或未取代的芳香族的)、具有至少一個芳環的C 7-19芳基烷基、C 7-12烷基芳基、及其組合。為了確定碳數的目的,當基團係取代的時,基團的碳原子數係此基團中的碳原子的總數,不包括任何取代基的那些。
光阻劑圖案修整組成物
本發明之光阻劑圖案修整組成物包含:聚合物,該聚合物包含含有酸可分解基團的單體作為聚合單元,該基團的分解在該聚合物上形成羧酸基團;非聚合物酸或非聚合物熱酸產生劑;以及包含一種或多種有機溶劑的基於有機物的溶劑系統,並且可以包含一種或多種視需要的額外組分。
該聚合物允許組成物以具有所希望厚度的層的形式塗覆在光阻劑圖案上。該聚合物應該在修整組成物的基於有機物的溶劑系統中具有良好的溶解度。該聚合物還應該在待用於圖案化過程的沖洗劑中具有良好的溶解度。例如,該聚合物可以溶於鹼性水溶液,如典型地用作光阻劑顯影劑的那些,較佳的是氫氧化季銨水溶液,如四甲基氫氧化銨(TMAH)水溶液。為了使源自圖案修整組成物的殘留缺陷最小化,該修整組成物的乾燥層在待施加的沖洗劑中的溶解速率應該大於光阻劑圖案在該沖洗劑中的溶解速率。聚合物典型地展現出在沖洗劑(較佳的是0.26 N TMAH溶液)中的100 Å/秒或更高、較佳的是1000 Å/秒或更高的溶解速率。聚合物較佳的是不含強酸基團,如磺酸(-SO 3H)和羧酸(-CO 2H)基團,因為此類基團通常降低聚合物在修整組成物的非極性溶劑中的溶解度。在某些方面,聚合物還可以不含氟烷基和/或氟醇基團。
分解後在聚合物上形成羧酸基團的酸可分解基團較佳的是具有式-C(O)OC(R 1) 3的三級酯基團或具有式-C(O)OC(R 2) 2OR 3的縮醛基團,其中:R 1各自獨立地是直鏈C 1-20烷基、支鏈C 3-20烷基、單環或多環的C 3-20環烷基、直鏈C 2-20烯基、支鏈C 3-20烯基、單環或多環的C 3-20環烯基、單環或多環的C 6-20芳基、或單環或多環的C 2-20雜芳基,較佳的是直鏈C 1-6烷基、支鏈C 3-6烷基、或單環或多環的C 3-10環烷基,該等中的每一個係取代或未取代的,每個R 1視需要包括一個或多個選自-O-、-C(O)-、-C(O)-O-或-S-的基團作為其結構的一部分,並且任兩個R 1基團一起視需要形成環;R 2獨立地是氫、氟、直鏈C 1-20烷基、支鏈C 3-20烷基、單環或多環的C 3-20環烷基、直鏈C 2-20烯基、支鏈C 3-20烯基、單環或多環的C 3-20環烯基、單環或多環的C 6-20芳基、或單環或多環的C 2-20雜芳基,較佳的是氫、直鏈C 1-6烷基、支鏈C 3-6烷基、或單環或多環的C 3-10環烷基,該等中的每一個係取代或未取代的,每個R 2視需要包括一個或多個選自-O-、-C(O)-、-C(O)-O-或-S-的基團作為其結構的一部分,並且R 2基團一起視需要形成環;並且R 3係直鏈C 1-20烷基、支鏈C 3-20烷基、單環或多環的C 3-20環烷基、直鏈C 2-20烯基、支鏈C 3-20烯基、單環或多環的C 3-20環烯基、單環或多環的C 6-20芳基、或單環或多環的C 2-20雜芳基,較佳的是直鏈C 1-6烷基、支鏈C 3-6烷基、或單環或多環的C 3-10環烷基,該等中的每一個係取代或未取代的,R 3視需要包括一個或多個選自-O-、-C(O)-、-C(O)-O-或-S-的基團作為其結構的一部分,並且一個R 2與R 3一起視需要形成環。此種單體典型地是乙烯基芳香族化合物、(甲基)丙烯酸酯或降莰基單體。
包含此種酸可分解基團的合適的單體包括具有下式 (1a)、(1b)、(1c) 和 (1d) 的單體:
Figure 02_image001
其中:R係氫、氟、C 1-5烷基、或C 1-5氟烷基,典型地氫或甲基;R 1、R 2和R 3係如以上定義的;L 1係單鍵或包含至少一個碳原子的m+1價連接基團,典型地C 1-10直鏈的、C 3-10支鏈的、或C 3-10環狀的,該等中的每一個可以是取代或未取代的,並且可以包括一個或多個雜原子;P係選自乙烯基或降莰基的可聚合基團;L 2係單鍵或包含至少一個碳原子的二價連接基團,典型地C 1-10直鏈的、C 3-10支鏈的、或C 3-10環狀的,該等中的每一個可以是取代或未取代的,並且可以包括一個或多個雜原子,前提係當P係乙烯基時L 2不是單鍵;m係1或2;並且n係0或1。
含有酸可分解基團的合適的此類單體包括例如以下:
Figure 02_image003
Figure 02_image005
Figure 02_image007
Figure 02_image009
Figure 02_image011
Figure 02_image013
Figure 02_image015
Figure 02_image017
Figure 02_image019
Figure 02_image021
Figure 02_image023
Figure 02_image025
Figure 02_image027
Figure 02_image029
Figure 02_image031
Figure 02_image033
Figure 02_image035
Figure 02_image037
Figure 02_image039
Figure 02_image041
Figure 02_image043
Figure 02_image045
Figure 02_image047
Figure 02_image049
Figure 02_image051
Figure 02_image053
Figure 02_image055
Figure 02_image057
Figure 02_image059
Figure 02_image061
Figure 02_image063
Figure 02_image065
Figure 02_image067
Figure 02_image069
Figure 02_image071
其中R係如以上定義的。包含在聚合物上形成羧酸基團的酸可分解基團的聚合單元的總含量基於聚合物的總聚合單元典型地是10至100莫耳%、更典型地10至90莫耳%或30至70莫耳%。
聚合物可以進一步包含含有酸可分解基團的單體作為聚合單元,該基團的分解在聚合物上形成醇基或氟醇基團。合適的此類基團包括例如具有式-COC(R 2) 2OR 3-的縮醛基團、或具有式-OC(O)O-的碳酸酯基團。此種單體典型地是乙烯基芳香族化合物、(甲基)丙烯酸酯或降莰基單體
包含形成醇或氟醇基團的酸可分解基團的合適的單體包括例如以下:
Figure 02_image073
Figure 02_image075
Figure 02_image077
Figure 02_image079
Figure 02_image081
Figure 02_image083
Figure 02_image085
Figure 02_image087
Figure 02_image089
Figure 02_image091
Figure 02_image093
Figure 02_image095
Figure 02_image097
Figure 02_image099
Figure 02_image101
Figure 02_image103
Figure 02_image105
Figure 02_image107
Figure 02_image109
Figure 02_image111
Figure 02_image113
Figure 02_image115
Figure 02_image117
Figure 02_image119
Figure 02_image121
Figure 02_image123
Figure 02_image125
Figure 02_image127
Figure 02_image129
其中R係如以上定義的。如果存在於聚合物中,則含有酸可分解基團(該基團的分解在聚合物上形成醇基或氟醇基團)的聚合單元的總含量基於聚合物的總聚合單元典型地是10至90莫耳%、更典型地30至70莫耳%。
聚合物較佳的是進一步包含中性、溶解度增強的單體作為聚合單元。此種單體典型地是乙烯基芳香族化合物、(甲基)丙烯酸酯或降莰基單體。合適的中性、溶解度增強的單體包括例如以下:
Figure 02_image131
Figure 02_image133
Figure 02_image135
Figure 02_image137
Figure 02_image139
Figure 02_image141
其中R係如以上定義的。如果存在於聚合物中,則中性、溶解度增強的單體的聚合單元的總含量基於聚合物的總聚合單元典型地是10至90莫耳%、更典型地30至70莫耳%。
聚合物可以包含一種或多種額外類型的聚合單元。合適的額外單元可以含有選自例如烷基、羥基、氟烷基、氟醇、酯、醚、醯亞胺、磺醯胺、側氧基鏈烷酸酯基團及其組合中的一種或多種的基團。此類額外單元典型地是由選自例如乙烯基芳香族化合物、(甲基)丙烯酸酯或降莰基單體的單體形成的。示例性的合適的此類額外單體包括以下:
Figure 02_image143
Figure 02_image145
Figure 02_image147
Figure 02_image149
Figure 02_image151
Figure 02_image153
Figure 02_image155
Figure 02_image157
Figure 02_image159
Figure 02_image161
其中R係如以上定義的。如果存在於聚合物中,則此類額外聚合單元的含量可以廣泛變化,並且可以例如以基於聚合物的總聚合單元2至20莫耳%的量各自存在。
根據本發明之合適的聚合物包括包含兩個、三個、或更多個不同重複單元的均聚物或共聚物。合適的均聚物包括由以上所述之包含形成羧酸的酸可分解基團的單體形成的聚合單元。合適的共聚物包括例如以下:
Figure 02_image163
Figure 02_image165
Figure 02_image167
Figure 02_image169
Figure 02_image171
Figure 02_image173
Figure 02_image175
Figure 02_image177
Figure 02_image179
Figure 02_image181
Figure 02_image183
Figure 02_image185
Figure 02_image187
Figure 02_image189
其中每種聚合物中的單元的莫耳比總計為100 mol%並且可以在如上所述之範圍內選擇。
修整組成物典型地包含單一聚合物,但可以視需要包含一種或多種額外的聚合物。組成物中聚合物的含量將取決於例如層的目標厚度,當希望較厚的層時使用較高的聚合物含量。聚合物典型地以基於修整組成物的總固體80至99.9 wt%、更典型地90至99 wt%或95至99 wt%的量存在於圖案修整組成物中。聚合物的重量平均分子量(Mw)典型地是如藉由GPC相對於聚苯乙烯標準品測量的小於400,000、較佳的是3000至50,000、更較佳的是3000至25,000。典型地,聚合物將具有3或更小、較佳的是2或更小的多分散性指數(PDI = Mw/Mn),其中Mw和Mn藉由GPC相對於聚苯乙烯標準品測量。
用於修整組成物的合適的聚合物係可商購的和/或可以由熟悉該項技術者容易地製備。例如,聚合物可以藉由將對應於聚合物單元的選定單體溶解在有機溶劑中、向其中添加自由基聚合引發劑、並進行熱聚合以形成聚合物來合成。可以用於聚合物的聚合的合適的有機溶劑的實例包括例如甲苯、苯、四氫呋喃、二乙醚、二㗁𠮿、乳酸乙酯和甲基異丁基甲醇。合適的聚合引發劑包括例如2,2'-偶氮雙異丁腈(AIBN)、2,2'-偶氮雙(2,4-二甲基戊腈)、二甲基2,2-偶氮雙(2-甲基丙酸酯)、過氧化苯甲醯和過氧化月桂醯。
修整組成物進一步包含非聚合物酸或非聚合物熱酸產生劑(TAG)。酸或在TAG情況下生成的酸應該足以藉由加熱使得在光阻劑圖案的表面區域中聚合物的酸可分解基團的鍵斷裂,以使得光阻劑聚合物在待施加的沖洗溶液中的溶解度增加。與聚合物酸和TAG相比,酸或TAG係呈非聚合物形式,以便在加工期間更好地擴散到光阻劑圖案中。修整組成物較佳的是不含聚合物酸和聚合物TAG。非聚合物酸或非聚合物TAG典型地以基於修整組成物的總固體約0.01至20 wt%的量存在於組成物中。
較佳的非聚合物酸係包括非芳香族酸和芳香族酸的有機酸,該等中的每一種可以視需要具有氟取代。合適的有機酸包括例如:羧酸,如烷酸,包括甲酸、乙酸、丙酸、丁酸、二氯乙酸、三氯乙酸、全氟乙酸、全氟辛酸、草酸、丙二酸和琥珀酸;羥基烷酸,如檸檬酸;芳香族羧酸,如苯甲酸、氟苯甲酸、羥基苯甲酸和萘甲酸;有機磷酸,如二甲基磷酸和二甲基次膦酸;以及磺酸,如視需要氟化的烷基磺酸,包括甲烷磺酸、三氟甲烷磺酸、乙烷磺酸、1-丁烷磺酸、1-全氟丁烷磺酸、1,1,2,2-四氟丁烷-1-磺酸、1,1,2,2-四氟-4-羥基丁烷-1-磺酸、1-戊烷磺酸、1-己烷磺酸、以及1-庚烷磺酸。
合適的TAG包括能夠產生如上所述之非聚合物酸的那些。TAG可以是非離子或離子的。合適的非離子熱酸產生劑包括例如三氟甲基磺酸環己酯、三氟甲基磺酸甲酯、對甲苯磺酸環己酯、對甲苯磺酸甲酯、2,4,6-三異丙基苯磺酸環己酯、硝基苄基酯、苯偶姻甲苯磺酸酯、甲苯磺酸2-硝基苄基酯、三(2,3-二溴丙基)-1,3,5-三𠯤-2,4,6-三酮、有機磺酸的烷基酯、對甲苯磺酸、十二烷基苯磺酸、草酸、鄰苯二甲酸、磷酸、樟腦磺酸、2,4,6-三甲基苯磺酸、三異丙基萘磺酸、5-硝基鄰甲苯磺酸、5-磺基水楊酸、2,5-二甲基苯磺酸、2-硝基苯磺酸、3-氯苯磺酸、3-溴苯磺酸、2-氟癸醯基萘磺酸、十二烷基苯磺酸、1-萘酚-5-磺酸、2-甲氧基-4-羥基-5-苯甲醯基-苯磺酸、以及它們的鹽、及其組合。合適的離子熱酸產生劑包括例如十二烷基苯磺酸三乙胺鹽、十二烷基苯二磺酸三乙胺鹽、對甲苯磺酸銨鹽、對甲苯磺酸吡啶鎓鹽、磺酸鹽(如碳環芳基和雜芳基磺酸鹽、脂肪族磺酸鹽和苯磺酸鹽)。活化時產生磺酸的化合物通常是合適的。較佳的熱酸產生劑包括對甲苯磺酸銨鹽和雜芳基磺酸鹽。
較佳的是,TAG係離子的,其中生成磺酸的反應方案如下所示:
Figure 02_image191
其中RSO 3 -係TAG陰離子且X +係TAG陽離子,較佳的是有機陽離子。陽離子可以是具有通式 (I) 的含氮陽離子: (BH) +(I) 其係含氮鹼B的單質子化形式。合適的含氮鹼B包括例如:視需要取代的胺,如氨、二氟甲基氨、C1-20烷基胺、以及C3-30芳基胺,例如含氮雜芳香族鹼,如吡啶或取代的吡啶(例如3-氟吡啶)、嘧啶和吡𠯤;含氮雜環基團,例如㗁唑、㗁唑啉、或噻唑啉。前述含氮鹼B可以是視需要取代的,例如被一個或多個選自烷基、芳基、鹵素原子(較佳的是氟)、氰基、硝基和烷氧基的基團取代。在該等中,鹼B較佳的是雜芳香族鹼。
鹼B典型地具有0至5.0、或0至4.0、或0至3.0、或1.0至3.0的pKa。如本文使用,術語「pK a」係根據其領域公認的含義使用的,即,pK a係在約室溫下,水溶液中的鹼性部分 (B) 的共軛酸 (BH) +的解離常數的負對數(以10為底)。在某些實施方式中,鹼B具有小於約170ºC、或小於約160ºC、150ºC、140ºC、130ºC、120ºC、110ºC、100ºC或90ºC的沸點。
示例性的合適的含氮陽離子 (BH) +包括NH 4 +、CF 2HNH 2 +、CF 3CH 2NH 3 +、(CH 3) 3NH +、(C 2H 5) 3NH +、(CH 3) 2(C 2H 5)NH +以及以下:
Figure 02_image193
Figure 02_image195
Figure 02_image197
Figure 02_image199
其中Y係烷基,較佳的是甲基或乙基。
修整組成物進一步包括包含一種或多種有機溶劑的基於有機物的溶劑系統。術語「基於有機物的」意指溶劑系統包括基於修整組成物的總溶劑大於50 wt%的有機溶劑、更典型地基於修整組成物的總溶劑大於90 wt%、大於95 wt%、大於99 wt%或100 wt%的有機溶劑。配製並澆鑄修整組成物的合適的溶劑材料應該對於修整組成物的非溶劑組分展現出良好的溶解度特性,而不會明顯溶解下面的光阻劑圖案,從而使與光阻劑圖案的混合最小化。
當待修整的光阻劑圖案由基於乙烯基芳香族化合物的聚合物(如典型的用於KrF和EUV光阻劑的含有苯乙烯或羥基苯乙烯單元的聚合物)形成時,溶劑系統較佳的是包含一種或多種非極性有機溶劑。較佳的是,溶劑系統係基於非極性有機物的。術語「基於非極性有機物的」意指溶劑系統包括基於修整組成物的總溶劑大於50 wt%的組合的非極性有機溶劑、更典型地基於修整組成物的總溶劑大於70 wt%、大於85 wt%或100 wt%的組合的非極性有機溶劑。非極性有機溶劑典型地以基於溶劑系統的70至98 wt%、較佳的是80至95 wt%、更較佳的是85至98 wt%的組合量存在於溶劑系統中。據信當處理基於乙烯基芳香族化合物的光阻劑圖案時,使用基於非極性有機物的溶劑系統可以提供低的頂部損失特性。如本文使用,「乙烯基芳香族化合物」意指由其中芳香族基團直接鍵合至乙烯基的單體形成的聚合單元,例如苯乙烯、羥基苯乙烯和乙烯基萘。「基於乙烯基芳香族化合物的聚合物」意指聚合物含有基於聚合物的總單元大於50莫耳%、更典型地基於聚合物的總單元60至100莫耳%或80至100莫耳%的乙烯基芳香族單元。
合適的非極性溶劑包括例如醚、烴及其組合,其中醚係較佳的。合適的醚溶劑包括例如烷基單醚和芳香族單醚,特別較佳的是具有6至16的總碳數的那些。合適的烷基單醚包括例如1,4-桉樹腦、1,8-桉樹腦、蒎烯氧化物、二正丙基醚、二異丙基醚、二正丁基醚、二正戊基醚、二異戊基醚、二己基醚、二庚基醚和二辛基醚,其中二異戊基醚係較佳的。合適的芳香族單醚包括例如苯甲醚、乙基苄基醚、二苯醚、二苄基醚和苯乙醚,其中苯甲醚係較佳的。合適的脂肪族烴包括例如正庚烷、2-甲基庚烷、3-甲基庚烷、3,3-二甲基己烷、2,3,4-三甲基戊烷、正辛烷、正壬烷、正癸烷以及氟化化合物如全氟庚烷。合適的芳香族烴包括例如苯、甲苯和二甲苯。
溶劑系統較佳的是進一步包含一種或多種醇和/或酯溶劑。對於某些修整組成物,醇和/或酯溶劑可以提供關於修整組成物的固體組分的增強的溶解度。合適的醇溶劑包括例如:直鏈、支鏈或環狀的C 4-9一元醇,如1-丁醇、2-丁醇、異丁醇、三級丁醇、3-甲基-1-丁醇、1-戊醇、2-戊醇、4-甲基-2-戊醇、1-己醇、1-庚醇、1-辛醇、2-己醇、2-庚醇、2-辛醇、3-己醇、3-庚醇、3-辛醇、4-辛醇、2,2,3,3,4,4-六氟-1-丁醇、2,2,3,3,4,4,5,5-八氟-1-戊醇、以及2,2,3,3,4,4,5,5,6,6-十氟-1-己醇;以及C 5- 9氟化二醇,如2,2,3,3,4,4-六氟-1,5-戊二醇、2,2,3,3,4,4,5,5-八氟-1,6-己二醇、以及2,2,3,3,4,4,5,5,6,6,7,7-十二氟-1,8-辛二醇。醇溶劑較佳的是C 4-9一元醇,其中4-甲基-2-戊醇係較佳的。合適的酯溶劑包括例如具有4至10的總碳數的烷基酯,例如丙酸烷基酯,如丙酸正丁酯、丙酸正戊酯、丙酸正己酯和丙酸正庚酯,以及丁酸烷基酯,如丁酸正丁酯、丁酸異丁酯和異丁酸異丁酯。如果用於溶劑系統,則一種或多種醇和/或酯溶劑典型地以基於溶劑系統2至50 wt%的組合量、更典型地以2至30 wt%的量存在。
溶劑系統可以包含一種或多種額外的溶劑,其選自例如以下中的一種或多種:酮,如2,5-二甲基-4-己酮和2,6-二甲基-4-庚酮;以及聚醚,如二丙二醇單甲醚和三丙二醇單甲醚。此類額外的溶劑(如果使用的話)典型地以基於溶劑系統1至20 wt%的組合量存在。
特別較佳的基於有機物的溶劑系統包含基於溶劑系統70至98 wt%的組合量的一種或多種單醚溶劑,以及基於溶劑系統2至30 wt%的組合量的一種或多種醇和/或酯溶劑。溶劑系統典型地以基於外塗層組成物90至99 wt%、較佳的是95至99 wt%的量存在於外塗層組成物中。
修整組成物可以進一步包含一種或多種額外的、視需要的組分,例如表面活性劑。典型的表面活性劑包括展現出兩親性質的那些,意指其可以同時係親水性的和疏水性的。兩親性表面活性劑具有一個或多個親水性頭基(其對於水具有強的親和力)以及一個長疏水尾(其係親有機性的且排斥水)。合適的表面活性劑可以是離子的(即陰離子、陽離子)或非離子的。表面活性劑的另外的實例包括矽酮表面活性劑、聚(氧化烯)表面活性劑、以及含氟化合物表面活性劑。合適的非離子表面活性劑包括但不限於辛基和壬基苯酚乙氧基化物,如TRITON® X-114、X-100、X-45、X-15,以及支鏈的二級醇乙氧基化物,如TERGITOL™ TMN-6(美國密西根州米德蘭的陶氏化學公司(Dow Chemical Company, Midland, Michigan USA))。還另外的示例性的表面活性劑包括醇(一級醇和二級醇)乙氧基化物、胺乙氧基化物、葡糖苷、葡糖胺、聚乙二醇、聚(乙二醇-共-丙二醇),或揭露於以下中的其他表面活性劑:新澤西州葛籣羅克(Glen Rock, N.J)的製造商康費納出版公司(Manufacturers Confectioners Publishing Co.)於2000年出版的北美版 McCutcheon's Emulsifiers and Detergents[麥卡琴乳化劑和清潔劑]。為炔二醇衍生物的非離子表面活性劑也可以是合適的。此類表面活性劑從賓夕法尼亞州亞蘭敦的空氣化工產品有限公司(Air Products and Chemicals, Inc. of Allentown, PA)可商購並且以商品名SURFYNOL®和DYNOL®出售。另外合適的表面活性劑包括其他聚合物化合物,如三嵌段EO-PO-EO共聚物PLURONIC® 25R2、L121、L123、L31、L81、L101和P123(巴斯夫公司(BASF, Inc.))。如果使用的話,此類表面活性劑和其他視需要的添加劑典型地以基於修整組成物的總固體如0.01至10 wt%的少量存在於組成物中。修整組成物較佳的是不含可能導致光阻劑圖案的尺寸增加的交聯劑和其他材料。
修整組成物可以按照已知程序製備。例如,可以藉由將組成物的固體組分溶解於溶劑組分來製備組成物。所希望的組成物的總固體含量將取決於如所希望的最終層厚度等因素。較佳的是,修整組成物的固體含量係基於組成物的總重量1至10 wt%、更較佳的是1至5 wt%。
圖案形成方法
現在將參照圖1A-H描述根據本發明之製程,該圖示出了根據本發明之圖案形成方法的示例性製程流程。雖然所示出的製程流程描述了一種圖案化製程,其中使用單個抗蝕劑掩模將光阻劑圖案轉移到下面的基底,但是應當清楚,該方法可以用於其他光刻製程,例如用於雙圖案化製程,如光刻-光刻-蝕刻(LLE),光刻-蝕刻-光刻-蝕刻(LELE)或自對準雙圖案化(SADP),如離子注入掩模,或者其中這種光阻劑圖案處理將是有益的任何其他光刻製程。
圖1A描繪了可以包括各種層和特徵的基底100之截面。基底可以是如半導體等材料,如矽或化合物半導體(例如,III-V或II-VI)、玻璃、石英、陶瓷、銅等。典型地,基底係半導體晶圓,如單晶矽或化合物半導體晶圓,並且可以具有在其表面上形成的一個或多個層以及圖案化特徵。可以在基底100上提供待圖案化的一個或多個層102。視需要,例如,當希望在基底材料中形成溝槽時,可以對下面的基礎基底材料本身進行圖案化。在對基礎基底材料本身進行圖案化的情況下,應該認為圖案係在基底的層中形成的。
該層可以包括例如一個或多個導電層,如鋁、銅、鉬、鉭、鈦、鎢,該等金屬的合金、氮化物或矽化物、摻雜非晶矽或摻雜多晶矽的層;一個或多個介電層,如氧化矽、氮化矽、氮氧化矽或金屬氧化物的層;半導體層,如單晶矽;以及其組合。待蝕刻的層可以藉由各種技術形成,例如化學氣相沈積(CVD),如電漿增強的CVD(PECVD)、低壓CVD(LPCVD)或外延生長,物理氣相沈積(PVD),如濺射或蒸發、或電鍍。待蝕刻的一個或多個層102的具體厚度將根據材料和形成的具體裝置變化。
根據待蝕刻的具體層、膜厚度和待使用的光刻材料和製程,可能希望在層102上佈置硬掩模層103和/或底部抗反射塗層(BARC)104,在其上塗覆光阻劑層106。例如,對於非常薄的抗蝕劑層,可能需要使用硬掩模層,其中待蝕刻的層需要顯著的蝕刻深度,和/或其中特定的蝕刻劑具有差的抗蝕劑選擇性。在使用硬掩模層的情況下,可以將待形成的抗蝕劑圖案轉移到硬掩模層103,進而可以將其用作用於蝕刻下面的層102的掩模。合適的硬掩模材料和形成方法係本領域已知的。典型的材料包括例如鎢、鈦、氮化鈦、氧化鈦、氧化鋯、氧化鋁、氧氮化鋁、氧化鉿、無定形碳、旋塗碳(SOC)、氮氧化矽和氮化矽。硬掩模層可以包括單個層或多個不同材料的層。硬掩模層可以例如藉由CVD、PVD或旋塗技術形成。
在基底和/或下層在光阻劑曝光期間會反射大量的入射輻射,使得形成的圖案的品質會受到不利影響的情況下,底部抗反射塗層可能是希望的。此類塗層可以改善聚焦深度、曝光寬容度、線寬均勻度和CD控制。在抗蝕劑曝光於深紫外輻射(300 nm或更小),例如KrF(248 nm)、ArF(193 nm)或EUV(13.5 nm)輻射的情況下,典型地使用抗反射塗層。抗反射塗層可以包括單個層或多個不同的層。合適的抗反射材料和形成方法係本領域已知的。抗反射材料係可商購的,例如由杜邦公司(DuPont)(威爾明頓,德拉瓦州,美國)以AR™商品名銷售的那些,如AR™3、AR™40A和AR™124抗反射材料。
光阻劑層106由光阻劑組成物形成,典型地由包含具有酸不穩定基團的聚合物、光酸產生劑和溶劑的化學增強的光敏組成物形成。合適的光阻劑組成物係本領域眾所周知的。較佳的是,光阻劑聚合物由選自乙烯基芳香族化合物(例如苯乙烯和羥基苯乙烯)、(甲基)丙烯酸酯、降莰烯、及其組合的單體形成。在較佳的方面,光阻劑聚合物係基於乙烯基芳香族化合物,其中聚合物中超過50莫耳%的聚合單元,典型地聚合物中超過80莫耳%的聚合單元由乙烯基芳香族單體形成。
將光阻劑層佈置在抗反射層104(如果存在)上的基底上。可以藉由旋塗、浸漬、輥塗或其他常規的塗覆技術將光阻劑組成物施加到基底上。其中,旋塗係典型的。對於旋塗,可以基於所用的特定塗覆設備、溶液的黏度、塗覆工具的速度和允許旋轉的時間量來調節塗覆溶液的固體含量以提供所希望的膜厚度。光阻劑層106的典型厚度係約500至3000 Å。
接下來,典型地將光阻劑層106軟烘烤以最小化該層中的溶劑含量,從而形成無黏性塗層並提高該層對基底的黏附性。軟烘烤可以在加熱板上或在烘箱中進行,其中加熱板係典型的。軟烘烤溫度和時間將取決於例如光阻劑的具體材料和厚度。典型的軟烘烤在約90ºC至150ºC的溫度下進行,並且時間為約30至90秒。
接下來,將光阻劑層106通過光掩模110曝光於活化輻射108,以在曝光區域與未曝光區域之間產生溶解度差異。本文提及的將光阻劑組成物曝光於使該組成物活化的輻射表明輻射能夠在光阻劑組成物中形成潛像。光掩模具有光學透明和光學不透明區域,分別對應於抗蝕劑層中的待藉由活化輻射曝光的和未曝光的區域。曝光波長典型地是400 nm以下、300 nm以下(如深UV(248 nm))、193 nm或EUV波長(例如13.5 nm)。在較佳的方面,曝光波長係深UV或EUV光刻。曝光能量典型地是約10至80 mJ/cm 2,這取決於例如曝光工具和光敏組成物的組分。
在光阻劑層106曝光之後,典型地進行曝光後烘烤(PEB)。PEB可以例如在加熱板上或在烘箱中進行。PEB的條件將取決於例如具體的光阻劑組成物和層厚度。PEB典型地是在約80ºC至150ºC的溫度下進行,並且時間為約30至90秒。由此形成由極性轉換和未轉換區域(分別對應於曝光和未曝光區域)之間的邊界限定的潛像。
接下來,將光阻劑層106顯影以除去該層的曝光區域,留下未曝光區域形成如圖1B所示的具有多個特徵的抗蝕劑圖案106’。該特徵不限於並且可以包括例如多個線、柱和/或接觸孔圖案,它們允許在待圖案化的下層中形成此類圖案。所形成的抗蝕劑圖案具有以L 1所示的初始尺寸、線圖案的線寬、柱圖案的柱直徑或接觸孔圖案的側壁寬度。
如圖1C所示,在光阻劑圖案106’上形成如本文描述的光阻劑圖案修整組成物的層112。典型地藉由旋塗將修整組成物施加到基底上。可以基於所用的特定塗覆設備、溶液的黏度、塗覆工具的速度和允許旋轉的時間量來調節塗覆溶液的固體含量以提供所希望的膜厚度。圖案修整組成物層112的典型厚度係典型地在未圖案化的基底上測量的200至1500 Å。
如圖1D所示,接下來,烘烤基底以除去修整組成物層中的溶劑。烘烤還使得修整組成物的酸擴散到抗蝕劑圖案106'的表面,以在抗蝕劑圖案表面區域114中引起極性改變反應。烘烤可以用加熱板或烘箱進行,其中加熱板係典型的。合適的烘烤溫度係大於50ºC,例如大於70ºC、大於90ºC、大於120ºC或大於150ºC,其中70ºC至160ºC的溫度和約30至90秒的時間係典型的。雖然單個烘烤步驟係典型的,但可以使用多步驟烘烤並且其可以用於抗蝕劑輪廓調整。
接下來,使光阻劑圖案與沖洗劑(典型地顯影溶液)接觸以除去殘留的修整組成物層112以及典型地還有光阻劑圖案的表面區域114,其中所得圖案106”在圖1E中示出。沖洗劑典型地是水性的鹼性顯影劑,例如氫氧化季銨溶液,例如四烷基氫氧化銨溶液,如0.26當量濃度(N)(2.38 wt%)四甲基氫氧化銨(TMAH)。沖洗劑可以進一步係水或包含水。所得結構在圖1E中示出。與修整處理之前的特徵尺寸相比,修整處理之後的抗蝕劑圖案具有更小的尺寸(L 2)。
使用抗蝕劑圖案106”作為蝕刻掩模,選擇性蝕刻BARC層104以形成暴露下面的硬掩模層103的BARC圖案104',如圖1F所示。接下來,選擇性蝕刻硬掩模層,再次使用抗蝕劑圖案作為蝕刻掩模,產生圖案化的BARC和硬掩模層103',如圖1G所示。用於蝕刻BARC層和硬掩模層的合適的蝕刻技術和化學品係本領域已知的並且將取決於例如該等層的具體材料。乾法蝕刻製程如反應性離子蝕刻係典型的。接下來,使用已知的技術,例如氧電漿灰化,將抗蝕劑圖案106”和圖案化的BARC層104'從基底除去。然後使用硬掩模圖案103’作為蝕刻掩模,選擇性蝕刻一個或多個層102。用於蝕刻下面的層102的合適的蝕刻技術和化學品係本領域已知的,其中乾法蝕刻製程如反應性離子蝕刻係典型的。接下來,可以使用已知技術,例如乾法蝕刻製程如反應性離子蝕刻或濕法剝離,將圖案化的硬掩模層103’從基底表面除去。所得結構係蝕刻特徵102'的圖案,如圖1H所示。在可替代的示例性方法中,可能希望的是使用光阻劑圖案106"對層102進行直接圖案化,而不使用硬掩模層103。是否可以採用使用抗蝕劑圖案進行直接圖案化將取決於如所關於的材料、抗蝕劑選擇性、抗蝕劑圖案厚度和圖案尺寸等因素。
以下非限制性實例說明本發明。
實例
聚合物合成
使用以下單體根據以下描述的程序合成聚合物:
Figure 02_image201
Figure 02_image203
Figure 02_image205
Figure 02_image207
Figure 02_image209
Figure 02_image211
Figure 02_image213
Figure 02_image215
Figure 02_image217
實例1(聚合物P1)
進料溶液係藉由將18.56 g丙二醇單甲醚乙酸酯(PGMEA)、20.0 g單體M1、20.0 g單體M4和1.44 g V-601自由基引發劑(和光化學公司(Wako Chemical Company))在容器中組合並且攪拌混合物以溶解組分來製備。將20 g PGMEA引入反應容器中並且將容器用氮氣吹掃30分鐘。接下來將反應容器在攪拌下加熱至95ºC。然後將進料溶液引入反應容器中並且在2小時的時間段內進料。將反應容器在攪拌下維持在95ºC下持續額外的三小時,並且然後使其冷卻至室溫。聚合物 (P1) 藉由將反應混合物逐滴添加到甲醇/水20/80(wt%)中沈澱、藉由過濾收集、並且在真空中乾燥以產生32 g固體(80%產率)。對於此實例和隨後的實例,重量平均分子量(Mw)和數目平均分子量(Mn)係藉由如藉由凝膠滲透層析法(GPC)測量的聚苯乙烯當量值確定的,並且多分散性計算為PDI = Mw/Mn。此聚合物合成實例和隨後的聚合物合成實例的聚合物中之單體比率和分子量結果在表1中示出。
實例2(聚合物P2)
進料溶液係藉由將18.56 g丙二醇單甲醚乙酸酯(PGMEA)、20.0 g單體M2、20.0 g單體M4和1.44 g V-601自由基引發劑(和光化學公司)在容器中組合並且攪拌混合物以溶解組分來製備。將20 g PGMEA引入反應容器中並且將容器用氮氣吹掃30分鐘。接下來將反應容器在攪拌下加熱至95ºC。然後將進料溶液引入反應容器中並且在2小時的時間段內進料。將反應容器在攪拌下維持在95ºC下持續額外的三小時,並且然後使其冷卻至室溫。聚合物 (P2) 藉由將反應混合物逐滴添加到甲醇/水25/75(wt%)中沈澱、藉由過濾收集、並且在真空中乾燥以產生31.5 g固體(78.75%產率)。
實例3(聚合物P3)
進料溶液係藉由將17.32 g丙二醇單甲醚乙酸酯(PGMEA)、15.0 g單體M3、15.0 g單體M4和1.42 g V-601自由基引發劑(和光化學公司)在容器中組合並且攪拌混合物以溶解組分來製備。將22 g PGMEA引入反應容器中並且將容器用氮氣吹掃30分鐘。接下來將反應容器在攪拌下加熱至95ºC。然後將進料溶液引入反應容器中並且在2小時的時間段內進料。將反應容器在攪拌下維持在95ºC下持續額外的三小時,並且然後使其冷卻至室溫。聚合物 (P3) 藉由將反應混合物逐滴添加到甲醇/水25/75(wt%)中沈澱、藉由過濾收集、並且在真空中乾燥以產生22 g固體(73.3%產率)。
實例4(聚合物P4)
進料溶液係藉由將23.20 g丙二醇單甲醚乙酸酯(PGMEA)、25.0 g單體M2、25.0 g單體M5和1.80 g V-601自由基引發劑(和光化學公司)在容器中組合並且攪拌混合物以溶解組分來製備。將25 g PGMEA引入反應容器中並且將容器用氮氣吹掃30分鐘。接下來將反應容器在攪拌下加熱至95ºC。然後將進料溶液引入反應容器中並且在2小時的時間段內進料。將反應容器在攪拌下維持在95ºC下持續額外的三小時,並且然後使其冷卻至室溫。聚合物 (P4) 藉由將反應混合物逐滴添加到甲醇/水25/75(wt%)中沈澱、藉由過濾收集、並且在真空中乾燥以產生42 g固體(84%產率)。
實例5(聚合物P5)
進料溶液係藉由將18.56 g丙二醇單甲醚乙酸酯(PGMEA)、28.0 g單體M1、12.0 g單體M6和1.44 g V-601自由基引發劑(和光化學公司)在容器中組合並且攪拌混合物以溶解組分來製備。將20 g PGMEA引入反應容器中並且將容器用氮氣吹掃30分鐘。接下來將反應容器在攪拌下加熱至95ºC。然後將進料溶液引入反應容器中並且在2小時的時間段內進料。將反應容器在攪拌下維持在95ºC下持續額外的三小時,並且然後使其冷卻至室溫。聚合物 (P5) 藉由將反應混合物逐滴添加到甲醇/水35/65(wt%)中沈澱、藉由過濾收集、並且在真空中乾燥以產生30.7 g固體(76.75%產率)。
實例6(聚合物P6)
進料溶液係藉由將18.56 g丙二醇單甲醚乙酸酯(PGMEA)、12.0 g單體M1、28.0 g單體M6和1.44 g V-601自由基引發劑(和光化學公司)在容器中組合並且攪拌混合物以溶解組分來製備。將20 g PGMEA引入反應容器中並且將容器用氮氣吹掃30分鐘。接下來將反應容器在攪拌下加熱至95ºC。然後將進料溶液引入反應容器中並且在2小時的時間段內進料。將反應容器在攪拌下維持在95ºC下持續額外的三小時,並且然後使其冷卻至室溫。聚合物 (P6) 藉由將反應混合物逐滴添加到甲醇/水15/85(wt%)中沈澱、藉由過濾收集、並且在真空中乾燥以產生32 g固體(80%產率)。
實例7(聚合物P7)
進料溶液係藉由將18.56 g丙二醇單甲醚乙酸酯(PGMEA)、16.0 g單體M2、20.0 g單體M4,、4.0 g單體M6和1.44 g V-601自由基引發劑(和光化學公司)在容器中組合並且攪拌混合物以溶解組分來製備。將20 g PGMEA引入反應容器中並且將容器用氮氣吹掃30分鐘。接下來將反應容器在攪拌下加熱至95ºC。然後將進料溶液引入反應容器中並且在2小時的時間段內進料。將反應容器在攪拌下維持在95ºC下持續額外的三小時,並且然後使其冷卻至室溫。聚合物 (P7) 藉由將反應混合物逐滴添加到甲醇/水25/75(wt%)中沈澱、藉由過濾收集、並且在真空中乾燥以產生33 g固體(82.5%產率)。
實例8(聚合物P8)
進料溶液係藉由將18.56 g丙二醇單甲醚乙酸酯(PGMEA)、16.0 g單體M1、20.0 g單體M4,、4.0 g單體M6和1.44 g V-601自由基引發劑(和光化學公司)在容器中組合並且攪拌混合物以溶解組分來製備。將20 g PGMEA引入反應容器中並且將容器用氮氣吹掃30分鐘。接下來將反應容器在攪拌下加熱至95ºC。然後將進料溶液引入反應容器中並且在2小時的時間段內進料。將反應容器在攪拌下維持在95ºC下持續額外的三小時,並且然後使其冷卻至室溫。聚合物 (P8) 藉由將反應混合物逐滴添加到甲醇/水25/75(wt%)中沈澱、藉由過濾收集、並且在真空中乾燥以產生30.5 g固體(76%產率)。
實例9(聚合物P9)
進料溶液係藉由將23.20 g丙二醇單甲醚乙酸酯(PGMEA)、20.0 g單體M2、25.0 g單體M4、5.0 g單體M7和1.80 g V-601自由基引發劑(和光化學公司)在容器中組合並且攪拌混合物以溶解組分來製備。將25 g PGMEA引入反應容器中並且將容器用氮氣吹掃30分鐘。接下來將反應容器在攪拌下加熱至95ºC。然後將進料溶液引入反應容器中並且在2小時的時間段內進料。將反應容器在攪拌下維持在95ºC下持續額外的三小時,並且然後使其冷卻至室溫。聚合物 (P9) 藉由將反應混合物逐滴添加到甲醇/水40/60(wt%)中沈澱、藉由過濾收集、並且在真空中乾燥以產生42 g固體(82%產率)。
實例10(聚合物CP1)
單體進料溶液係藉由將7.56 g 4-甲基-2-戊醇(MIBC)和40.50 g單體M4在容器中混合來製備。引發劑進料溶液係藉由將3.52 g V-601自由基引發劑(和光化學公司)和23.57 g MIBC在容器中組合並且攪拌混合物以溶解引發劑來製備。將14.85 g MIBC引入反應容器中並且將容器用氮氣吹掃30分鐘。接下來將反應容器在攪拌下加熱至88ºC。同時開始將單體進料溶液和引發劑進料溶液引入反應容器中。在1.5小時的時間段內進料單體進料溶液並且在2小時的時間段內進料引發劑進料溶液。將反應容器在攪拌下維持在88ºC下持續額外的3小時,並且然後使其冷卻至室溫。聚合物 (CP1) 藉由將反應混合物逐滴添加到庚烷中沈澱、藉由過濾收集、並且在真空中乾燥以產生30 g固體(74%產率)。
實例11(聚合物CP2)
單體進料溶液係藉由將6.13 g 4-甲基-2-戊醇(MIBC)、20.25 g單體M4和20.25 g單體M8在容器中混合來製備。引發劑進料溶液係藉由將7.13 g V-601自由基引發劑(和光化學公司)和21.39 g MIBC在容器中組合並且攪拌混合物以溶解引發劑來製備。將14.85 g MIBC引入反應容器中並且將容器用氮氣吹掃30分鐘。接下來將反應容器在攪拌下加熱至88ºC。同時開始將單體進料溶液和引發劑進料溶液引入反應容器中。在1.5小時的時間段內進料單體進料溶液並且在2小時的時間段內進料引發劑進料溶液。將反應容器在攪拌下維持在88ºC下持續額外的3小時,並且然後使其冷卻至室溫。聚合物 (CP2) 藉由將反應混合物逐滴添加到庚烷中沈澱、藉由過濾收集、並且在真空中乾燥以產生30 g固體(74%產率)。
實例12(聚合物CP3)
單體進料溶液係藉由將2.83 g丙二醇單甲醚(PGME)、27.20 g單體M6和4.80 g單體M8在容器中混合來製備。引發劑進料溶液係藉由將1.48 g Vazo-67自由基引發劑(E.I.內莫爾杜邦公司(E. I. du Pont de Nemours and Company))和19.69 g PGME在容器中組合並且攪拌混合物以溶解引發劑來製備。將24.00 g PGME引入反應容器中並且將容器用氮氣吹掃30分鐘。接下來將反應容器在攪拌下加熱至90ºC。同時開始將單體進料溶液和引發劑進料溶液引入反應容器中。在2小時的時間段內進料單體進料溶液並且在3小時的時間段內進料引發劑進料溶液。將反應容器在攪拌下維持在90ºC下持續額外的7小時,並且然後使其冷卻至室溫。聚合物 (CP3) 藉由將反應混合物逐滴添加到庚烷中沈澱、藉由過濾收集、並且在真空中乾燥以產生25 g固體(78%產率)。
[表1]
實例 聚合物 單體 A wt% 單體 B wt% 單體 C wt% Mw Da Mn Da PDI
實例1 P1 M1(50) M4(50) - 12750 5744 2.22
實例2 P2 M2(50) M4(50) - 10180 5698 1.78
實例3 P3 M3(50) M4(50) - 9261 6044 1.53
實例4 P4 M2(50) M5(50) - 11110 6306 1.76
實例5 P5 M1(70) M6(30) - 8146 4784 1.70
實例6 P6 M1(30) M6(70) - 9223 5590 1.65
實例7 P7 M2(40) M4(50) M6(10) 10698 5703 1.88
實例8 P8 M1(40) M4(50) M6(10) 12669 7226 1.75
實例9 P9 M2(40) M4(50) M7(10) 10784 5233 2.06
實例10(對比) CP1 M4(100) - - 13452 7844 1.72
實例11(對比) CP2 M4(50) M8(50) - 7840 4492 1.75
實例12(對比) CP3 M6(85) M8(15) - 14223 6189 2.3
熱酸產生劑( TAG )合成
實例13(TAG1)
將2,3-二氟吡啶(7.25 g,0.063 mol)添加到4-十二烷基苯磺酸(16.00 g,0.049 mol)在甲醇(250 mL)中的溶液中。將所得混合物在室溫下攪拌過夜。將所得反應混合物在減壓下濃縮以產生固體粗產物,然後將其用庚烷(300 mL)洗滌。將固體過濾並用甲基三級丁基醚(100 mL)洗滌以90%的產率產生酸產生劑TAG1。
Figure 02_image219
實例14(TAG2)
將3-氟吡啶(6.12 g,0.063 mol)添加到4-十二烷基苯磺酸(16.00 g,0.049 mol)在甲醇(250 mL)中的溶液中。將所得混合物在室溫下攪拌過夜。將所得反應混合物在減壓下濃縮以產生固體粗產物,然後將其用庚烷(300 mL)洗滌。將固體過濾並用甲基三級丁基醚(100 mL)洗滌以92%的產率產生酸產生劑TAG2。
Figure 02_image221
圖案修整組成物的製備
光阻劑圖案修整組成物(PTC)係藉由使用在表2中列出的材料和量將固體組分溶解在溶劑中來製備。將以14-30 g的規模製備的所得混合物在機械振盪器上振盪3至24小時並且然後通過具有0.2微米孔徑的PTFE盤狀過濾器過濾。
[表2]
實例 圖案修整 組成物 聚合物 wt% 酸或 TAG wt% 溶劑 B1 wt% 溶劑 B2 wt% 溶劑 B3 wt%
實例15 PTC-1 P1(1.76) TAG1(0.24) 88.20 9.80 -
實例16 PTC-2 P2(2.898) TAG2(0.102) 86.33 9.70 0.97
實例17 PTC-3 P3(2.88) A1(0.12) 87.30 9.70 -
實例18 PTC-4 P4(2.78) TAG2(0.10) 87.41 9.71 -
實例19 PTC-5 P5(2.91) TAG2(0.09) 87.30 9.70 -
實例20 PTC-6 P6(2.91) A1(0.14) 94.04 2.91 -
實例21 PTC-7 P7(2.91) TAG2(0.09) 92.15 4.85 -
實例22 PTC-8 P8(3.01) A1(0.08) 92.06 4.85 -
實例23 PTC-9 P9(2.90) TAG2(0.09) 87.31 9.70 -
實例24(對比) PTC-10 CP1(2.88) A1(0.12) 87.30 9.70 -
實例25(對比) PTC-11 CP2(3.00) - 87.30 9.70 -
實例26(對比) PTC-12 CP3(2.91) A1(0.09) 87.30 9.70 -
B1 = 二異戊基醚;B2 = 4-甲基-2-戊醇;B3 = 三丙二醇單甲醚;A1=4-十二烷基苯磺酸(金氏工業公司(King Industries, Inc.));以基於總的圖案修整組成物的重量百分比(wt%)提供所有量。
溶解度評價
實例27-38(有機溶劑中的聚合物溶解度)
將實例1-12的聚合物以基於總溶液10 wt%聚合物的量分別與異戊醚/4-甲基-2-戊醇(97/3重量比)結合。將溶液振盪2小時,並且藉由目測和使用濁度計(Orbeco-Hellige)二者檢測聚合物溶解度。如果溶液係視覺上清澈的且展現出<1 NTU的濁度,則認為聚合物可溶於基於醚的溶劑。結果在表3中示出,其中「是」或「否」分別指示聚合物在溶劑中可溶或不可溶。
實例39-50(沖洗劑中的膜溶解度)
在TEL Clean Track Act 8塗覆工具上,以1500 rpm的旋轉速度將實例15-26的圖案修整組成物各自塗覆在相應的8英吋矽晶圓上。將經塗覆的晶圓在100ºC的溫度下烘烤60秒至如藉由Therma-Wave Opti-Probe 5230度量工具測量的40 nm的乾燥膜厚度。然後用0.26 N TMAH溶液沖洗晶圓。在用沖洗劑處理之後,再次測量膜厚度。使用以下等式計算TMAH沖洗之前和之後的膜厚度變化(ΔFT): ΔFT = FT i- FT f其中FT i係TMAH沖洗之前的膜厚度,並且FT f係沖洗之後的膜厚度。結果在表3中示出,其中「是」或「否」分別指示膜在TMAH沖洗劑中可溶或不可溶。
[表3]
實例 聚合物 IAE/MIBC 97/3 )溶解度 實例 圖案修整 組成物 TMAH 溶解度
實例27 P1 實例39 PTC-1
實例28 P2 實例40 PTC-2
實例29 P3 實例41 PTC-3
實例30 P4 實例42 PTC-4
實例31 P5 實例43 PTC-5
實例32 P6 實例44 PTC-6
實例33 P7 實例45 PTC-7
實例34 P8 實例46 PTC-8
實例35 P9 實例47 PTC-9
實例36(對比) CP1 實例48(對比) PTC-10
實例37(對比) CP2 實例49(對比) PTC-11 N/A
實例38(對比) CP3 實例50(對比) PTC-12 N/A
光阻劑圖案修整組成物評價
圖案修整評價
在TEL Clean Track Act 8塗覆工具上,將塗覆有600 nm BARC層(AR™3抗反射,杜邦電子與成像公司(DuPont Electronics & Imaging))的8英吋矽晶圓用UV217光阻劑(杜邦電子與成像公司)旋塗,並且在130ºC下軟烘烤60秒以提供3550 Å的抗蝕劑層厚度。使用具有NA = 0.68,常規照明(0.75 σ)的Canon ES4 FPA 5000掃描器,使用具有雙特徵尺寸為140 nm 1 : 1的線和間隔圖案的掩膜曝光晶圓。在125ºC下將經曝光的晶圓曝光後烘烤60秒並且用0.26 N TMAH溶液顯影以形成具有140 nm 1 : 1線-間隔圖案(占空比 = 1 : 1)的光阻劑圖案。使用日立高科技公司(Hitachi High Technologies Co.)CG4000 CD-SEM進行所形成圖案的CD線寬測量以獲得初始CD值。
接下來,在TEL Clean Track Act 8塗覆工具上,以1500 rpm的旋轉速度在晶圓上塗覆400 Å的相應的圖案修整組成物,在表4中描述的溫度下烘烤60秒,用0.26 N TMAH水溶液沖洗30秒,用蒸餾水沖洗並且旋轉乾燥。然後對經處理的晶圓的抗蝕劑圖案進行CD測量以獲得最終的CD值。根據以下等式計算每個晶圓的經處理的圖案的CD變化(ΔCD): ΔCD = CD i- CD f其中CD f係圖案修整處理之後的平均CD測量值,並且CD i係圖案修整處理之前的平均CD測量值。結果在表4中示出。還用光學顯微鏡檢查晶圓以確定抗蝕劑圖案的線之間的間隔中是否留下任何殘餘物。
[表4]
實例 圖案修整 組成物 烘烤溫度( o C ΔCD nm 殘餘物
實例39 PTC-1 110 35.0
實例40 PTC-2 110 27.4
實例41 PTC-3 100 35.5
實例42 PTC-4 100 28.9
實例43 PTC-5 110 33.7
實例44 PTC-6 100 36.2
實例45 PTC-7 100 23.5
實例46 PTC-8 110 34.3
實例47 PTC-9 110 36.4
實例48(對比) PTC-10 80 -62.0
實例49(對比) PTC-11 N/A N/A N/A
實例50(對比) PTC-12 100 *
* 由於殘餘物的程度無法測量CD。
100:基底 102:層 102’:蝕刻特徵 103:硬掩模層 103’:硬掩模層/硬掩模圖案 104:抗反射層/BARC層 104’:BARC圖案/BARC層 106:光阻劑層 106’:抗蝕劑圖案/光阻劑圖案 106”:抗蝕劑圖案/光阻劑圖案/圖案 108:活化輻射 110:光掩模 112:圖案修整組合物層/修整組合物層 114:抗蝕劑圖案表面區域/光阻劑圖案表面區域
將參照以下附圖描述本發明,在附圖中相似的參考號表示相似特徵,並且在附圖中: [圖1A-1H]示出了用於形成根據本發明之圖案之示例性製程流程。
100:基底
102:層
103:硬掩模層
104:抗反射層/BARC層
106:光阻劑層
108:活化輻射
110:光掩模

Claims (12)

  1. 一種光阻劑圖案修整組成物,其包含: 聚合物,該聚合物包含含有酸可分解基團的單體作為聚合單元,該基團的分解在該聚合物上形成羧酸基團; 非聚合物酸或非聚合物熱酸產生劑;以及 包含一種或多種有機溶劑的基於有機物的溶劑系統。
  2. 如請求項1所述之光阻劑圖案修整組成物,其中,該酸可分解基團係三級烷基酯。
  3. 如請求項1所述之光阻劑圖案修整組成物,其中,該酸可分解基團係縮醛基團。
  4. 如請求項1至3中任一項所述之光阻劑圖案修整組成物,其中,該聚合物進一步包含含有以下基團的單體作為聚合單元:(i) 氟醇基團,或 (ii) 酸可分解基團,該基團的分解在該聚合物上形成氟醇基團。
  5. 如請求項1至4中任一項所述之光阻劑圖案修整組成物,其中,該聚合物進一步包含為未取代的C1-C10烷基(甲基)丙烯酸酯單體的單體作為聚合單元。
  6. 如請求項1至5中任一項所述之光阻劑圖案修整組成物,其中,含有酸可分解基團的單體的所有聚合單元的組合含量基於該聚合物的總聚合單元係30至100莫耳%,該基團的分解在該聚合物上形成羧酸基團。
  7. 如請求項1至6中任一項所述之光阻劑圖案修整組成物,其中,該聚合物不含酸基團。
  8. 如請求項1至7中任一項所述之光阻劑圖案修整組成物,其中,該基於有機物的溶劑系統包含單醚。
  9. 如請求項8所述之光阻劑圖案修整組成物,其中,該基於有機物的溶劑系統進一步包含醇和/或酯。
  10. 一種修整光阻劑圖案之方法,其包括: (a)   提供半導體基底; (b)   在該半導體基底上形成光阻劑圖案,其中該光阻劑圖案由包含光酸產生劑和含有酸可分解基團的聚合物的光阻劑組成物形成; (c)   將如請求項1至9中任一項所述之圖案修整組成物塗覆在該光阻劑圖案上; (d)   加熱經塗覆的光阻劑圖案;以及 (e)   用沖洗劑沖洗經塗覆並加熱的光阻劑圖案以除去該光阻劑圖案的表面區域。
  11. 如請求項10所述之方法,其中,該沖洗劑係四甲基氫氧化銨水溶液。
  12. 如請求項10或11所述之方法,其中,該光阻劑圖案藉由KrF或EUV光刻形成。
TW110135375A 2020-10-27 2021-09-23 光阻劑圖案修整組成物及修整光阻劑圖案之方法 TW202216809A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/081,258 US20220128906A1 (en) 2020-10-27 2020-10-27 Photoresist pattern trimming compositions and methods of trimming photoresist patterns
US17/081,258 2020-10-27

Publications (1)

Publication Number Publication Date
TW202216809A true TW202216809A (zh) 2022-05-01

Family

ID=81258271

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110135375A TW202216809A (zh) 2020-10-27 2021-09-23 光阻劑圖案修整組成物及修整光阻劑圖案之方法

Country Status (5)

Country Link
US (1) US20220128906A1 (zh)
JP (1) JP7461917B2 (zh)
KR (2) KR20220056117A (zh)
CN (1) CN114488694A (zh)
TW (1) TW202216809A (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013117701A (ja) 2011-12-05 2013-06-13 Tokyo Ohka Kogyo Co Ltd 微細パターン形成方法、及びパターン微細化用被覆形成剤
TWI510854B (zh) * 2011-12-31 2015-12-01 羅門哈斯電子材料有限公司 光阻劑圖案修整方法
US9541834B2 (en) * 2012-11-30 2017-01-10 Rohm And Haas Electronic Materials Llc Ionic thermal acid generators for low temperature applications
US10684549B2 (en) 2016-12-31 2020-06-16 Rohm And Haas Electronic Materials Llc Pattern-formation methods
US11506981B2 (en) * 2019-05-31 2022-11-22 Rohm And Haas Electronic Materials Llc Photoresist pattern trimming compositions and pattern formation methods

Also Published As

Publication number Publication date
CN114488694A (zh) 2022-05-13
JP2022070817A (ja) 2022-05-13
KR20220056117A (ko) 2022-05-04
KR20240016374A (ko) 2024-02-06
JP7461917B2 (ja) 2024-04-04
US20220128906A1 (en) 2022-04-28

Similar Documents

Publication Publication Date Title
TWI617611B (zh) 光致抗蝕劑圖案修整組合物及方法
TWI628520B (zh) 圖案修整方法
TWI775844B (zh) 圖案形成方法及光致抗蝕劑圖案外塗層組合物
TWI628159B (zh) 熱酸產生劑以及光阻劑圖案修整組合物及方法
KR102053624B1 (ko) 패턴 트리밍 조성물 및 방법
JP6608907B2 (ja) パターン形成方法
US11506981B2 (en) Photoresist pattern trimming compositions and pattern formation methods
TW202216809A (zh) 光阻劑圖案修整組成物及修整光阻劑圖案之方法
TWI834877B (zh) 光阻劑圖案修整組成物及圖案形成方法
JP7164563B2 (ja) フォトレジストパターントリミング組成物及びパターン形成方法