TW202212008A - 清潔高深寬比結構之方法及系統 - Google Patents

清潔高深寬比結構之方法及系統 Download PDF

Info

Publication number
TW202212008A
TW202212008A TW110134133A TW110134133A TW202212008A TW 202212008 A TW202212008 A TW 202212008A TW 110134133 A TW110134133 A TW 110134133A TW 110134133 A TW110134133 A TW 110134133A TW 202212008 A TW202212008 A TW 202212008A
Authority
TW
Taiwan
Prior art keywords
substrate
process gas
processing
volume
gas
Prior art date
Application number
TW110134133A
Other languages
English (en)
Inventor
紹芳 諸
愛羅安東尼歐C 桑契斯
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202212008A publication Critical patent/TW202212008A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • H01L21/02049Dry cleaning only with gaseous HF
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

本發明實施例大體上關於清潔基板表面的方法及系統。在一實施例中,提供處理基板的方法。此方法包括藉由將基板定位在基板支撐件上將基板引進至處理腔室的處理容積。此方法進一步包括將第一處理氣體流入處理容積中,第一處理氣體包括HF,將第二處理氣體流入處理容積中,第二處理氣體包括吡啶、吡咯、苯胺、或前述物的組合,及將基板暴露於第一處理氣體與第二處理氣體以在氧化物移除條件下從基板移除氧化物。在另一實施例中,提供一系統,此系統包括處理基板的處理腔室及致使在處理腔室中執行處理方法的控制器。

Description

清潔高深寬比結構之方法及系統
本發明的實施例大體上關於清潔基板表面的方法及系統。
當暴露於典型基板製造設備環境條件時,單晶矽與磊晶矽的表面易受到污染。例如,由於基板的搬運及/或暴露於基板處理設備中的周圍環境,在磊晶層的沉積之前,原生氧化物層可形成在單晶矽表面上。此外,諸如碳與氧物種之存在於周圍環境中的外來污染物可沉積在單晶表面上。單晶矽表面上的原生氧化物層及/或污染物的存在負面地影響隨後形成在單晶表面上的磊晶層的品質。因此,期望預清潔基板,以在基板上成長磊晶層之前移除表面氧化與其他污染物。
至少由於反應物必須到達特徵的底部以清潔特徵的理由,在高深寬比裝置特徵的底部處之矽表面上的原生氧化物的移除會是挑戰性的。即,當特徵的深寬比增加及開口變得更小,氧化物移除變得更加困難。此外,氧化物移除的可到達深度受到例如,瓶頸(bottling)所限制,其中隨著深寬比或深度增加,溝槽的底部夾止(pinch off)及深寬比相依的氧化物移除顯著地降低。例如,使用例如,HF/NH 3或NF 3/NH 3的習知乾式清潔處理在清潔處理期間於特徵中形成鹽類。此種鹽類夾止(pinch off)溝槽的底部,因而限制反應物到達高深寬比特徵的底部的能力。 為了緩和高寬比特徵的較緊密開口中的鹽類夾止,習知方法包括退火操作以移除鹽類—即,習知方法利用鹽類形成與退火的多次循環,造成低製造產量。
存在對於克服前述缺點的一或多者的清潔基板表面的新穎及改善方法的需求。
本發明的實施例大體上係關於清潔基板表面的方法及系統。
在一實施例中,提供處理基板的方法。此方法包括藉由將基板定位在基板支撐件上,將基板引進至處理腔室的處理容積。此方法進一步包括將第一處理氣體流入處理容積中,第一處理氣體包含HF,將第二處理氣體流入處理容積中,第二處理氣體包含吡啶、吡咯、苯胺、或前述物的組合,及將基板暴露於第一處理氣體與第二處理氣體以在氧化物移除條件下從基板移除氧化物。
在另一實施例中,提供一種處理基板的方法。此方法包括藉由將基板定位在基板支撐件上,將基板引進至處理腔室的處理容積。此方法進一步包括將第一處理氣體流入處理容積中,第一處理氣體包含HF,將第二處理氣體流入處理容積中,第二處理氣體包含吡啶、吡咯、苯胺、或前述物的組合,及將基板暴露於第一處理氣體與第二處理氣體以在氧化物移除狀態下從基板移除氧化物。氧化物移除狀態包括將基板支撐件維持在約–10°C至約80°C的溫度、將處理容積維持在約600托或更小的壓力、或前述狀態的組合。
在另一實施例中,提供一種系統。此系統包括處理基板的處理腔室,及致使在處理腔室中執行處理方法的控制器。此處理方法包括藉由將基板定位在基板支撐件上,將基板引進至處理腔室的處理容積,將第一處理氣體流入處理容積中,第一處理氣體包含HF,將第二處理氣體流入處理容積中,第二處理氣體包含吡啶、吡咯、苯胺、或前述物的組合,及將基板暴露於第一處理氣體與第二處理氣體以在氧化物移除狀態下從基板移除氧化物。
在另一實施例中,提供一種系統。此系統包括處理基板的處理腔室,及致使在處理腔室中執行處理方法的控制器。此處理方法包括藉由將基板定位在基板支撐件上,將基板引進至處理腔室的處理容積,將第一處理氣體流入處理容積中,第一處理氣體包含HF,將第二處理氣體流入處理容積中,第二處理氣體包含吡啶、吡咯、苯胺、或前述物的組合,及將基板暴露於第一處理氣體與第二處理氣體以在氧化物移除狀態下從基板移除氧化物。氧化物移除狀態包括將基板維持在約–10°C至約80°C的溫度、將處理容積維持在約600托或更小的壓力、或前述狀態的組合。
本發明的實施例大體上關於清潔基板表面的方法及系統。發明人已經發現帶有例如高正形性及相較於其他介電材料的高選擇性之移除諸如原生氧化物的氧化物之新穎及改善方法。簡言之,及在一些實例中,本文所述的方法利用氟化氫(HF)及含氮物種(諸如弱鹼性胺,諸如吡啶、吡咯、苯胺、或前述物的組合)以移除在高深寬比裝置特徵的一或多個表面上的非期望氧化物。
習知清潔處理受到鹽類形成所限制,此鹽類形成夾止(pinch off)溝槽的底部,抑制反應物到達溝槽底部處的氧化物。形成的鹽類接著藉由退火操作而昇華。作為一實例,一種典型清潔處理利用HF與NH 3,其在低溫(例如,小於20°C)形成(NH 4) 2SiF 6鹽水合物。此鹽水合物接著在大於100°C的溫度昇華。由於<20°C的鹽類形成及>100°C的退火的多次循環處理,此結果為低製造產量。此外,習知方法不會展現出最佳的選擇性。待清潔的表面相鄰於介電質,諸如間隔物及硬遮罩,此介電質不應受到清潔處理所損害或蝕刻。雖然習知清潔處理移除氧化物,但也會不期望地蝕刻諸如SiN及/或SiON側壁間隔物或其他特徵的介電質。
與習知處理相對比,本文所述方法為例如,無鹽類、高選擇性、高正形性,及對於清潔高深寬比特徵為有效的。再者,本文所述方法能夠以介電材料的最小損失而高產量清潔高深寬比特徵,例如,SiN或SiON側壁間隔物與硬遮罩。此外,本文所述方法能夠各向同性及正形清潔特徵,藉此除了在矽表面(100)上的原生氧化物之外,還移除側壁(110)矽表面上的原生氧化物。在清潔之後,完成的基板可用於進一步處理,諸如含Si及/或含Ge層的磊晶成長及/或化學氣相沉積。
在本文所述方法的操作期間若有任何的錯合物(例如,(C 5H 5N) 2SiF 4)形成的情況中,可使用低溫退火以移除錯合物。由於在處理期間形成的(C 5H 5N) 2SiF 4錯合物相較於習知處理所形成的例如(NH 4) 2SiF 6的鹽類在較低的溫度下昇華,此退火可執行在相較於習知處理更低的溫度下。此外,雖然(C 5H 5NH) 2SiF 6鹽會在本文所述方法的操作期間形成,此鹽相較於(C 5H 5N) 2SiF 4錯合物的形成慢上許多。即,錯合物形成比鹽形成處於支配地位。在例如(C 5H 5NH) 2SiF 6的鹽形成的情況中,可在大於或等於約165°C的溫度下移除此鹽。
在一些實例中,諸如吡啶(或無水吡啶)的含氮物種與HF(或無水HF)一道使用於真空下的SiO 2的各向同性乾式蝕刻。透過(C 5H 5NH) +及HF 2 物種,吡啶可催化SiO 2的HF轉換至SiF 4+H 2O及/或形成(C 5H 5N) 2SiF 4錯合物,其具有<80°C昇華溫度。不同於使用水、醇類、或NH 3以達成相對於其他介電質之高HF蝕刻選擇性的已知方法選項,本文所述方法避免溼式HF腐蝕、碳污染、及緩慢的>100°C之氟矽酸鹽退火循環。即使利用多循環的錯合物形成與退火,發明人觀察到相對於習知方法更快的產量。本文所述方法經由替代地形成(C 5H 5N) 2SiF 4錯合物,或經由可原本使用水或醇類催化劑的路徑,避免或至少緩和高熔化溫度鹽((C 5H 5NH) 2SiF 6)的產生,從而最小化HF腐蝕及碳污染,全部同時避免在高深寬比結構的底部處的原生氧化物清潔期間之選擇性降低及鹽類夾止問題。
第1A圖是根據本發明的至少一實施例之實例處理序列100的流程圖。在框102中,使用清潔處理從半導體基板表面移除氧化物。基板可包括含矽材料及表面可包括諸如矽(Si)、鍺(Ge)、或矽鍺合金(SiGe)的材料。在一些實施方式中,Si、Ge、或SiGe表面可具有氧化物層,諸如原生氧化物層,及安置在其上方的污染物。由於磊晶沉積處理對於氧化物及污染物(諸如含碳污染物)的敏感性,由暴露於典型潔淨室環境持續幾個小時而造成的表面污染會變得足夠顯著而積聚氧化物與污染物,以影響隨後形成的磊晶層的品質。
藉由執行氧化物移除處理及污染物移除處理可清潔基板表面。在一實施方式中,使用清潔處理從基板表面移除氧化物(框102),及使用例如還原處理從基板表面移除諸如含碳污染物的污染物(框103)。可被採用以執行本文所述清潔處理的實例處理腔室包括Clarion TM腔室,其可自加州聖克拉拉的應用材料公司取得。也可使用來自其他製造者的腔室。
在任選框103中,藉由從基板表面移除碳污染物可執行第二清潔處理。可在氧化物移除(框102)之前或之後,執行移除碳污染物(框103)的清潔處理。關於框103的操作可執行在與框102相關的彼等操作之相同處理腔室中或不同處理腔室中。在框102與框103的操作之後提供的是均勻地無氧化物與污染物的基板表面,其改善之後形成在基板表面上的層的品質。
在框104中,磊晶層形成在基板表面上。範例磊晶處理可為執行在小於約800°C,例如,約450°C至約650°C的溫度的選擇性磊晶處理。使用高溫化學氣相沉積(CVD)處理可形成磊晶層。磊晶層可為結晶矽、鍺、或矽鍺、或諸如III-V族化合物或II-VI族化合物的任何合適半導體材料。在一範例熱CVD處理中,諸如氯矽烷SiH xCl 4-x(單、二、三、四)、矽烷Si xH 2X+2(矽烷、二矽烷、三矽烷、等等)、鍺烷Ge xH 2x+2(鍺烷、二鍺烷、等等)、氯化氫HCl、氯氣Cl 2、或前述物的組合的處理氣體用以形成磊晶層。處理溫度為約800°C或更低,諸如從約300°C至約600°C,例如約450°C,及處理壓力為從約5托至約600托。在至少一實施例中,用以執行框104的操作之處理腔室可為膜形成腔室,諸如氣相磊晶沉積腔室,例如,可從加州聖克拉拉的應用材料公司取得的Epi腔室,其能夠形成結晶矽或矽鍺。在其他實施例中,用以執行框104的操作之處理腔室可為磊晶沉積腔室,諸如單基板處理腔室。在其他實施例中,處理腔室可為CVD或磊晶沉積處理腔室。可用以執行磊晶沉積處理的範例處理腔室為Centura TMEpi腔室,其可自加州聖克拉拉的應用材料公司取得。也可使用來自其他製造者的腔室。
在非限制實例中,框102的清潔處理(氧化物移除處理)可包括顯示在第1B圖的處理150的流程圖中的彼等操作。處理150包括在操作152將基板引進(例如,移送、運送、等等)至基板處理腔室的處理區。基板可藉由任何合適手段移送進入處理腔室。基板可包括含矽材料及表面可包括諸如矽(Si)、鍺(Ge)或矽鍺合金(SiGe)的材料。在一些實施方式中,Si、Ge、或SiGe表面可具有氧化物層,諸如原生氧化物層,及具有污染物安置在其上。
處理150進一步包括在操作154將一或多種處理氣體流入處理容積。處理氣體包括及/或由HF與一或多種含氮物種所形成,含氮物種諸如弱鹼性胺。含氮物種可從一或多種含氮化合物所形成。含氮化合物的非限制實例包括吡啶、吡咯、苯胺、或前述物的組合。可使用無水HF及/或無水含氮物種。
在一些實施例中,包含HF的第一處理氣體通過入口流入處理容積,及包含含氮物種的第二處理氣體通過相同或不同的入口流動。此外,或擇一地,包含HF與含氮物種的混合物的第一處理氣體流動通過第一入口,及包含含氮物種的第二處理氣體流動通過第二入口。在這些與其他實施例中,可調整含氮物種對於HF的比例。
在一些實施例中,第一處理氣體與第二處理氣體共伴流入處理容積。例如,包含HF的第一處理氣體及包含一或多種含氮物種的第二處理氣體共伴流入處理容積。此外,或擇一地,當第一處理氣體流入處理容積的同時,第二處理氣體間歇地流入處理容積,或反之亦然。
此外,或擇一地,包含HF的第一處理氣體及包含一或多種含氮物種的第二處理氣體可相繼地流入處理容積。例如,含氮物種流入處理容積,接著HF流入處理容積,然後含氮物種再次流入處理容積。作為另一實例,HF流入處理容積,接著含氮物種流入處理容積,然後HF再次流入處理容積。作為另一實例,HF與含氮物種共伴流入處理容積,接著停止含氮物種的流動,同時HF仍流入處理容積。在一特定時間期間,恢復含氮物種的流動。如之後所述,可使用一或多個安瓿、一或多個起泡器、及/或一或多個液體蒸發器,以提供HF、一或多種含氮物種、或前述物的組合至處理腔室。
處理150進一步包括在操作156於氧化物移除狀態下將基板暴露至處理氣體。氧化物移除處理對於氧化物層為高度正形及高度選擇性,因此不會迅速地蝕刻矽、鍺、或氮化物層,無論這些層是否為非晶、結晶、或多晶。在一些實施例中,氧化物移除處理之氧化物對於矽或鍺的選擇性為至少約10:1,諸如約30:1,諸如約50:1或更佳,諸如約100:1。在一些實施例中,氧化物移除處理也可為氧化物對於氮化物的高度選擇性。在非限制實例中,氧化物移除處理之氧化物對於氮化物的選擇性為至少約5:1,諸如約10:1或更佳,諸如約20:1。
在一些實施例中,在氧化物移除處理期間或在氧化物移除處理之後,可施加一數量的熱能量至基板以助於移除任何產生的副產物,諸如上述的錯合物及/或鹽類。在一些實施例中,經由輻射、對流、及/或傳導熱移送過程來提供熱能量,熱能量致使在基板表面上發現的不欲副產物昇華。
本文所述的實例處理的各種操作(例如,框102或處理150)可包括之後所述的一或多種處理參數。
在氧化物移除期間,基板的溫度可為約100°C或更小,諸如從約–20°C至約90°C,諸如從約–10°C至約80°C,諸如從約0°C至約60°C。在至少一實施例中及在氧化物移除期間,基板支撐件的溫度範圍從T 1至T 2(單位為°C),其中T 1與T 2各自獨立地為約–20、約–15、約–10、約–5、約0、約5、約10、約15、約20、約25、約30、約35、約40、約45、約50、約55、約60、約65、約70、約75、約80、約85、約90、約95、或約100,只要T 1< T 2。在較低溫度(例如低於約20°C)可改善選擇性,而在較高溫度可觀察到較高蝕刻速率。
吡啶的連續供給及吡啶對於HF的較高流動比例有利於在處理期間形成胺錯合物,例如,(C 5H 5N) 2SiF 4。基板的溫度可提升至約50°C或更高,諸如約60°C至約80°C,以在將基板暴露至處理氣體期間及/或在將基板暴露至處理氣體之後部分地或完全地昇華錯合物。基板溫度可在昇華溫度與更具選擇性的較冷蝕刻溫度之間循環。藉由將基板定位更加靠近此蓋以進行昇華及將基板定位更加遠離此蓋以進行選擇性蝕刻,基板溫度可例如調整在較冷基板支撐件溫度與處理腔室蓋的較熱溫度之間。若在處理期間形成鹽,例如,(C 5H 5NH) 2SiF 6,基板溫度可提升至從約120°C至約180°C,諸如從約150°C至約170°C,諸如從約155°C至約165°C。如先前所述,錯合物形成通常相較於鹽形成具有優勢,從而避免或緩和鹽類夾止及相對於習知處理降低用於基板清潔的溫度。
處理容積內的壓力可為約600托或更小,諸如約300托或更小,諸如約100托或更小,諸如約10托或更小。在至少一實施例中,處理容積內的壓力範圍從P 1至P 2(單位為托),其中P 1與P 2各自獨立地為約1、約2、約3、約4、約5、約6、約7、約8、約9、約10、約20、約30、約40、約50、約60、約70、約80、約90、約100、約150、約200、約250、約300、約350、約400、約450、約500、約550、或約600,只要P 1< P 2
HF進入處理容積的流率對於300 mm尺寸基板可為約每分鐘50標準立方公分(sccm)或更小,諸如從約1 sccm至約50 sccm,諸如從約2 sccm至約20 sccm。在至少一實施例中,HF進入處理容積的流率對於300 mm尺寸基板之範圍從流率 1至流率 2(單位為sccm),其中流率 1與流率 2各自獨立地為約1、約2、約3、約4、約5、約6、約7、約8、約9、約10、約11、約12、約13、約14、約15、約16、約17、約18、約19、約20、約21、約22、約23、約24、約25、約26、約27、約28、約29、約30、約31、約32、約33、約34、約35、約36、約37、約38、約39、約40、約41、約42、約43、約44、約45、約46、約47、約48、約49、或約50,只要流率 1<流率 2
一或多種含氮物種進入處理容積的流率對於300 mm尺寸基板可為約100 sccm或更小,諸如從約0.1 sccm至約250 sccm,諸如從約0.2 sccm至約100 sccm,諸如從約1 sccm至約50 sccm。在至少一實施例中,一或多種含氮物種進入處理腔室的流率對於300 mm尺寸基板之範圍從流率 3至流率 4(單位為sccm),其中流率 3與流率 4各自獨立地為約0.1、約0.2、約0.5、約1、約2、約3、約4、約5、約6、約7、約8、約9、約10、約11、約12、約13、約14、約15、約16、約17、約18、約19、約20、約21、約22、約23、約24、約25、約26、約27、約28、約29、約30、約31、約32、約33、約34、約35、約36、約37、約38、約39、約40、約41、約42、約43、約44、約45、約46、約47、約48、約49、或約50、約51、約52、約53、約54、約55、約56、約57、約58、約59、約60、約61、約62、約63、約64、約65、約66、約67、約68、約69、約70、約71、約72、約73、約74、約75、約76、約77、約78、約79、約80、約81、約82、約83、約84、約85、約86、約87、約88、約89、約90、約91、約92、約93、約94、約95、約96、約97、約98、約99、或約100,只要流率 3<流率 4
含氮物種對於HF的流率比例對於300 mm尺寸基板可為約0.1至約5,諸如從約0.2至約2,諸如從約0.5至約1.5。在至少一實施例中,含氮物種對於HF的流率比例對於300 mm尺寸基板之範圍從比例 1至比例 2,其中比例 1與比例 2各自獨立地為約0.1、約0.2、約0.3、約0.4、約0.5、約0.6、約0.7、約0.8、約0.9、約1.0、約1.1、約1.2、約1.3、約1.4、約1.5、約1.6、約1.7、約1.8、約1.9、約2.0、約2.1、約2.2、約2.3、約2.4、約2.5、約2.6、約2.7、約2.8、約2.9、約3.0、約3.1、約3.2、約3.3、約3.4、約3.5、約3.6、約3.7、約3.8、約3.9、約4.0、約4.1、約4.2、約4.3、約4.4、約4.5、約4.6、約4.7、約4.8、約4.9、或約5.0,只要比例 1< 比例 2
在一些實施例中,HF與含氮物種的混合物(例如,HF-吡啶)可通過入口遞送至處理容積,及含氮物種可通過相同或不同的入口遞送至處理容積。在至少一實施例中,遞送通過單一入口的HF/含氮物種混合物之範圍從混合物 1至混合物 2,其中混合物 1與混合物 2各自獨立地為約10%:90%、約20%:80%、約30%:70%、約40%:60%、約50%:50%、約60%:40%、約70%:30%、約80%:20%、或約90%:10%,只要混合物 1<混合物 2
在一些實施例中,非反應性處理氣體,諸如惰性氣體,諸如氦(He)、氖(Ne)、氬(Ar)、氪(Kr)、及/或氙(Xe),可與HF、含氮氣體、或HF及含氮氣體兩者使用。非反應性處理氣體可用作為基板處理期間的載氣及/或淨化氣體。非反應性處理氣體例如可助於在處理期間維持低水分壓,其助於緩和鹽類形成。
在關於框102與框103的處理之間或之後,及在執行104的層形成處理之前,也可執行任選的熱處理,以移除任何殘留副產物或污染物,及退火表面以移除任何表面缺陷。此熱處理可在例如氫氣氛(任選地包括諸如氬及/或氦的非反應性氣體)下執行,及可在從約400°C至約800°C的溫度及從約1托至約300托的壓力下執行。
第2A圖是根據本發明的至少一實施例之適以執行與框102及處理150相關的至少某些操作的實例處理腔室200的剖面視圖。處理腔室200因此用以從基板表面移除諸如氧化物的污染物。第2B圖是第2A圖的處理腔室200的一部分的放大視圖。
處理腔室200對於執行真空中的乾式熱蝕刻處理會是特別有用的。處理腔室200包括腔室主體212、蓋組件214、及支撐組件216。蓋組件214安置在腔室主體212的上端部,及支撐組件216至少部分地安置在腔室主體212內。真空系統可用以從處理腔室200移除氣體。真空系統包括耦接至真空埠221的真空泵218,真空埠221安置在腔室主體212中。處理腔室200也包括控制器202,用於控制處理腔室200內的處理。
蓋組件214包括設置以提供前驅物氣體至處理腔室200內的處理容積222的複數個堆疊部件。氣源252經由第一板220耦接至蓋組件214。氣源252可設置以提供諸如惰性氣體的非反應性氣體。非反應性氣體的例示非限制實例包括He、Ne、Ar、Kr及/或Xe、或其他非反應性氣體。
參照第2B圖,開口246容許氣體從氣源252流動至形成在蓋組件214的第二板250中的容積248。形成在第二板250中的中央導管270適以提供來自容積248的氣體通過第三板254至形成在蓋組件214的第四板268中的混合腔室266。中央導管270通過第三板254中的開口264連通混合腔室266。開口264可具有直徑小於、大於或相同於中央導管270的直徑。在第2B圖的實施例中,開口264具有與中央導管270相同或實質上相同的直徑。
第二板250也包括設置以提供氣體至混合腔室266的複數個入口256與258。入口256耦接至第一氣源260及入口258耦接至第二氣源262。第一氣源260與第二氣源262可含有處理氣體及非反應性氣體,例如,諸如氬及/或氦的惰性氣體,用於作為載氣。第一氣源260可含有含氮物種(例如,吡啶)。第二氣源262可含有含氟氣體及含氫氣體。在一實例中,第二氣源262可含有氟化氫(HF)。第一氣源260及/或第二氣源262可含有一或多種非反應性氣體。
第一氣源260及/或第二氣源262可包括一或多個安瓿、一或多個起泡器、及/或一或多個液體蒸發器,設置以提供處理氣體。例如,在使用液體前驅物(例如,吡啶及/或HF/吡啶的混合物)的情況中,第一氣源260及/或第二氣源262可包括與液體前驅物源(未示出)流體連通的液體蒸發器。液體蒸發器可用於蒸發將被遞送至蓋組件214的液體前驅物。儘管未示出,料想到液體前驅物源可包括例如前驅物液體及溶劑液體的一或多個安瓿、關斷閥、及液體流量計(LFM)。作為液體蒸發器的替代物,起泡器可用以遞送液體前驅物至腔室。在此種情況中,液體前驅物的安瓿經由起泡器連接至腔室的處理容積。
如第2B圖所示,在一些實施例中,入口256經由圓筒通道259(顯示為虛線)及形成在第三板254中的複數個孔洞265而耦接至混合腔室266。入口258經由圓筒通道257(顯示為虛線)及形成在第三板254中的複數個孔洞267而耦接至混合腔室266。形成在第三板254中的孔洞265、267的尺寸大體被規定成使得其能夠均勻地流動氣體(由其個別的氣源260、262所提供)進入混合腔室266。在一配置中,孔洞267具有直徑小於藉由形成在第二板250中的圓筒通道257的相對側壁所界定的開口的寬度。孔洞267通常分布環繞圓筒通道257的中央線的周圍,以提供均勻流體流動進入混合腔室266。在一配置中,孔洞265具有直徑小於藉由形成在第二板250中的圓筒通道259的相對側壁所界定的開口的寬度。孔洞265通常分布環繞圓筒通道259的中央線的周圍,以提供均勻流體流動進入混合腔室266。
入口256與258提供個別流體流動路徑,此等流體流動路徑橫向地通過第二板250,轉向及穿過通過第三板254至混合腔室266。蓋組件214也包括第五板或第一氣體分配器272,其可為氣體分配板,諸如噴淋頭,在蓋組件214中混合的各種氣體在此流動通過形成在第五板或第一氣體分配器272中的穿孔274。穿孔274與混合腔室266流體連通以提供從混合腔室266通過第一氣體分配器272的流動路徑。回頭參照第2A圖,阻隔板228與氣體分配板(諸如第二氣體分配器230,其可為氣體分配板,諸如噴淋頭),安置在蓋組件214之下。
支撐組件216可包括基板支撐件232以在處理期間於其上方支撐基板210。基板支撐件232藉由軸件236可耦接至致動器234,軸件236延伸通過形成在腔室主體212的底部中的中央定位開口。致動器234藉由波紋管(未示出)可彈性密封至腔室主體212,波紋管防止環繞軸件236的真空洩漏。致動器234容許基板支撐件232在腔室主體212內於處理位置與載入位置之間垂直地移動。載入位置稍微低於形成在腔室主體212的側壁中的隧道(未示出)的開口。
基板支撐件232具有平坦或實質上平坦的基板支撐表面,用於支撐將在其上方處理的基板。基板支撐件232藉由致動器234可在腔室主體212內垂直地移動,致動器234藉由軸件236耦接至基板支撐件232。對於一些操作,基板支撐件232可升高至緊鄰於蓋組件214的位置,以控制被處理的基板210的溫度。因此,基板210可經由第二氣體分配器230或另一輻射源放射的輻射而加熱,或藉由從第二氣體分配器230通過的中介氣體的對流或傳導而加熱。在一些處理步驟中,基板可安置在升舉銷251上,以執行額外熱處理步驟,諸如執行退火步驟。
第2C圖是第2A圖的基板支撐件232的放大剖面視圖。基板支撐件232包括與流體供給導管241及流體返回導管243流體連通的熱控制氣室235。穿過軸件236安置流體供給導管241及流體返回導管243各者。藉由循環冷卻流體通過流體供給導管241,進入熱控制氣室235,及離開通過流體返回導管243,熱控制氣室235可為用於基板支撐件232的冷卻特徵。
基板支撐件232也可包括複數個加熱器。在此實施例中,複數個加熱器包括第一加熱器237與第二加熱器239。第一加熱器237與第二加熱器239安置在基板支撐件232內的一位置處成實質上共平面的關係,以使得加熱器與基板支撐表面之間能夠熱耦接。第一加熱器237安置在基板支撐件232的周圍,而第二加熱器239安置在基板支撐件232的中央區域,以提供區域溫度控制。第一加熱器237與第二加熱器239各者可為電阻加熱器,其藉由個別的電導線249與247而耦接至一或多個電源(未示出),穿過軸件236安置電導線249與247各者。
在操作中,藉由熱控制氣室235、第一加熱器237、與第二加熱器239的同時操作,可提供溫度控制。熱控制氣室235如上所述可供給冷卻流體,及功率可提供至第一加熱器237與第二加熱器239作為電阻加熱器。以此方式,可調節單獨的控制電路以提供對於一項目的快速反應,例如第一加熱器237與第二加熱器239,及對於熱控制氣室235的較緩慢反應,或反之亦然。至少,可施加不同控制參數至熱控制氣室235、第一加熱器237、與第二加熱器239,以完成最佳化區域溫度控制系統。
如第2C圖所示,單獨的升舉構件245可被包括在支撐組件216中。在基板支撐表面中可提供凹部以當基板安放在支撐支撐表面上時容納構件245的升舉銷251。升舉構件245可藉由安置通過軸件236的升舉構件245的延伸而耦接至升舉致動器255。升舉致動器可將升舉構件245垂直地移動,以將基板升舉離開基板支撐表面朝向第一氣體分配器272。升舉構件245可為環箍,諸如開放環箍或封閉環箍,其可為U形、圓形、馬蹄形、或任何便利形狀。升舉構件245具有厚度以當升舉基板時提供結構強度。在一實例中,升舉構件由陶瓷材料所製成且為約1 mm厚。
接下來的說明性但非限制實例並不意於限制本發明的實施例的範疇。 實例
在第2A圖的處理腔室200中可執行第1B圖的實例處理150與第1A圖的框102所繪示的氧化物移除處理。藉由將基板定位在基板支撐件232上而將基板定位在處理容積222中。吡啶經路由從第一氣源260通過入口256,及HF經路由從第二氣源262通過入口258。HF以2~20 sccm流動通過入口258,及吡啶以HF的流率的約0.2倍~2倍的流率流動通過入口256。在蝕刻期間,基板維持在從約–10°C至約15°C的溫度,帶有或沒有(C 5H 5N) 2SiF 4錯合物形成。藉由例如使溫度控制流體通過熱控制氣室235的路徑,基板維持在此溫度。基板支撐件232可被施加功率以提供徑向溫度控制。腔室維持在約600托或更小的壓力,及基板經處理持續適於將基板表面上的所有期望氧化物轉換成揮發SiF 4或其胺錯合物的時間,例如,100秒。若期望退火,則退火溫度可為約80°C或更小。
在某些實施例中,可改進用於蝕刻/清潔的現存腔室。例如,NH 3、水、及/或醇類線路在使用HF的腔室中可被吡啶線路所取代,諸如Clarion™腔室。可為無水的吡啶可使用起泡器來遞送,及可為無水的HF可經由設備氣體線路來遞送,或作為起泡器中的HF-吡啶混合物(例如,HF ~70%,吡啶 ~30%)。
本文所述方法能夠移除高深寬比裝置特徵的一或多個表面上的非期望氧化物。本文所述方法是無鹽類、高度選擇性、及賦能具有介電材料的最小損失的高深寬比特徵的高產量清潔。此外,本文所述方法能夠各向同性及正形清潔特徵。相較於當前最新技術方法,本文所述方法最小化HF腐蝕及碳污染,全部同時避免在高深寬比結構的底部處的原生氧化物清潔期間之選擇性降低及鹽類夾止問題。
在前述內容中,參照本發明的實施例。然而,應理解到本發明並不侷限於具體說明的實施例。而是,料想到接下來的特徵與元件的任何組合,無論是否關於不同的實施例,以實施與實行本發明。再者,雖然本發明的實施例相較於其他可能的方案及/或先前技術可達成優點,然而不論給定實施例是否達到特定優點皆不限制本發明。因此,前述的態樣、特徵、實施例及優點僅為示例且不當作隨附申請專利範圍的元素或限制,除非在申請專利範圍中明確地敘明。同樣地,參照「本發明」不應解讀為本文所揭示的任何進步性標的的概括且不應當作隨附申請專利範圍的元素或限制,除非在申請專利範圍中明確地敘明。
為了本發明,及除非另外指明,否則在本文實施方式及申請專利範圍內的所有數值藉由「約」或「大約」此指明值而被修飾,及考慮到本領域的通常知識者所預想到的實驗誤差與變動。為了簡潔,本文僅明確揭示特定範圍。然而,來自任何下限值的範圍可與任何上限值組合以描述未明確敘明的範圍,以及來自任何下限值的範圍可與任何其他下限值組合以描述未明確敘明的範圍,以相同方式,來自任何上限值的範圍可與任何其他上限值組合以描述未明確敘明的範圍。此外,即使未明確敘明,在一範圍內包括在其端點之間的每個點或個別數值。因此,每個點或個別數值可作為其所擁有的下限或上限值而與任何其他點或個別數值或任何其他下限或上限值組合,以描述未明確敘明的範圍。
在此使用時,不定冠詞「一(a)」或「一(an)」應意指「至少一個」,除非明確地表示為相反或上下文清楚地指明並非如此。
儘管前述內容關於本發明的實施例,在不背離本發明的基本範疇下可構想到本發明的其他與進一步實施例,及本發明的範疇由之後的申請專利範圍所界定。
100:處理序列 102,103,104:框 150:處理 152,154,156:操作 200:處理腔室 202:控制器 210:基板 212:腔室主體 214:蓋組件 216:支撐組件 218:真空泵 220:第一板 221:真空埠 222:處理容積 228:阻隔板 230:第二氣體分配器 232:基板支撐件 234:致動器 235:熱控制氣室 236:軸件 237:第一加熱器 239:第二加熱器 241:流體供給導管 243:流體返回導管 245:升舉構件 246:開口 247:電導線 248:容積 249:電導線 250:第二板 251:升舉銷 252:氣源 254:第三板 255:升舉致動器 256:入口 257:圓筒通道 258:入口 259:圓筒通道 260:第一氣源 262:第二氣源 264:開口 265:孔洞 266:混合腔室 267:孔洞 268:第四板 270:中央導管 272:第一氣體分配器 274:穿孔
藉由參照實施例,其中一些實施例繪示在隨附圖式中,可獲得簡短總結於上之本發明的更具體的說明,而可詳細理解本發明的上述特徵所用方式。然而,將注意到隨附圖式僅繪示本發明的範例實施例且因而不當作限制本發明的範疇,因為本發明可應用於其他等效實施例。
第1A圖是根據本發明的至少一實施例之實例處理序列100的流程圖。
第1B圖是根據本發明的至少一實施例之處理基板的實例方法的流程圖。
第2A圖是根據本發明的至少一實施例之用以執行第1A~1B圖的一或多個操作的實例處理腔室的剖面視圖。
第2B圖是根據本發明的至少一實施例之第2A圖的處理腔室的一部分的放大視圖。
第2C圖是根據本發明的至少一實施例之實例基板支撐件的放大剖面視圖。
為了易於理解,儘可能已使用相同的元件符號指稱圖示中共有的相同元件。料想到一實施例的元件與特徵可有利地併入其他實施例中而無需進一步說明。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
150:處理
152,154,156:操作

Claims (20)

  1. 一種處理一基板的方法,包含: 藉由將一基板定位在一基板支撐件上,將該基板引進至一處理腔室的一處理容積; 將一第一處理氣體流入該處理容積中,該第一處理氣體包含HF; 將一第二處理氣體流入該處理容積中,該第二處理氣體包含吡啶、吡咯、苯胺、或前述物的一組合;及 將該基板暴露於該第一處理氣體與該第二處理氣體,以在氧化物移除狀態下從該基板移除氧化物。
  2. 如請求項1所述之方法,其中該第一處理氣體與該第二處理氣體共伴流入該處理容積中。
  3. 如請求項1所述之方法,其中當該第一處理氣體流入該處理容積中時,該第二處理氣體間歇地流入該處理容積中。
  4. 如請求項1所述之方法,進一步包含藉由一氣相磊晶處理在該基板上形成一膜。
  5. 如請求項1所述之方法,進一步包含當從該基板移除氧化物時冷卻該基板。
  6. 如請求項1所述之方法,進一步包含在暴露於該等處理氣體之後或在暴露於該處理氣體之間時,加熱該基板至約50°C至約80°C的一溫度。
  7. 如請求項1所述之方法,進一步包含在從該基板移除氧化物的至少一部分之後,在該基板上執行一熱處理製程,該熱處理製程包含: 將一惰性氣體流入該處理腔室中;及 將該基板維持在約400°C或更高的一溫度。
  8. 如請求項1所述之方法,其中該氧化物移除狀態包含: 將該基板維持在從約–10°C至約80°C的一溫度; 將該處理容積維持在約600托或更小的一壓力;或 前述狀態的一組合。
  9. 如請求項1所述之方法,其中該氧化物移除狀態包含: 將該基板維持在從約0°C至約60°C的一溫度; 將該處理容積維持在約10托或更小的一壓力;或 前述狀態的一組合。
  10. 如請求項1所述之方法,其中: 該第一處理氣體進入該處理容積的一流率對於一300 mm尺寸的基板為約1 sccm至約50 sccm; 該第二處理氣體對於該第一處理氣體的一流率比例為約0.1至約5;或 前述狀態的一組合。
  11. 如請求項1所述之方法,其中: 該第一處理氣體進入該處理容積的一流率對於一300 mm尺寸的基板為約2 sccm至約20 sccm; 該第二處理氣體對於該第一處理氣體的一流率比例為約0.2至約2;或 前述狀態的一組合。
  12. 一種系統,包含: 一處理腔室,該處理腔室處理一基板;及 一控制器,該控制器致使在該處理腔室中執行一處理方法,該處理方法包含: 藉由將該基板定位在一基板支撐件上,將該基板引進至該處理腔室的一處理容積; 將一第一處理氣體流入該處理容積中,該第一處理氣體包含HF; 將一第二處理氣體流入該處理容積中,該第二處理氣體包含吡啶、吡咯、苯胺、或前述物的一組合;及 將該基板暴露於該第一處理氣體與該第二處理氣體,以在氧化物移除狀態下從該基板移除氧化物。
  13. 如請求項12所述之系統,其中該氧化物移除狀態包含: 將該基板維持在從約–10°C至約80°C的一溫度; 將該處理容積維持在約600托或更小的一壓力;或 前述狀態的一組合。
  14. 如請求項12所述之系統,其中該氧化物移除狀態包含: 將該基板維持在從約0°C至約60°C的一溫度; 將該處理容積維持在約10托或更小的一壓力;或 前述狀態的一組合。
  15. 如請求項12所述之系統,其中: 該第一處理氣體進入該處理容積的一流率對於一300 mm尺寸的基板為約1 sccm至約50 sccm; 該第二處理氣體對於該第一處理氣體的一流率比例為約0.1至約5;或 前述狀態的一組合。
  16. 如請求項12所述之系統,其中: 該第一處理氣體進入該處理容積的一流率對於一300 mm尺寸的基板為約2 sccm至約20 sccm; 該第二處理氣體對於該第一處理氣體的一流率比例為約0.2至約2;或 前述狀態的一組合。
  17. 如請求項12所述之系統,進一步包含在暴露於該等處理氣體之後或在暴露於該處理氣體之間時,加熱該基板至約50°C至約80°C的一溫度。
  18. 一種系統,包含: 一處理腔室,該處理腔室處理一基板;及 一控制器,該控制器致使在該處理腔室中執行一處理方法,該處理方法包含: 藉由將該基板定位在一基板支撐件上,將該基板引進至該處理腔室的一處理容積; 將一第一處理氣體流入該處理容積中,該第一處理氣體包含HF; 將一第二處理氣體流入該處理容積中,該第二處理氣體包含吡啶、吡咯、苯胺、或前述物的一組合;及 將該基板暴露於該第一處理氣體與該第二處理氣體,以在氧化物移除狀態下從該基板移除氧化物,該氧化物移除狀態包含: 將該基板維持在從約–10°C至約80°C的一溫度; 將該處理容積維持在約600托或更小的一壓力;或 前述狀態的一組合。
  19. 如請求項18所述之系統,其中該氧化物移除狀態包含: 將該基板維持在從約0°C至約60°C的一溫度; 將該處理容積維持在約10托或更小的一壓力; 該第一處理氣體進入該處理容積的一流率對於一300 mm尺寸的基板為約2 sccm至約20 sccm; 該第二處理氣體對於該第一處理氣體的一流率比例為約0.1至約5;或 前述狀態的一組合。
  20. 如請求項19所述之系統,其中該第二處理氣體對於該第一處理氣體的該流率比例為約0.2至約2。
TW110134133A 2020-09-18 2021-09-14 清潔高深寬比結構之方法及系統 TW202212008A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/025,669 US11605544B2 (en) 2020-09-18 2020-09-18 Methods and systems for cleaning high aspect ratio structures
US17/025,669 2020-09-18

Publications (1)

Publication Number Publication Date
TW202212008A true TW202212008A (zh) 2022-04-01

Family

ID=80740777

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110134133A TW202212008A (zh) 2020-09-18 2021-09-14 清潔高深寬比結構之方法及系統

Country Status (5)

Country Link
US (2) US11605544B2 (zh)
KR (1) KR20220156929A (zh)
CN (1) CN115552567A (zh)
TW (1) TW202212008A (zh)
WO (1) WO2022060494A1 (zh)

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6579730B2 (en) 2001-07-18 2003-06-17 Applied Materials, Inc. Monitoring process for oxide removal
US20050217799A1 (en) 2004-03-31 2005-10-06 Tokyo Electron Limited Wafer heater assembly
US7695765B1 (en) * 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
US20070020890A1 (en) 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
JP2009512194A (ja) 2005-10-05 2009-03-19 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド ポストエッチング残渣を除去するための酸化性水性洗浄剤
JP2009515055A (ja) 2005-11-09 2009-04-09 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 低k誘電体材料をその上に有する半導体ウェハをリサイクルするための組成物および方法
US7658802B2 (en) 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
US7494545B2 (en) 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
KR101074186B1 (ko) 2006-04-07 2011-10-14 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 필름 형성을 위한 클러스터 툴
US8084367B2 (en) 2006-05-24 2011-12-27 Samsung Electronics Co., Ltd Etching, cleaning and drying methods using supercritical fluid and chamber systems using these methods
US7651948B2 (en) 2006-06-30 2010-01-26 Applied Materials, Inc. Pre-cleaning of substrates in epitaxy chambers
JP4801522B2 (ja) 2006-07-21 2011-10-26 株式会社日立ハイテクノロジーズ 半導体製造装置及びプラズマ処理方法
US20080118663A1 (en) 2006-10-12 2008-05-22 Applied Materials, Inc. Contamination reducing liner for inductively coupled chamber
US20080206987A1 (en) 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US7670952B2 (en) 2007-03-23 2010-03-02 Texas Instruments Incorporated Method of manufacturing metal silicide contacts
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
US20090253268A1 (en) * 2008-04-03 2009-10-08 Honeywell International, Inc. Post-contact opening etchants for post-contact etch cleans and methods for fabricating the same
US20100317198A1 (en) 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces
US20110061810A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
SG10201505535VA (en) 2010-07-16 2015-09-29 Entegris Inc Aqueous cleaner for the removal of post-etch residues
CN103098177A (zh) 2010-08-04 2013-05-08 应用材料公司 从衬底表面去除污染物与原生氧化物的方法
US9089007B2 (en) 2012-04-27 2015-07-21 Applied Materials, Inc. Method and apparatus for substrate support with multi-zone heating
US20140011339A1 (en) 2012-07-06 2014-01-09 Applied Materials, Inc. Method for removing native oxide and residue from a germanium or iii-v group containing surface
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
KR20210047971A (ko) 2013-08-09 2021-04-30 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 성장 이전에 기판 표면을 사전 세정하기 위한 방법 및 장치
US9543163B2 (en) 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
US20160126134A1 (en) 2014-10-29 2016-05-05 Applied Materials, Inc. Systems and methods for removing contamination from seed layer surface
US20160138161A1 (en) 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US20170018423A1 (en) 2015-07-15 2017-01-19 Oem Group, Inc. Apparatus and Method for Processing the Surface of a Workpiece Comprised of Sensitive Materials with an Ozone and Carbon Dioxide Treating Fluid
TWI782220B (zh) 2015-09-22 2022-11-01 美商應用材料股份有限公司 清洗方法
WO2017199570A1 (ja) * 2016-05-20 2017-11-23 株式会社日立国際電気 クリーニング方法、半導体装置の製造方法、基板処理装置およびプログラム
US11164737B2 (en) * 2017-08-30 2021-11-02 Applied Materials, Inc. Integrated epitaxy and preclean system
TWI736966B (zh) * 2018-09-13 2021-08-21 日商中央硝子股份有限公司 矽氧化物之蝕刻方法及蝕刻裝置

Also Published As

Publication number Publication date
CN115552567A (zh) 2022-12-30
KR20220156929A (ko) 2022-11-28
US11605544B2 (en) 2023-03-14
WO2022060494A1 (en) 2022-03-24
US20230170228A1 (en) 2023-06-01
US20220093418A1 (en) 2022-03-24

Similar Documents

Publication Publication Date Title
TWI820036B (zh) 與高選擇性氧化物移除及高溫汙染物移除整合的磊晶系統
US10837122B2 (en) Method and apparatus for precleaning a substrate surface prior to epitaxial growth
JP6272934B2 (ja) エピタキシャル堆積プロセス及び装置
JP7348975B2 (ja) 一体化されたエピタキシと予洗浄システム
JP2010219494A (ja) 縦型熱処理装置及び熱処理方法
JP2013243193A (ja) 半導体装置の製造方法
JP2014510397A (ja) インシトゥ水酸化システム
KR20140128250A (ko) 성막 장치의 클리닝 방법 및 성막 장치
WO2020033698A1 (en) Methods for selective deposition using self-assembled monolayers
TW202212008A (zh) 清潔高深寬比結構之方法及系統
TWI674625B (zh) 原位羥化裝置
US20240035196A1 (en) Method of selective etching of dielectric materials
TW202039921A (zh) 成長摻雜iv族材料的方法
US20220375751A1 (en) Integrated epitaxy and preclean system