TW202201262A - 積體電路 - Google Patents

積體電路 Download PDF

Info

Publication number
TW202201262A
TW202201262A TW110102887A TW110102887A TW202201262A TW 202201262 A TW202201262 A TW 202201262A TW 110102887 A TW110102887 A TW 110102887A TW 110102887 A TW110102887 A TW 110102887A TW 202201262 A TW202201262 A TW 202201262A
Authority
TW
Taiwan
Prior art keywords
cell
bit
cells
rows
bit cell
Prior art date
Application number
TW110102887A
Other languages
English (en)
Inventor
簡紹倫
王柏鈞
莊惠中
陳志良
田麗鈞
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202201262A publication Critical patent/TW202201262A/zh

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Architecture (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

在此揭示的一積體電路包括:若干單元列,該些單元列在一第一方向上延伸;及一多位元單元,該多位元單元具有包括於該些單元列中的若干位元單元。該些位元單元包括M個位元單元,且該M個位元單元的一第N個位元單元的一輸出信號係該M個位元單元的一第(N+1)個位元單元的一輸入信號,N及M為正整數。該些位元單元的一第一位元單元及該些位元單元的一第M個位元單元係對角地配置於該多位元單元中的不同單元列中,且該第N個位元單元及該第(N+1)個位元單元係對角地配置於該多位元單元中的不同單元列中。

Description

多位元結構
為了符合多位元積體電路的功率、效能及面積的規格,在一些方法中實施混合式單元結構。後端佈線隨著不同的多位元佈局結構改變。或者說,有效的多位元佈局結構節約金屬佈線且增強積體電路的效能。
以下揭示內容提供用於實施提供的標的的不同特徵的許多不同實施例或實例。組件及配置的特徵實例將在下文描述以簡化本案的一實施例。當然,此等各者僅為實例且不欲為限制性的。舉例而言,在隨後的描述中的第一特徵形成於第二特徵上方或上可包括第一特徵及第二特徵係直接接觸地形成的實施例,且亦可包括額外特徵可形成於第一特徵與第二特徵之間,使得第一特徵及第二特徵不可直接接觸的實施例。另外,本案可在各種實例中重複參考數字及/或字母。此重複係出於簡單及清楚的目的且本身並不規定論述的各種實施例及/或組態之間的關係。
本說明書中所使用的術語通常具有該些術語在本領域中及在使用每一術語所在的特定上下文中的一般意義。實例在本說明書中的使用(包括本文中所論述的任何術語的實例)僅為說明性的,且絕不限制本案的一實施例或任何例示術語的範疇及意義。同樣地,本案的一實施例不限於在本說明書中給出的各種實施例。
如本文中所使用,術語「包含」、「包括」、「具有」、「含有」、「涉及」及類似術語應理解為開放式的,即,意味著包括(但不限於)。
說明書中對「一個實施例」、「一實施例」或「一些實施例」的引用意味著關於實施例所描述的特定特徵、結構、實施或特性包括於本案的至少一個實施例中。因此,片語「在一個實施例中」或「在一實施例中」或「在一些實施例中」在說明書中各處的使用未必全部參考同一實施例。此外,特定特徵、結構、實施或特性在一或多個實施例中可以任何合適的方式組合。
此外,為了方便用於描述如諸圖中所圖示的一個元件或特徵與另一元件或特徵的關係的描述,在本文中可使用空間相對術語,諸如「在……下面」、「在……之下」、「下部」、「在……之上」、「上部」及類似術語。空間相對術語意欲涵蓋除了諸圖中所描繪的定向以外的元件在使用或操作時的不同定向。設備可另外定向(旋轉90度或處於其他定向),且本文中所使用的空間相關描述符可類似地加以相應解釋。如本文中所使用,術語「及/或」包括相關聯的列出項目中的一或多者的任何及所有組合。
如本文中所使用,「大約」、「約」、「近似」或「實質上」應大體上涉及給定值或範圍的任何近似值,其中近似值視其所屬的各種領域而改變,且近似值的範疇應符合熟習近似值所述的領域的技術者所理解的最廣解釋,以便涵蓋所有此等修改及類似結構。在一些實施例中,近似值應大體上意味著在給定值或範圍的20%內、較佳10%內且更佳5%內。本文中給出的數值數量係近似的,此意味著術語「大約」、「約」、「近似」或「實質上」可以在未明確說明的情況下進行推斷,或意味著其他近似值。
現在參考第1A圖。根據一些實施例,第1A圖係積體電路10的部分的俯視圖。如第1A圖中圖解所示,積體電路10包括若干單元列ROW1至ROW8。出於說明目的,給出第1A圖中的積體電路10中的單元列ROW1至ROW8的數目。單元列ROW1至ROW8的各種數目在本案的一實施例的預期範疇內。舉例而言,在一些實施例中,積體電路10中的單元列的數目大於8或小於8。
為了進行說明,單元列 ROW1至ROW8沿著x方向延伸且彼此平行。在一些實施例中,單元列ROW1至ROW8係沿著y方向配置,y方向實質上垂直於x方向。
在一些實施例中,參考單元列的列高度,列ROW1至ROW8中存在兩個群組的單元列。如第1A圖中圖解所示,單元列ROW1、ROW3、ROW5及ROW7中的每一者用以具有一列高度H1,且單元列ROW2、ROW4、ROW6及ROW8中的每一者用以具有比列高度H1短的另一列高度H2。具有列高度H1的單元列ROW1、ROW3、ROW5及ROW7被視為單元列ROW1至ROW8的第一群組「A」,且單元列ROW2、ROW4、ROW6及ROW8被視為單元列ROW1至ROW8的第二群組「B」。在一些實施例中,如第1A圖中所描繪,第一群組A的單元列與第二群組B的單元列係交錯的。
在一些實施例中,由於不同的列高度,包括於第一群組「A」的單元列中的金屬零線(即,未示出,積體電路中的用於佈線的金屬層)的數目大於包括於第二群組「B」的單元列中的金屬零線的數目。
如第1A圖所示,積體電路10包括電力軌111至119。為了進行說明,該些電力軌在x方向上延伸且在y方向上彼此分開。在一些實施例中,電力軌111至119配置在單元列ROW1至ROW8的邊界處。此外,在一些實施例中,電力軌111至119用以為包括於積體電路10中的單元提供電源電壓,例如電壓VDD及VSS,其中電壓VDD的電壓位準高於電壓VSS的電壓位準。舉例而言,電力軌111、113、115、117及119提供電壓VDD,且電力軌112、114、116及118提供/接收電壓VSS。出於說明目的,給出電力軌111至119的組態。電力軌的各種實施在本案的一實施例的預期範疇內。舉例而言,在一些實施例中,電力軌112、114、116及118提供電壓VDD,且電力軌111、113、115、117及119提供/接收電壓VSS。
為了進行說明,第一群組「A」中的具有列高度H1的單元列ROW1包括兩個主動區121至122,且第二群組「B」中的具有列高度H2的單元列ROW2包括兩個主動區123至124。類似地,單元列ROW3、ROW5、ROW7係關於例如單元列1組態以包括主動區121至122。單元列ROW3、ROW5、ROW7係關於例如單元列1組態以包括主動區121至122。單元列ROW4、ROW6及ROW8係關於例如單元列2組態以包括主動區123至124。或者說,單元列ROW1至ROW8沿著y方向以週期性序列交錯。為了進行說明,主動區121至124沿著x方向延伸且在y方向上彼此分開。將在以下段落中關於第1B圖論述主動區121至124的組態。
在一些實施例中,主動區121及124具有P型導電性,而主動區122及123具有N型導電性。出於說明目的,給出主動區121至124的組態。主動區121至124的各種實施包括於本案的一實施例的預期範疇中。舉例而言,在一些實施例中,主動區121及124係N型的,而主動區122及123係P型的。
現在參考第1B圖。根據一些實施例,第1B圖係圖示沿著第1A圖中的剖面線AA’的單元列ROW1至ROW2的結構的截面視。相對於第1A圖的實施例,用相同的參考數字指定第1B圖中的相似元件以便於理解。
如第1B圖中圖解所示,第一群組「A」中的具有列高度H1的單元列ROW1包括在基板Sub上的主動區121至122。單元列ROW1的主動區121包括兩個鰭形結構121a及121b,且單元列ROW1的主動區122包括另外兩個鰭形結構122a及122b。或者說,主動區121至122中的每一個主動區包括兩個鰭形結構,諸如121a及121b,或122a及122b。
在一些實施例中,鰭形結構121a及121b係n型鰭形結構,且鰭形結構122a及122b係p型鰭形結構。在一些其他實施例中,鰭形結構121a及121b係p型鰭形結構,且鰭形結構122a及122b係n型鰭形結構。
如第1B圖中圖解所示,第二群組「B」中的具有列高度H2的單元列ROW2包括在基板Sub上的兩個主動區123至124。單元列ROW2的主動區123包括第一單鰭形結構,且單元列ROW2的主動區124包括第二單鰭形結構。或者說,主動區123至124中的每一個主動區包括單鰭形結構。
上文提及的鰭亦可藉由任何合適方法加以圖案化。舉例而言,可使用包括雙重圖案化(double-patterning)或多重圖案化(multi-patterning)製程的一或多個光微影製程對鰭進行圖案化。一般地,雙重圖案化或多重圖案化製程組合光微影製程與自對準製程,從而允許產生例如間距比使用單一的直接光微影製程另外可獲得的間距小的圖案。舉例而言,在一個實施例中,犧牲層形成於基板上且使用光微影製程對犧牲層進行圖案化。使用自對準製程在經圖案化的犧牲層旁邊形成間隔物。接著移除犧牲層,且剩餘的間隔物接著可用於對鰭進行圖案化。
在一些實施例中,此種主動區可包括一或多個三維場效電晶體(例如,FinFET、環繞式閘極(gate-all-around;GAA)電晶體)的一或多個鰭形結構,或一或多個平面金屬氧化物半導體場效電晶體(metal-oxide-semiconductor field-effect transistor;MOSFET)的氧化物界定(oxid-definition;OD)區域。主動區可充當各個電晶體的源極特徵或汲極特徵。
在一些實施例中,單元列ROW1的主動區121包括兩個鰭形結構121a及121b,該兩個鰭形結構一起作為主動區域以形成積體電路組件(諸如電晶體),使得安置於主動區121上的積體電路組件的主動區域的等效寬度將比安置於主動區123上的另一積體電路組件的等效寬度寬,主動區123包括第一單鰭形結構。或者說,在一些實施例中,包括於單元列ROW1上的積體電路組件具有優於包括於單元列ROW2上的積體電路組件的效能。
現在參考第2圖。根據一些實施例,第2圖係配置在第1A圖的積體電路10中的多位元單元CELL1至CELL2的平面佈置或佈局視圖。相對於第1A圖至第1B圖的實施例,用相同的參考數字指定第2圖中的相似元件以便於理解。在一些實施例中,單元CELL1至CELL2係選自標準單元庫的具有特定電路功能的不同標準單元(例如,開關、放大器、濾波器、加法器、多工器、正反器、及邏輯閘、或邏輯閘、反相器或電流鏡)。在一些實施例中,單元CELL1至CELL2係具有不同佈局的類似標準單元。
如第2圖中圖解所示,單元CELL1包括於單元列ROW1至ROW4中。單元CELL1包括位元單元CELLa至CELLd。為了進行說明,位元單元CELLa及CELLc包括單元列ROW1至ROW2,且位元單元CELLb及CELLd包括單元列ROW3至ROW4。此外,如第2圖所示,該些單元CELLa及CELLb配置在單元CELL1的行COLUMN1中,且單元CELLc及CELLd配置在單元CELL1的行COLUMN2中。行COLUMN1至COLUMN2在y方向上延伸。
單元CELL1具有單元邊界B1至B4。單元邊界B1至B2在x方向上延伸且在y方向上彼此分開,且單元邊界B3至B4在y方向上延伸且在x方向上彼此分開。如第2圖所示,位元單元CELLa及CELL1c一起毗鄰邊界B1且沿著x方向彼此毗鄰。位元單元CELLb及CELLd一起毗鄰邊界B2且沿著x方向彼此毗鄰。位元單元CELLa及CELLb一起毗鄰邊界B3且沿著y方向彼此毗鄰。位元單元CELLc及CELLd一起毗鄰邊界B4且沿著x方向彼此毗鄰。或者說,位元單元CELLa及CELLd係對角地配置於單元CELL1中。
單元CELL1的位元單元CELLa至CELLd具有相同的電路組態。在一些實施例中,單元CELL1用以作為具有M個位元的多位元正反器電路操作,且M在第2圖的實施例中為4。因此,位元單元CELLa至CELLd用以包括分別對應於第一至第四位元資料的正反器電路。在一些實施例中,在上文提及的多位元電路中,第N個位元單元的輸出信號係第(N+1)個位元單元的輸入信號,N為小於或等於M的正整數。舉例而言,在第2圖的實施例中,第一位元單元CELLa的輸出信號係第二位元單元CELL1b的輸入信號,諸如此類。在其他實施例中,位元與位元單元CELLa至CELLd的相關性與上文提及的不同且將經由第3A圖至第7B圖來詳細地論述。
繼續參考第2圖,為了進行說明,單元CELL1進一步包括輸入級單元211至214及資料單元221至224。如第2圖所示,位元單元CELL1a包括單元列ROW1中的輸入級單元211及單元列ROW2中的資料單元221。位元單元CELL1b包括單元列ROW3中的輸入級單元212及單元列ROW4中的資料單元222。位元單元CELL1c包括單元列ROW1中的輸入級單元213及單元列ROW2中的資料單元223。位元單元CELL1d包括單元列ROW3中的輸入級單元214及單元列ROW4中的資料單元224。
在一些實施例中,如第2圖所示,電力軌112安置於輸入級單元211與資料單元221之間,且亦安置於輸入級單元213與資料單元223之間。電力軌113安置於資料單元221與輸入級單元212之間,且亦安置於資料單元223與輸入級單元214之間。電力軌114安置於輸入級單元212與資料單元222之間,且亦安置於輸入級單元214與資料單元224之間。或者說,在一些實施例中,電力軌由安置於電力軌的對置側上的單元共用。
在一些實施例中,例如,位元單元CELLa的輸入級單元211用以接收針對位單元CELLa的掃描資料輸入(SI),且資料單元221用以回應於該掃描資料輸入及一資料信號而儲存第一位元資料,且進一步用以將儲存的第一位元資料作為輸出信號輸出至位元單元CELLb的輸入級單元212。輸入級單元212至214及資料單元222至224的組態類似於輸入級單元211及資料單元221的組態。因此,此處省略重複描述。或者說,第N個位元的位元單元中的資料單元的輸出信號係作為第(N+1)個位元的位元單元中的輸入級單元的輸入信號而輸入。
繼續參考第2圖,單元CELL2包括於單元列ROW4至ROW7中。單元CELL2包括位元單元CELLe至CELLh。為了進行說明,位元單元CELLe及CELLg包括單元列ROW4至ROW5,且位元單元CELLf及CELLh包括單元列ROW6至ROW7。位元單元CELLe至CELLh係分別關於例如位元單元CELLa至CELLd組態。此外,如第2圖所示,單元CELLe及CELLf配置在行COLUMN1中,且單元CELLg及CELLh配置在行COLUMN2中。
與例如單元CELL1相比,替代與資料單元221相比更接近單元邊界B1來配置輸入級單元211,在位元單元CELLe中,資料單元221比輸入級單元211更接近單元邊界B1配置。或者說,輸入級單元及資料單元的置放在單元CELL2中交換。位元單元CELLf至CELLh中的組態類似於位元單元CELLe中的組態。因此,為簡單起見,省略重複描述。
出於說明目的,給出第2圖的組態。各種實施在本案的一實施例的預期範疇內。舉例而言,包括於多位元單元電路中的位元單元的數目M大於4。
根據一些實施例,第3A圖至第3B圖係第1A圖的積體電路中的多位元單元CELL1的詳細平面佈置或佈局視圖。相對於第1A圖至第2圖的實施例,用相同的參考數字指定第3A圖至第3B圖中的相似元件以便於理解。為簡明起見,本文中省略在上文段落中已詳細論述的類似元件的特定操作,除非需要介紹與第3A圖至第3B圖中所示的元件的合作關係。
現在參考第3A圖。如第3A圖所示,單元CELL1中的位元單元係根據一守則配置。在一些實施例中,該守則指示一多位元單元的第一位元單元係配置在具有總共M個位元單元的一多位元單元的多個列的第一列及多個行的第一行中,且該多位元單元的第M個位元單元係配置在該些列的最後一列及該些行的第二行中,其中該些列在一第一方向上延伸且配置在不同於該第一方向的一第二方向上,且該些行在該第二方向上延伸且配置在該第一方向上。或者說,該守則指示該些位元單元在平面佈置圖上按順序且按鋸齒狀(zig-zag)格式(即,N形狀)置放。舉例而言,在第3A圖中,對應於位元1的單元(即,第一位元單元)在第一列及第一行中,且對應於位元4的單元(即,第四位元單元)在第一列下面的第二列及緊接該第一行的第二行中。或者說,第一及第四位元單元係對角地配置於單元CELL1中,且第二及第三位元單元係對角地配置於單元CELL1中。對應於位元2的單元(即,第二位元單元)沿著y方向毗鄰第一位元單元,且對應於位元3的單元(即,第三位元單元)沿著x方向毗鄰第一位元單元。第3A圖中的箭頭指示方向,在該些方向上,第一位元單元將輸出信號傳輸至第二位元單元,第二位元單元將輸出信號傳輸至第三位元單元,且第三位元單元將輸出信號傳輸至第四位元單元。或者說,毗鄰在x方向上延伸的同一單元邊界的第一及第三位元單元在相同方向上傳輸輸出信號。
現在參考第3B圖。如第3B圖中圖解所示,在單元CELL1中,位元單元CELLa至CELL1d分別對應於位元1至位元4,且被稱為第一至第四位元單元。第一位元單元CELLa毗鄰單元邊界B1且第四位元單元CELLd毗鄰單元邊界B2。第一及第二位元單元CELLa至CELLb毗鄰單元邊界B3。第三及第四位元單元毗鄰單元邊界B4。或者說,第二及第三位元單元CELLb至CELLc配置於不同的單元列中。
為了進行說明,第一位元單元CELLa的輸入級單元211及第三位元單元CELLc的輸入級單元213配置在單元CELL1中的最頂部列中。第二位元單元CELLb的資料單元222及第四位元單元CELLd的資料單元224配置在單元CELL1中的最底部列中。因此,第一位元單元CELLa的資料單元221及第三位元單元CELLc的資料單元223配置於單元CELL1中的同一單元列中。第二位元單元CELLa的輸入級單元212及第四位元單元CELLd的輸入級單元214配置於單元CELL1中的同一列中。
參考第3A圖至第3B圖及上文的論述,在一些實施例中,由第一位元單元CELLa的資料單元221輸出的信號及由第三位元單元CELLc的資料單元223輸出的信號在同一方向(即,y軸的負方向)上傳輸。
如第3B圖所示,單元CELL1進一步包括金屬段310至340。為了進行說明,金屬段310的一部分包括在第一位元單元CELLa的資料單元221中,且金屬段310的另一部分包括在第二位元單元CELLb的輸入級單元212中。金屬段320的一部分包括在第二位元單元CELLb的資料單元222中,金屬段320的另一部分包括在第三位元單元單元CELLc輸入級單元213中。金屬段330的一部分包括在第三位元單元CELLc的資料單元223中,金屬段330的另一部分包括在第四位元單元CELLd的輸入級單元214中。金屬段340包括在第四位元單元CELLd的資料單元224中。在一些實施例中,金屬段310至340用以作為用於輸入級單元212至214及資料單元221至224的佈線的金屬層的組合。該些金屬層包括例如後端工序(back-end-of-line;BEOL)金屬零M0、金屬一M1及/或金屬二M2。在一些實施例中,金屬段310至340配置在包括於形成於位元單元CELLa至CELLd中的電晶體中的半導體結構上方。
為了進行說明,形成於金屬段310中的互連件411在y方向上自第一位元單元CELLa延伸至第二位元單元CELLb。在一些實施例中,互連件411用以由第一及第二位元單元共用以傳輸第一位元單元CELLa的輸出信號以作為第二位元單元CELLb的輸入信號。
形成於金屬段320中的互連件412在y方向上在單元CELL1中自最底部列延伸至最頂部列。在一些實施例中,互連件412用以由第二及第三位元單元共用以傳輸第二位元單元CELLb的輸出信號以作為第三位元單元CELLc的輸入信號。或者說,互連件412包括於一結構中以連接第二位元單元CELLb及第三位元單元CELLc。在一些實施例中,互連件412配置於第一位元單元CELLa與第四位元單元CELLd之間。以另一種方式解釋,第一位元單元CELLa及第四位元單元CELLd配置在互連件412的對置側。
形成於金屬段330中的互連件413在y方向上自第三位元單元CELLc延伸至第四位元單元CELLd。在一些實施例中,互連件413用以由第三及第四位元單元共用以傳輸第三位元單元CELLc的輸出信號以作為第四位元單元CELLd的輸入信號。
在一些方法中,多位元單元係藉由按U或倒U形狀順序地置放位元單元來實施。舉例而言,在4位元單元中,第一及第四位元單元兩者毗鄰同一單元邊界(如第3B圖的單元邊界B1至B4中的一者),且另外彼此直接毗鄰。在此等配置中,佈線需要更多的金屬軌道及區域。與一些方法相比,利用本案的一實施例的組態,藉由由兩個順序位元單元共用的互連件(金屬段)來減少冗餘金屬佈線,且面積效率得到相應地改良。由於佈線較少,由金屬佈線誘發的RC效應相應地減小。因此,積體電路的效能增強。在本案的一些實施例中,金屬佈線的面積減少約5%至6%,且電力消耗節省約3%至5%。
出於說明目的,給出第3A圖至第3B圖的組態。第3A圖至第3B圖的各種實施在本案的一實施例的預期範疇內。舉例而言,在一些實施例中,金屬段310至340的圖案不同於如第3B圖所示的金屬段310至340。
根據一些實施例,第4A圖至第4B圖係第1A圖的積體電路中的多位元單元CELL1的其他詳細平面佈置或佈局視圖。相對於第1A圖至第3B圖的實施例,用相同的參考數字指定第4A圖至第4B圖中的相似元件以便於理解。為簡明起見,本文中省略在上文段落中已詳細論述的類似元件的特定操作,除非需要介紹與第4A圖至第4B圖中所示的元件的合作關係。
現在參考第4A圖。與第3A圖相比,對應於位元1及位元3的單元係交替地置放,且對應於位元2及位元4的單元係交替地置放。第4A圖的單元CELL1中的位元單元的配置仍遵守且滿足該守則。
在一些實施例中,位元單元CELLc對應於位元1且被稱為第一位元單元。位元單元CELLd對應於位元2且被稱為第二位元單元。位元單元CELLa對應於位元3且被稱為第三位元單元。位元單元CELLb對應於位元4且被稱為第四位元單元。
現在參考第4B圖。與第3B圖相比,包括於輸入級單元213中的金屬段320的部分被除去。金屬段340進一步包括包括於第三位元單元CELLa的輸入級單元211中的一部分。
為了進行說明,互連件413用以由第一及第二位元單元共用以傳輸第一位元單元CELLc的輸出信號以作為第二位元單元CELLd的輸入信號。互連件412用以由第二及第三位元單元共用以傳輸第二位元單元CELLd的輸出信號以作為第三位元單元CELLa的輸入信號。互連件411用以由第三及第四位元單元共用以傳輸第三位元單元CELLa的輸出信號以作為第四位元單元CELLb的輸入信號。
根據一些實施例,第5A圖至第5B圖係第1A圖的積體電路中的多位元單元CELL2的詳細平面佈置或佈局視圖。相對於第1A圖至第4B圖的實施例,用相同的參考數字指定第5A圖至第5B圖中的相似元件以便於理解。為簡明起見,本文中省略在上文段落中已詳細論述的類似元件的特定操作,除非需要介紹與第5A圖至第5B圖中所示的元件的合作關係。
現在參考第5A圖。與第3A圖相比,對應於位元1及位元2的單元係交替地置放,且對應於位元3及位元4的單元係交替地置放。第5A圖的單元CELL2中的位元單元的配置仍遵守且滿足該守則。
在一些實施例中,位元單元CELLf對應於位元1且被稱為第一位元單元。位元單元CELLe對應於位元2且被稱為第二位元單元。位元單元CELLh對應於位元3且被稱為第三位元單元。位元單元CELLg對應於位元4且被稱為第四位元單元。
現在參考第5B圖。與第3B圖相比,第一位元單元CELLf的輸入級單元212及第三位元單元CELLh的輸入級單元214配置在單元CELL1中的最底部列中。第二位元單元CELLe的資料單元211及第四位元單元CELLg的資料單元223配置在單元CELL1中的最頂部列中。因此,第一位元單元CELLf的資料單元222及第三位元單元CELLh的資料單元224配置於單元CELL1中的同一單元列中。第二位元單元CELLe的輸入級單元211及第四位元單元CELLg的輸入級單元213配置於單元CELL1中的同一列中。
參考第5A圖至第5B圖及以上論述,在一些實施例中,由第一位元單元CELLf的資料單元222輸出的信號及由第三位元單元CELLh的資料單元224輸出的信號在相同方向(即,y軸的正方向)上傳輸。
為了進行說明,包括於輸入級單元211中的金屬段310的部分被除去,且金屬段310進一步包括包括於第三位元單元CELLh的輸入級單元214中的一部分。包括於輸入級單元213中的金屬段320的部分被除去,且金屬段320進一步包括包括於輸入級單元211中的一部分。包括於輸入級單元214中的金屬段330的部分被除去。金屬段340進一步包括包括於第四位元單元CELLg的輸入級單元213中的一部分。
為了進行說明,形成於金屬段320中的互連件414在y方向上自第一位元單元CELLf延伸至第二位元單元CELLe。形成於金屬段310中的互連件415在y方向上在單元CELL1中自最底部列延伸至最頂部列。形成於金屬段340中的互連件416在y方向上自第三位元單元CELLh延伸至第四位元單元CELLg。在一些實施例中,互連件414係關於例如第3B圖的互連件411組態,互連件415係關於例如第3B圖的互連件412組態,且互連件416係關於例如第3B圖的互連件413組態。
在一些實施例中,互連件414由第一及第二位元單元共用以傳輸第一位元單元CELLf的輸出信號以作為第二位元單元CELLe的輸入信號。互連件415由第二及第三位元單元共用以傳輸第二位元單元CELLe的輸出信號以作為第三位元單元CELLh的輸入信號。互連件416由第三及第四位元單元共用以傳輸第三位元單元CELLh的輸出信號以作為第四位元單元CELLg的輸入信號。
出於說明目的,給出第5A圖至第5B圖的組態。第5A圖至第5B圖的各種實施在本案的一實施例的預期範疇內。舉例而言,在一些實施例中,金屬段310至340的圖案不同於如第5B圖所示的金屬段310至340。
根據一些實施例,第6A圖至第6B圖係第1A圖的積體電路中的多位元單元CELL2的其他詳細平面佈置或佈局視圖。相對於第1A圖至第5B圖的實施例,用相同的參考數字指定第6A圖至第6B圖中的相似元件以便於理解。為簡明起見,本文中省略在上文段落中已詳細論述的類似元件的特定操作,除非需要介紹與第6A圖至第6B圖中所示的元件的合作關係。
現在參考第6A圖。與第5A圖相比,對應於位元1及位元3的單元係交替地置放,且對應於位元2及位元4的單元係交替地置放。第6A圖的單元CELL2中的位元單元的配置仍遵守且滿足該守則。
在一些實施例中,位元單元CELLh對應於位元1且被稱為第一位元單元。位元單元CELLg對應於位元2且被稱為第二位元單元。位元單元CELLf對應於位元3且被稱為第三位元單元。位元單元CELLe對應於位元4且被稱為第四位元單元。
現在參考第6B圖。與第5B圖相比,包括於輸入級單元214中的金屬段310的部分被除去。金屬段330進一步包括包括於第三位元單元CELLf的輸入級單元212中的一部分。
為了進行說明,互連件416用以由第一及第二位元單元共用以傳輸第一位元單元CELLh的輸出信號以作為第二位元單元CELLg的輸入信號。互連件415用以由第二及第三位元單元共用以傳輸第二位元單元CELLg的輸出信號以作為第三位元單元CELLf的輸入信號。互連件414用以由第三及第四位元單元共用以傳輸第三位元單元CELLf的輸出信號以作為第四位元單元CELLe的輸入信號。
出於說明目的,給出第6A圖至第6B圖的組態。第6A圖至第6B圖的各種實施在本案的一實施例的預期範疇內。舉例而言,在一些實施例中,金屬段310至340的圖案不同於如第6B圖所示的金屬段310至340。
根據一些實施例,第7A圖至第7B圖係第1A圖的積體電路中的多位元單元CELL3的詳細平面佈置或佈局視圖。在一些實施例中,單元CELL3係關於例如第2圖的單元CELL1組態。
現在參考第7A圖。如第7A圖所示,單元CELL3中的8個位元單元係根據守則配置。確切地說,對應於位元1的單元(即,第一位元單元)在第一列及第一行中,且對應於位元8的單元(即,第八位元單元)在最後一列及緊接第一行的第二行。或者說,第一及第八位元單元係對角地配置於單元CELL3中。對應於位元2的單元(即,第二位元單元)沿著y方向毗鄰第一位元單元,且對應於位元5的單元(即,第五位元單元)沿著x方向毗鄰第一位元單元。第7A圖中的箭頭指示方向,在該些方向上,第一至第三位元單元傳輸輸出信號,第四位元單元將一輸出信號傳輸至第五位元單元,且第五至第七位元單元輸出輸出信號。或者說,毗鄰同一單元邊界在x方向上延伸的第一及第五位元單元在相同方向上傳輸輸出信號。
現在參考第7B圖。如第7B圖中圖解所示,在單元CELL3中,位元單元CELL3a至CELL3h分別對應於位元1至位元8,且被稱為第一至第八位元單元。第一位元單元CELL3a毗鄰單元邊界B1,且第八位元單元CELL3h毗鄰單元邊界B2。第一至第四位元單元CELL3a至CELL3d毗鄰單元邊界B3。第五及第八位元單元毗鄰單元邊界B4。或者說,第四及第五位元單元CELL3d至CELL3e配置在不同的單元列中。
為了進行說明,單元CELL3包括輸入級單元511至518及資料單元521至528。在一些實施例中,輸入級單元511至518係關於例如第2圖的輸入級單元211組態。資料單元521至528係關於例如第2圖的資料單元221組態。如第7B圖所示,輸入級單元511及資料單元521包括於位元單元CELL3a中。輸入級單元512及資料單元522包括於位元單元CELL3b中。輸入級單元513及資料單元523包括於位元單元CELL3c中。輸入級單元514及資料單元524包括於位元單元CELL3d中。輸入級單元515及資料單元525包括於位元單元CELL3e中。輸入級單元516及資料單元526包括於位元單元CELL3f中。輸入級單元517及資料單元527包括於位元單元CELL3g中。輸入級單元518及資料單元528包括於位元單元CELL3h中。
為了進行說明,第一位元單元CELL3a的輸入級單元511及第五位元單元CELL3e的輸入級單元515配置在單元CELL3中的最頂部列中。第四位元單元CELL3d的資料單元524及第八位元單元CELL3h的資料單元528配置在單元CELL3中的最底部列中。因此,第一位元單元CELL3a的資料單元521及第五位元單元CELL3e的資料單元525配置於同一單元列中。第二位元單元CELL3b的輸入級單元512及第六位元單元CELL3f的輸入級單元516配置在同一列中。輸入級單元513至514、517至518及資料單元523及527的配置類似於輸入級單元512及516及資料單元521及525的配置。因此,在此省略重複的描述。
參考第7A圖至第7B圖及以上論述,在一些實施例中,由第一位元單元CELL3a的資料單元521、第二位元單元CELL3b的資料單元522、第三位元單元CELL3c的資料單元523、第五位元單元CELL3e的資料單元525、第六位元單元CELL3f的資料單元526及第七位元單元CELL3g的資料單元527輸出的信號在同一方向(即,y軸的負方向)上傳輸。
如第7B圖所示,單元CELL3進一步包括金屬段610至680。在一些實施例中,金屬段610至680係關於例如第3A圖的金屬段310組態。為了進行說明,金屬段610的一部分包括於第一位元單元CELL3a的資料單元521中,金屬段610的另一部分包括於第二位元單元CELL3b的輸入級單元512中。金屬段620的一部分包括於第二位元單元CELL3b的資料單元522中,金屬段620的另一部分包括於第三位元單元CELL3c的輸入級單元513中。金屬段630的一部分包括於第三位元單元CELL3c的資料單元523中,金屬段630的另一部分包括於第四位元單元CELL3d的輸入級單元514中。金屬段640的一部分包括於第四位元單元CELL3d的資料單元524中,金屬段640的另一部分包括於第五位元單元CELL3e的輸入級單元515中。金屬段650的一部分包括於第五位元單元CELL3e的資料單元525中,金屬段650的另一部分包括於第六位元單元CELL3f的輸入級單元516中。金屬段660的一部分包括於第六位元單元CELL3f的資料單元526中,金屬段660的另一部分包括於第七位元單元CELL3g的輸入級單元517中。金屬段670的一部分包括於第七位元單元CELL3g的資料單元527中,金屬段670的另一部分包括於第八位元單元CELL3h的輸入級單元518中。金屬段680包括於第八位元單元CELL3h的資料單元528中。
為了進行說明,互連件711至717在y方向上延伸。在一些實施例中,互連件711至717分開地形成於金屬段610至670中。在一些實施例中,互連件711至717係關於例如第3A圖的互連件411組態。舉例而言,互連件711由第一及第二位元單元CELL3a至CELL3b共用以傳輸第一位元單元CELL3a的輸出信號以作為第二位元單元CELL3b的輸入信號。互連件712至717的配置類似於互連件711。因此,在此省略重複的描述。
在一些實施例中,互連件714包括於一結構中以連接第四位元單元CELL3d及第五位元單元CELL3e。在一些實施例中,互連件714配置於第一位元單元CELL3a與第八位元單元CELL3h之間。或者說,第一位元單元CELL3a及第八位元單元CELL3h配置在互連件714的對置側。
出於說明目的,給出第7A圖至第7B圖的組態。第7A圖至第7B圖的各種實施在本案的一實施例的預期範疇內。舉例而言,在一些實施例中,單元CELL3中的位元單元係按第4A圖、第5A圖及第6A圖所示的序列配置。
根據本案的一些實施例,第8圖係產生用於製造積體電路10的平面佈置或佈局的方法800的流程圖。據瞭解,對於該方法之額外實施例,可在第8圖所示的製程之前、期間及之後提供額外操作,且可以替換或除去在下文描述的操作中的一些。該些操作/製程的次序可為可互換的。貫穿各種視圖及說明性實施例,使用相似參考數字來指示相似元件。方法800包括下文參考第3A圖至第3B圖的多位元單元CELL1描述的操作810至840。
在操作810中,基於單元CELL1中的位元單元CELLa至CELLd的數目(M等於4)及守則,將位元單元CELLa至CELLd配置在單元CELL1中。在一些實施例中,該守則指示第n個位元單元的輸出及第(n+1)個位元單元的輸入信號耦接在一起,且該些位元單元在平面佈置圖上按順序且按鋸齒狀格式置放,如第3A圖至第3B圖所示。
在操作820中,如第3A圖中圖解所示,將第一位元單元CELLa及第四位元單元CELLd配置在單元CELL1的周邊的對角角落處。
在操作830中,第一位元單元CELLa在x方向上毗鄰第三位元單元CELLc,且第二位元單元CELLb在x方向上毗鄰第四位元單元CELLd。
在一些實施例中,該方法進一步包括以下步驟:毗鄰單元邊界B1配置第一及第三位元單元CELLa及CELLc;毗鄰單元邊界B2配置第二及第四位元單元CELLb及CELLd;毗鄰單元邊界B3配置第一及第二位元單元CELLa至CELLb;及毗鄰單元邊界B4配置第三及第四位元單元CELLc至CELLd。
在操作840中,如第3A圖所示,位元單元CELLa至CELLd的輸入級單元211至214配置在第一群組A的單元列中的一者中,且位元單元CELLa至CELLd的資料單元221至224配置在第二群組B的單元列中的一者中。在一些實施例中,第一位元單元CELLa的輸入級單元211及第三位元單元CELLc的輸入級單元213配置在第一群組A的同一單元列中。
現在參考第9圖。根據本案的一些實施例,第9圖係用於設計積體電路佈局設計的電子設計自動化(electronic design automation;EDA)系統900的方塊圖。EDA系統900用以實施第8圖中所揭示的方法800的一或多個操作,且將結合第1A圖至第7B圖來進一步解釋。在一些實施例中,EDA系統900包括APR系統。
在一些實施例中,EDA系統900係包括硬體處理器902及非暫時性電腦可讀儲存媒體904的通用計算裝置。除其他外,儲存媒體904經編碼具有(即,儲存)電腦程式碼(指令) 906,即,一組可執行指令。指令906由硬體處理器902的執行(至少部分地)表示實施例如方法800的一部分或全部的EDA工具。
處理器902經由匯流排908電耦接至電腦可讀儲存媒體904。處理器902亦藉由匯流排908電耦接至I/O介面910及製造工具916。網路介面912亦經由匯流排908電連接至處理器902。網路介面912連接至網路914,因此處理器902及電腦可讀儲存媒體904能夠經由網路914連接至外部元件。處理器902用以執行編碼在電腦可讀儲存媒體904中的電腦程式碼906,以便使EDA系統900可用於執行提出的製程及/或方法的一部分或全部。在一或多個實施例中,處理器902係中央處理單元(central processing unit;CPU)、多處理器、分散式處理系統、特殊應用積體電路(application specific integrated circuit;ASIC)及/或合適的處理單元。
在一或多個實施例中,電腦可讀儲存媒體904係電子、磁性、光學、電磁、紅外線及/或半導體系統(或設備或元件)。舉例而言,電腦可讀儲存媒體904包括半導體或固態記憶體、磁帶、可移式電腦磁碟、隨機存取記憶體(random access memory;RAM)、唯讀記憶體(read-only memory;ROM)、硬質磁碟及/或光碟。在使用光碟的一或多個實施例中,電腦可讀儲存媒體904包括光碟唯讀記憶體(compact disk-read only memory;CD-ROM)、可讀寫光碟(compact disk-read/write;CD-R/W)及/或數位視訊光碟(digital video disc;DVD)。
在一或多個實施例中,儲存媒體904儲存電腦程式碼906,所述電腦程式碼用以使EDA系統900 (在此執行(至少部分地)表示EDA工具的情況下)可用於執行提出的製程及/或方法的一部分或全部。在一或多個實施例中,儲存媒體904亦儲存利於執行提出的製程及/或方法的一部分或全部的資訊。在一或多個實施例中,儲存媒體904儲存標準單元的IC佈局圖920,所述標準單元包括如本文中所揭示的此等標準單元,例如,對應於在上文關於第1A圖至第7B圖論述的多位元單元CELL1至CELL3的單元。
EDA系統900包括I/O介面910。I/O介面910耦接至外部電路。在一或多個實施例中,I/O介面910包括用於將資訊及命令傳達至處理器902的鍵盤、小鍵盤、滑鼠、軌跡球、觸控板、觸控螢幕及/或游標方向鍵。
EDA系統900亦包括耦接至處理器902的網路介面912。網路介面912允許EDA系統900與網路914通信,一或多個其他電腦系統連接至該網路。網路介面912包括無線網路介面,諸如BLUETOOTH、WIFI、WIMAX、GPRS或WCDMA;或有線網路介面,諸如ETHERNET、USB或IEEE-1364。在一或多個實施例中,提出的製程及/或方法的一部分或全部係在兩個或多個系統900中實施。
EDA系統900亦包括耦接至處理器902的製造工具916。製造工具916用以根據由處理器902處理的設計檔案來製造積體電路,例如,在上文關於第1A圖至第7B圖所論述的具有多位元單元CELL1至CELL3的積體電路10。
EDA系統900用以經由I/O介面910接收資訊。經由I/O介面910接收的資訊包括由處理器902進行處理的指令、資料、設計規則、標準單元庫及/或其他參數中的一或多者。資訊係經由匯流排908傳送至處理器902。EDA系統900用以經由I/O介面910接收與UI有關的資訊。資訊儲存在電腦可讀媒體904中以作為設計規格922。
在一些實施例中,提出的製程及/或方法的一部分或全部係實施為由處理器執行的獨立軟體應用程式。在一些實施例中,提出的製程及/或方法的一部分或全部係實施為作為額外軟體應用程式的一部分的軟體應用程式。在一些實施例中,提出的製程及/或方法的一部分或全部係實施為軟體應用程式的外掛程式。在一些實施例中,提出的製程及/或方法中的至少一者係實施為作為EDA工具的一部分的軟體應用程式。在一些實施例中,提出的製程及/或方法的一部分或全部係實施為由EDA系統900使用的軟體應用程式。在一些實施例中,包括標準單元的佈局圖係使用合適的佈局產生工具產生。
在一些實施例中,製程係實現為儲存於非暫時性電腦可讀記錄媒體中的程式的功能。非暫時性電腦可讀記錄媒體的實例包括(但不限於)外部/可移式及/或內部/內建的儲存或記憶體單元,例如以下各者中的一或多者:光碟,諸如DVD;磁碟,諸如硬碟;半導體記憶體,諸如ROM、RAM、記憶卡;及類似物。
根據一些實施例,第10圖係IC製造系統1000的方塊圖,及與該IC製造系統相關聯的IC製造流程。在一些實施例中,基於佈局圖,(A)一或多個半導體遮罩或(B)一半導體積體電路的一層中的至少一個組件中的至少一者係使用IC製造系統1000製造。
在第10圖中,IC製造系統1000包括諸如設計室1020、遮罩室1030及IC製造商/製造者(「晶圓廠」) 1050的實體,該些實體在與製造IC元件1060有關的設計、開發及製造循環及/或服務中彼此相互作用。IC製造系統1000中的實體由通信網路連接。在一些實施例中,通信網路係單一網路。在一些實施例中,通信網路係多種不同的網路,諸如內部網路及網際網路。通信網路包括有線及/或無線的通信通道。每一實體與其他實體中的一或多者相互作用,且為其他實體中的一或多者提供服務及/或自其他實體中的一或多者接收服務。在一些實施例中,設計室1020、遮罩室1030及IC晶圓廠1050中的兩者或多者歸單個實體所有。在一些實施例中,設計室1020、遮罩室1030及IC晶圓廠1050中的兩者或多者共存於共用設施中且使用共用資源。
設計室(或設計團隊) 1020產生IC設計佈局圖1022。IC設計佈局圖1022包括針對IC元件1060 (例如,在上文關於第1A圖至第7B圖所論述的積體電路100及700)設計的各種幾何圖案(例如,第1A圖至第7B圖中所描繪的IC佈局設計)。該些幾何圖案對應於構成待製造的IC元件1060的各種組件的金屬層、氧化物層或半導體層的圖案。各種層組合以形成各種IC特徵。舉例而言,IC設計佈局圖1022的一部分包括將在半導體基板(諸如矽晶圓)及安置於半導體基板上的各種材料層中形成的各種IC特徵,諸如主動區域、閘極電極、源極與汲極、層間互連的導電段或介層孔。設計室1020實施恰當的設計程序以形成IC設計佈局圖1022。設計程序包括邏輯設計、實體設計或置放佈線中的一或多者。IC設計佈局圖1022存在於具有關於幾何圖案的資訊的一或多個資料檔案中。舉例而言,IC設計佈局圖1022可以用GDSII檔案格式或DFII檔案格式表示。
遮罩室1030包括資料準備1032及遮罩製造1044。遮罩室1030使用IC設計佈局圖1022來製造一或多個遮罩1045,該一或多個遮罩將用於根據IC設計佈局圖1022製造IC元件1060的各種層。遮罩室1030執行遮罩資料準備1032,其中IC設計佈局圖1022經轉譯成代表性資料檔案(「representative data file;RDF」)。遮罩資料準備1032將RDF提供至遮罩製造1044。遮罩製造1044包括遮罩寫入器。遮罩寫入器將RDF轉換成基板上的影像,諸如遮罩(光刻罩) 1045或半導體晶圓1053。IC設計佈局圖1022係由遮罩資料準備1032操縱以遵守遮罩寫入器的特定特性及/或IC晶圓廠1050的要求。在第10圖中,資料準備1032及遮罩製造1044係說明為獨立的元件。在一些實施例中,資料準備1032及遮罩製造1044可以一起被稱為遮罩資料準備。
在一些實施例中,資料準備1032包括光學近接修正(optical proximity correction;OPC),光學近接修正使用微影增強技術以補償影像誤差,諸如可以由繞射、干涉、其他處理效應及類似者引起的影像誤差。OPC調整IC設計佈局圖1022。在一些實施例中,資料準備1032包括其他解析度增強技術(resolution enhancement technique;RET),諸如離軸照明、次解析度輔助特徵、相移遮罩、其他合適的技術及類似技術或該些技術的組合。在一些實施例中,亦使用逆微影技術(inverse lithography technology;ILT),逆微影技術將OPC視為逆成像問題。
在一些實施例中,資料準備1032包括遮罩規則檢驗器(mask rule checker;MRC),遮罩規則檢驗器利用一組遮罩創造規則來檢查已經歷OPC中的程序的IC設計佈局圖1022,該些遮罩創造規則含有特定的幾何及/或連接限制以確保足夠裕量,以解釋半導體製造製程中的可變性及類似者。在一些實施例中,MRC修改IC設計佈局圖1022以補償遮罩製造1044期間的限制,如此可撤銷由OPC執行的修改的部分,以便滿足遮罩創造規則。
在一些實施例中,資料準備1032包括微影製程檢查(lithography process checking;LPC),該微影製程檢查模擬將由IC晶圓廠1050實施以製造IC元件1060的處理。LPC基於IC設計佈局圖1022來模擬此處理以產生模擬製造的元件,諸如IC元件1060。LPC模擬中的處理參數可以包括與IC製造循環的各種製程相關聯的參數、與用於製造IC的工具相關聯的參數及/或製造製程的其他態樣。LPC考慮各種因素,諸如空中影像對比度、焦點深度(「depth of focus;DOF」)、遮罩誤差增強因子(「mask error enhancement factor;MEEF」)、其他合適的因素及類似者或前述因素的組合。在一些實施例中,在模擬製造的元件已由LPC產生之後,若模擬的元件在形狀上不足夠接近以滿足設計規則,則應重複OPC及/或MRC以進一步改良IC設計佈局圖1022。
應理解,為清楚起見,資料準備1032的以上描述已經簡化。在一些實施例中,資料準備1032包括額外特徵,諸如用於根據製造規則修改IC設計佈局圖1022的邏輯運算(logic operation;LOP)。另外,在資料準備1032期間應用於IC設計佈局圖1022的程序可以按多種不同的次序執行。
在資料準備1032之後且在遮罩製造1044期間,基於經修改的IC設計佈局圖1022而製造一遮罩1045或一組遮罩1045。在一些實施例中,遮罩製造1044包括基於IC設計佈局圖1022而執行一或多次微影曝光。在一些實施例中,使用一電子束(e射束)或多個e射束的機制以基於經修改的IC設計佈局圖1022而在遮罩(光罩或光刻罩) 1045上形成圖案。遮罩1045可以用各種技術形成。在一些實施例中,遮罩1045係使用二元技術(binary technology)形成。在一些實施例中,遮罩圖案包括不透明區域及透明區域。用於使已塗佈在晶圓上的影像敏感材料層(例如,光阻劑)曝光的輻射束被不透明區域阻斷且透射穿過透明區域,該輻射束諸如紫外線(ultraviolet;UV)射束。在一個實例中,遮罩1045的二元遮罩版本包括透明的基板(例如,熔融石英)及塗佈在二元遮罩的不透明區域中的不透明材料(例如,鉻)。在另一實例中,遮罩1045係使用相移技術形成。在遮罩1045的相移遮罩(phase shift mask;PSM)版本中,形成於相移遮罩上的圖案中的各種特徵用以具有恰當的相位差以增強解析度及成像品質。在各種實例中,相移遮罩可為衰減式PSM或交替式PSM。藉由遮罩製造1044產生的遮罩將在多種程序中使用。舉例而言,此(此等)遮罩將在用於在半導體晶圓1053中形成各種摻雜區域的離子植入製程中、在用於在半導體晶圓1053中形成各種蝕刻區域的蝕刻製程中及/或在其他合適的製程中使用。
IC晶圓廠1050包括晶圓製造1052。IC晶圓廠1050係IC製造企業,該IC製造企業包括用於製造多種不同IC產品的一或多個製造設施。在一些實施例中,IC晶圓廠1050係半導體鑄造廠。舉例而言,可能存在用於複數個IC產品的前端製造(前端工序(front-end-of-line;FEOL)製造)的製造設施,而第二製造設施可提供用於IC產品的互連及封裝的後端製造(後端工序(back-end-of-line;BEOL)製造),且第三製造設施可為鑄造廠企業提供其他服務。
IC晶圓廠1050使用由遮罩室1030製造的遮罩1045來製造IC元件1060。因此,IC晶圓廠1050至少間接地使用IC設計佈局圖1022來製造IC元件1060。在一些實施例中,半導體晶圓1053係由IC晶圓廠1050使用遮罩1045製造以形成IC元件1060。在一些實施例中,IC製造包括至少間接地基於IC設計佈局圖1022來執行一或多次微影曝光。半導體晶圓1053包括矽基板或其他恰當的基板,該基板上形成有多個材料層。半導體晶圓1053進一步包括以下各者中的一或多個:各種摻雜區域;介電特徵;多位準互連;及類似物(在後續製造步驟形成)。
如上所述,本案的一實施例中的積體電路中的位元單元係根據一守則配置,該守則指示位元單元在平面佈置圖中按順序且按鋸齒狀格式置放。藉由對積體電路實施平面佈置圖,積體電路的電力效率、效能及面積使用得到改良。
在一些實施例中,在此揭示的一積體電路包括:若干單元列,該些單元列在一第一方向上延伸;及一多位元單元,該多位元單元具有安置於該些單元列中的若干位元單元。該些位元單元包括M個位元單元,且該M個位元單元的一第N個位元單元的一輸出信號係該M個位元單元的一第(N+1)個位元單元的一輸入信號,且N及M為正整數。該些位元單元的一第一位元單元及該些位元單元的一第M個位元單元係對角地配置於該多位元單元中的不同單元列中,且該第N個位元單元及該第(N+1)個位元單元係對角地配置於該多位元單元的不同單元列中。在一些實施例中,該些單元列包括具一第一列高度的第一複數個單元列及具一第二列高度的第二複數個單元列,該第二列高度不同於該第一列高度。該些位元單元中的每一者包括該第一複數個單元列中的一者及該第二複數個單元列中的一者。在一些實施例中,該些位元單元中的每一者包括一輸入級單元及一資料單元。該些位元單元中的每一者中的該輸入級單元包括於該第一複數個單元列中的一者中,且該些位元單元中的每一者中的該資料單元包括於該第二複數個單元列中的一者中。在一些實施例中,該些單元列包括具一第一列高度的第一複數個單元列及具一第二列高度的第二複數個單元列,該第二列高度小於該第一列高度。該積體電路進一步包括:第一對主動區,該第一對主動區包括於該第一複數個單元列中的每一者中;及第二對主動區,該第二對主動區包括於該第二複數個單元列中的每一者中。該第一對主動區中的鰭的一數目大於該第二對主動區中的鰭的一數目。在一些實施例中,該些位元單元的該第一位元單元及該些位元單元的該第M個位元單元配置在該多位元單元的不同單元行中。該些單元行在不同於該第一方向的一第二方向上延伸。在一些實施例中,該多位元單元具有第一至第四單元邊界,其中該第一及該第二邊界在該第一方向上延伸且該第三及該第四邊界在不同於該第一方向的一第二方向上延伸。該些位元單元的該第一位元單元及一第二位元單元毗鄰該第三單元邊界,且該些位元單元的該第一位元單元及一第(M/2+1)個位元單元毗鄰該第一單元邊界。該些位元單元的該第M個位元單元及一第(M-1)個位元單元毗鄰該第四單元邊界。在一些實施例中,該M個位元單元的該第N個位元單元及一第(N+2)個位元單元包括於該多位元單元中的相同單元列中。在一些實施例中,該積體電路進一步包括一互連件,該互連件自該第N個位元單元延伸至該第(N+1)個位元單元。該互連件由該第N個位元單元及該第(N+1)個位元單元共用以傳輸該第N個位元單元的該輸出信號以作為該第(N+1)個位元單元的該輸入信號。
亦揭示一種積體電路,該積體電路包括:具一第一列高度的第一複數個單元列;具一第二列高度的第二複數個單元列,該第二列高度不同於該第一列高度;及一多位元單元中的若干位元單元,該些位元單元具有M個位元單元且包括於該第一複數個單元列及該第二複數個單元列中。該第一複數個及該第二複數個單元列在一第一方向上延伸且係交錯的。該些位元單元中的每一者包括處於該第一複數個單元列中的一者中的一輸入級單元及處於該第二複數個單元列中的一者中的一資料單元。一第一位元單元的一輸入級單元及一第N個位元單元的一輸入級單元包括於該多位元單元的同一單元列中,且該第N個位元單元的該輸入級單元及一第(N+1)個位元單元的一輸入級單元包括於該多位元單元的不同單元列中。M及N為正整數,且N小於M。在一些實施例中,該第一列高度大於該第二列高度。在一些實施例中,該些位元單元中的一第(M/2)個位元單元的一資料單元及該第M個位元單元的該資料單元配置於同一單元列中。在一些實施例中,該些位元單元的該第一位元單元的一資料單元及一第(M/2+1)個位元單元的一資料單元配置於同一單元列中。由該第一位元單元的該資料單元輸出的一信號及由該第(M/2+1)個位元單元的該資料單元輸出的一信號在相同方向上傳輸。在一些實施例中,該積體電路進一步包括一互連件,該互連件在不同於該第一方向的一第二方向上延伸,該互連件由該些位元單元的兩個位元單元共用,該兩個位元單元沿著該第二方向彼此毗鄰。在一些實施例中,該積體電路進一步包括一互連件,該互連件在垂直於該第一方向的一第二方向上延伸,該互連件包括於一結構中以連接該些位元單元的一第(M/2)個位元單元及一第(M/2+1)個位元單元。該些位元單元的該第一位元單元及該第M個位元單元配置在該互連件的對置側。在一些實施例中,當M等於4時,該些位元單元的該第一位元單元及一第二位元單元沿著垂直於該第一方向的一第二方向彼此毗鄰,且該些位元單元的該第一位元單元及一第三位元單元沿著該第一方向彼此毗鄰。在一些實施例中,該多位元單元具有一第一單元邊界及一第二單元邊界,該些單元邊界在不同於該第一方向的一第二方向上延伸且在該第一方向上彼此分開。當M等於8時,該多位元單元中的該第一至一第四位元單元毗鄰該第一單元邊界,且該多位元單元中的一第五至一第八位元單元毗鄰該第二單元邊界。
亦揭示一種方法,該方法包括根據一守則配置一多位元單元中的若干位元單元,該些位元單元具有總共M個位元單元。複數個位元單元中的每一者具有具一第一列高度的一第一單元列及具一第二列高度的一第二單元列,該第二列高度不同於該第一列高度。一第N個位元單元的一輸出及一第(N+1)個位元單元的一輸入信號耦接在一起。M及N為正整數。該守則指示該些位元單元的一第一位元單元配置在該多位元單元中的複數個列的一第一列及複數個行的一第一行中,且該些位元單元的一第M個位元單元配置在該些列的一最後列及該些行的一第二行中。在一第一方向上延伸的該些列沿不同於該第一方向的一第二方向配置,且在該第二方向上延伸的該些行沿該第一方向配置。在一些實施例中,該方法進一步包括將該些位元單元的該第一位元單元及該第M個位元單元配置在該多位元單元的一周邊的對角角落處。在一些實施例中,該多位元單元具有第一至第四單元邊界。該第一及該第二單元邊界在該第一方向上延伸且在該第二方向上彼此分開,且該第三及該第四單元邊界在該第二方向上延伸且在該第一方向上彼此分開。該方法進一步包括以下步驟:毗鄰該第一單元邊界配置該多位元單元中的該第一及一第(M/2+1)個位元單元;毗鄰該第二單元邊界配置該多位元單元中的該第M個及一第(M/2)個位元單元;毗鄰該第三單元邊界配置該多位元單元中的該第一及該第(M/2)個位元單元;及毗鄰該第四單元邊界配置該多位元單元中的該第M個及該第(M/2+1)個位元單元。在一些實施例中,該些位元單元中的每一者包括一輸入級單元及一資料單元。該方法進一步包括將一第一位元單元的一輸入級單元及一第(M/2+1)個位元單元的一輸入級單元配置在該多位元單元的同一第一單元列中。
前述內容概述幾個實施例的特徵,使得熟習此項技術者可更好地理解本案的一實施例的態樣。熟習此項技術者應瞭解,該些技術者可容易將本案的一實施例用作為設計或修改用於實現與本文中介紹的實施例的相同目的及/或達成與本文中介紹的實施例的相同優點的其他製程及結構的基礎。熟習此項技術者亦應認識到,此等等效構造不背離本案的一實施例的精神及範疇,且該些技術者可在不離本案的一實施例的精神及範疇的情況下作出本文中的各種改變、取代及改動。
10:積體電路 111,112,113,114,115,116,117,118,119:電力軌 121,122,123,124:主動區 COLUMN1,COLUMN2:行 H1,H2:列高度 ROW1,ROW2,ROW3,ROW4,ROW5,ROW6,ROW7,ROW8:單元列 Sub:基板 x,y:方向 121a,121b,122a,122b:鰭形結構 211,212,213,214,511,512,513,514,515,516,517,518:輸入級單元 221,222,223,224,521,522,523,524,525,526,527,528:資料單元 AA’:剖面線 B1,B2,B3,B4:單元邊界 CELL1,CELL2,CELL3:多位元單元 CELLa,CELLb,CELLc,CELLd,CELLe,CELLf,CELLg,CELLh,CELL3a,CELL3b,CELL3c,CELL3d,CELL3e,CELL3f,CELL3g,CELL3h:位元單元 310,320,330,340,610,620,630,640,650,660,670,680:金屬段 411,412,413,414,415,416,711,712,713,714,715,716,717:互連件 800:方法 810,820,830,840:操作 900:電子設計自動化(EDA)系統 902:(硬體)處理器 904:(非暫時性)電腦可讀儲存媒體,儲存媒體 906:電腦程式碼(指令) 908:匯流排 910:I/O介面 912:網路介面 914:網路 916:製造工具 920:IC佈局圖 922:設計規格 1000:積體電路製造系統 1020:設計室 1022:IC設計佈局圖 1030:遮罩室 1032:資料準備 1044:遮罩製造 1045:遮罩 1050:IC製造商/製造者(「晶圓廠」) 1052:晶圓製造 1053:晶圓 1060:IC元件
本案的一實施例的態樣將在結合附圖閱讀時自以下詳細描述最佳地瞭解。請注意,根據產業中的標準方法,各種特徵未按比例繪製。實際上,為了論述清楚起見,各種特徵的尺寸可以任意地增大或減小。 第1A圖係根據一些實施例積體電路的部分的俯視圖。 第1B圖係根據一些實施例圖示沿著第1A圖中的剖面線的一些單元列的結構的截面圖。 第2圖係根據一些實施例配置於第1A圖的積體電路中的多位元單元的平面佈置或佈局視圖。 第3A圖至第3B圖係根據一些實施例第1A圖的積體電路中的多位元單元的詳細平面佈置或佈局視圖。 第4A圖至第4B圖係根據一些實施例第1A圖的積體電路中的多位元單元的其他詳細平面佈置或佈局視圖。 第5A圖至第5B圖係根據一些實施例第1A圖的積體電路中的多位元單元的詳細平面佈置或佈局視圖。 第6A圖至第6B圖係根據一些實施例第1A圖的積體電路中的多位元單元的其他詳細平面佈置或佈局視圖。 第7A圖至第7B圖係根據一些實施例第1A圖的積體電路中的多位元單元的詳細平面佈置或佈局視圖。 第8圖係根據本案的一些實施例產生用於製造積體電路的平面佈置或佈局的方法的流程圖。 第9圖係根據本案的一些實施例用於設計積體電路佈局設計的系統的方塊圖。 第10圖係根據一些實施例積體電路製造系統的方塊圖,及與該積體電路製造系統相關的積體電路製造流程。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
211,212,213,214:輸入級單元
221,222,223,224:資料單元
310,320,330,340:金屬段
411,412,413:互連件
B1,B2,B3,B4:單元邊界
CELL1:多位元單元
CELLa,CELLb,CELLc,CELLd:位元單元
x,y:方向

Claims (20)

  1. 一種積體電路,包含: 複數個單元列,該些單元列在一第一方向上延伸;及 一多位元單元,該多位元單元具有包括於該些單元列中的複數個位元單元,其中該些位元單元包括M個位元單元,且該M個位元單元的一第N個位元單元的一輸出信號係該M個位元單元的一第(N+1)個位元單元的一輸入信號,且N及M為正整數; 其中該些位元單元的一第一位元單元及該些位元單元的一第M個位元單元係對角地配置於該多位元單元中的不同單元列中,且該第N個位元單元及該第(N+1)個位元單元係對角地配置於該多位元單元中的不同單元列中。
  2. 如請求項1所述之積體電路,其中該些單元列包含具一第一列高度的一第一複數個單元列及具一第二列高度的一第二複數個單元列,該第二列高度不同於該第一列高度; 其中該些位元單元中的每一者包括該第一複數個單元列中的一者及該第二複數個單元列中的一者。
  3. 如請求項2所述之積體電路,其中該些位元單元中的每一者包括一輸入級單元及一資料單元; 其中該些位元單元中的每一者中的該輸入級單元包括於該第一複數個單元列中的一者中,且該些位元單元中的每一者中的該資料單元包括於該第二複數個單元列中的一者中。
  4. 如請求項1所述之積體電路,其中該些單元列包含具一第一列高度的一第一複數個單元列及具一第二列高度的一第二複數個單元列,該第二列高度小於該第一列高度; 其中該積體電路進一步包含: 一第一對主動區,該第一對主動區包括於該第一複數個單元列中的每一者中;以及 一第二對主動區,該第二對主動區包括於該第二複數個單元列中的每一者中; 其中該第一對主動區中的鰭的一數目大於該第二對主動區中的鰭的一數目。
  5. 如請求項1所述之積體電路,其中該些位元單元的該第一位元單元及該些位元單元的該第M個位元單元配置在該多位元單元的不同單元行中,且該些單元行在不同於該第一方向的一第二方向上延伸。
  6. 如請求項1所述之積體電路,其中該多位元單元包括第一至第四單元邊界,其中該第一及該第二邊界在該第一方向上延伸且該第三及該第四邊界在不同於該第一方向的一第二方向上延伸; 其中該些位元單元的該第一位元單元及一第二位元單元毗鄰該第三單元邊界,且該些位元單元的該第一位元單元及一第(M/2+1)個位元單元毗鄰該第一單元邊界; 其中該些位元單元的該第M個位元單元及一第(M-1)個位元單元毗鄰該第四單元邊界。
  7. 如請求項1所述之積體電路,其中該M個位元單元的該第N個位元單元及一第(N+2)個位元單元包括於該多位元單元中的相同單元列中。
  8. 如請求項1所述之積體電路,進一步包含: 一互連件,該互連件自該第N個位元單元延伸至該第(N+1)個位元單元,其中該互連件用以由該第N個位元單元及該第(N+1)個位元單元共用以傳輸該第N個位元單元的該輸出信號以作為該第(N+1)個位元單元的該輸入信號。
  9. 一種積體電路,包含: 一第一複數個單元列,該第一複數個單元列具有一第一列高度; 一第二複數個單元列,該第二複數個單元列具有一第二列高度,該第二列高度不同於該第一列高度,其中該第一複數個及該第二複數個單元列在一第一方向上延伸且係交錯的;以及 一多位元單元中的複數個位元單元,該些位元單元具有M個位元單元且包括於該第一複數個單元列及該第二複數個單元列中,其中該些位元單元中的每一者包含處於該第一複數個單元列中的一者中的一輸入級單元及處於該第二複數個單元列中的一者中的一資料單元; 其中一第一位元單元的一輸入級單元及一第N個位元單元的一輸入級單元包括於該多位元單元的同一單元列中,且 該第N個位元單元的該輸入級單元及一第(N-1)個位元單元的一輸入級單元包括於該多位元單元的不同單元列中; 其中M及N為正整數,且N小於M。
  10. 如請求項9所述之積體電路,其中該第一列高度大於該第二列高度。
  11. 如請求項9所述之積體電路,其中該些位元單元中的一第(M/2)個位元單元的一資料單元及該第M個位元單元的該資料單元配置於同一單元列中。
  12. 如請求項9所述之積體電路,其中該些位元單元的該第一位元單元的一資料單元及一第(M/2+1)個位元單元的一資料單元配置於同一單元列中; 其中由該第一位元單元的該資料單元輸出的一信號及由該第(M/2+1)個位元單元的該資料單元輸出的一信號在相同方向上傳輸。
  13. 如請求項9所述之積體電路,進一步包含: 一互連件,該互連件在不同於該第一方向的一第二方向上延伸,其中該互連件由該些位元單元的兩個位元單元共用,該兩個位元單元沿著該第二方向彼此毗鄰。
  14. 如請求項9所述之積體電路,進一步包含: 一互連件,該互連件在垂直於該第一方向的一第二方向上延伸,其中該互連件包括於一結構中以連接該些位元單元的一第(M/2)個位元單元及一第(M/2+1)個位元單元; 其中該些位元單元的該第一位元單元及該第M個位元單元配置在該互連件的對置側。
  15. 如請求項9所述之積體電路,其中當M等於4時,該些位元單元的該第一位元單元及一第二位元單元沿著垂直於該第一方向的一第二方向彼此毗鄰,且 該些位元單元的該第一位元單元及一第三位元單元沿著該第一方向彼此毗鄰。
  16. 如請求項9所述之積體電路,其中該多位元單元具有一第一單元邊界及一第二單元邊界,該些單元邊界在不同於該第一方向的一第二方向上延伸且在該第一方向上彼此分開; 其中當M等於8時,該多位元單元中的該第一至一第四位元單元毗鄰該第一單元邊界,且該多位元單元中的一第五至一第八位元單元毗鄰該第二單元邊界。
  17. 一種方法,包含: 根據一守則配置一多位元單元中的複數個位元單元,該些位元單元具有總共M個位元單元,其中該些位元單元中的每一者具有具一第一列高度的一第一單元列及具一第二列高度的一第二單元列,該第二列高度不同於該第一列高度; 其中一第N個位元單元的一輸出及一第(N+1)個位元單元的一輸入信號耦接在一起,且M及N為正整數; 其中該守則指示該些位元單元的一第一位元單元配置在該多位元單元中的複數個列的一第一列及複數個行的一第一行中,且 該些位元單元的一第M個位元單元配置在該些列的一最後列及該些行的一第二行中, 其中在一第一方向上延伸的該些列沿不同於該第一方向的一第二方向配置,且在該第二方向上延伸的該些行沿該第一方向配置。
  18. 如請求項17所述之方法,進一步包含: 將該些位元單元的該第一位元單元及該第M個位元單元配置在該多位元單元的一周邊的對角角落處。
  19. 如請求項17所述之方法,其中該多位元單元具有第一至第四單元邊界, 其中該第一及該第二單元邊界在該第一方向上延伸且在該第二方向上彼此分開,且該第三及該第四單元邊界在該第二方向上延伸且在該第一方向上彼此分開; 其中該方法進一步包含: 毗鄰該第一單元邊界配置該多位元單元中的該第一及一第(M/2+1)個位元單元; 毗鄰該第二單元邊界配置該多位元單元中的該第M個及一第(M/2)個位元單元; 毗鄰該第三單元邊界配置該多位元單元中的該第一及該第(M/2)個位元單元;以及 毗鄰該第四單元邊界配置該多位元單元中的該第M個及該第(M/2+1)個位元單元。
  20. 如請求項17所述之方法,其中該些位元單元中的每一者包括一輸入級單元及一資料單元; 其中該方法進一步包含: 將一第一位元單元的一輸入級單元及一第(M/2+1)個位元單元的一輸入級單元配置在該多位元單元的同一第一單元列中。
TW110102887A 2020-06-29 2021-01-26 積體電路 TW202201262A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/915,954 2020-06-29
US16/915,954 US11444071B2 (en) 2020-06-29 2020-06-29 Multi-bit structure

Publications (1)

Publication Number Publication Date
TW202201262A true TW202201262A (zh) 2022-01-01

Family

ID=77413449

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110102887A TW202201262A (zh) 2020-06-29 2021-01-26 積體電路

Country Status (3)

Country Link
US (3) US11444071B2 (zh)
CN (1) CN113327922A (zh)
TW (1) TW202201262A (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11373719B1 (en) * 2021-08-30 2022-06-28 Texas Instruments Incorporated Contact layer traces to program programmable ROM

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8259497B2 (en) * 2007-08-06 2012-09-04 Apple Inc. Programming schemes for multi-level analog memory cells
US11176979B2 (en) * 2019-02-28 2021-11-16 Regents Of The University Of Minnesota Computational random access memory (CRAM) based on spin-orbit torque devices

Also Published As

Publication number Publication date
US11916058B2 (en) 2024-02-27
US20210407986A1 (en) 2021-12-30
CN113327922A (zh) 2021-08-31
US20240153942A1 (en) 2024-05-09
US11444071B2 (en) 2022-09-13
US20220367440A1 (en) 2022-11-17

Similar Documents

Publication Publication Date Title
US11797746B2 (en) Method of forming semiconductor device having more similar cell densities in alternating rows
TWI787707B (zh) 積體電路及其製造方法
KR20200002010A (ko) 홀수 개 핀 높이 셀 영역, 그것을 구비한 반도체 디바이스, 및 그것에 대응하는 레이아웃 다이어그램을 생성하는 방법
US20230124337A1 (en) Semiconductor device
KR102616549B1 (ko) 집적 회로
TW202011550A (zh) 半導體裝置製造方法
US20240153942A1 (en) Multi-bit structure
TWI785505B (zh) 記憶體元件
US11908538B2 (en) Cell structures and power routing for integrated circuits
TWI731563B (zh) 半導體元件及其製造方法與系統
KR102499933B1 (ko) 메모리 디바이스
TW202230625A (zh) 積體電路裝置
TWI781552B (zh) 記憶體元件及其製造方法
US20230410887A1 (en) Memory array connections
TWI817681B (zh) 積體電路
US20230043245A1 (en) High voltage guard ring semiconductor device and method of forming same
US20240055029A1 (en) Cell structures and power routing for integrated circuits
KR20230021563A (ko) 반도체 디바이스의 가변 크기 활성 영역 및 그 제조 방법
TW202305642A (zh) 半導體裝置及其製造方法