TW202147035A - 用於製程度量之察覺製程控制的系統及方法 - Google Patents

用於製程度量之察覺製程控制的系統及方法 Download PDF

Info

Publication number
TW202147035A
TW202147035A TW110104378A TW110104378A TW202147035A TW 202147035 A TW202147035 A TW 202147035A TW 110104378 A TW110104378 A TW 110104378A TW 110104378 A TW110104378 A TW 110104378A TW 202147035 A TW202147035 A TW 202147035A
Authority
TW
Taiwan
Prior art keywords
states
sequence
processing
adjustment
processing operations
Prior art date
Application number
TW110104378A
Other languages
English (en)
Other versions
TWI754539B (zh
Inventor
邁倫 拉蕊娜嘉
迪米特拉 吉科魯
亞力山大 伊瑪
Original Assignee
荷蘭商Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asml荷蘭公司 filed Critical 荷蘭商Asml荷蘭公司
Publication of TW202147035A publication Critical patent/TW202147035A/zh
Application granted granted Critical
Publication of TWI754539B publication Critical patent/TWI754539B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/41875Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by quality surveillance of production
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Abstract

本文所描述為一種方法,該方法包含:判定一物件之一狀態序列,該等狀態係基於與該物件相關聯之處理資訊判定,其中該狀態序列包括該物件的一或多個未來狀態;基於該狀態序列內之該等狀態及該一或多個未來狀態中的至少一者來判定與該物件相關聯的一製程度量,該製程度量包含針對該物件之處理要求對於該狀態序列中之個別狀態是否被滿足的一指示;及基於(1)該等狀態及該一或多個未來狀態中之至少一者及(2)該製程度量來起始對處理的一調整,該調整經組態以針對該狀態序列中之該等個別狀態增強該製程度量,使得該物件之最終處理要求被滿足。

Description

用於製程度量之察覺製程控制的系統及方法
本文中之描述內容係關於一種用於製程度量之察覺製程控制的系統及方法。
積體電路製造可包括各種製程,諸如微影、蝕刻、沈積、化學機械拋光、離子植入及/或其他操作。個別操作可產生滿足製造規範的部分,或針對不滿足此等規範被拒絕的部分。舉例而言,對製造操作之校正可基於部分是否滿足製造規範來進行。
微影投影設備可用於(例如)積體電路(IC)之製造中。在此類狀況下,圖案化裝置(例如,光罩)可含有或提供對應於IC之個別層的圖案(「設計佈局」),且此圖案可藉由諸如經由圖案化裝置上之圖案照射目標部分的方法轉印於基板(例如,矽晶圓)上之目標部分(例如,包含一或多個晶粒)上,該目標部分已塗佈有輻射敏感材料(「光阻」)層。一般而言,單一基板含有複數個鄰近目標部分,圖案係由微影投影設備一次一個目標部分地順次轉印至該複數個鄰近目標部分。在一種類型之微影投影設備中,在一個操作中將整個圖案化裝置上之圖案轉印至一個目標部分上。此類設備通常被稱作步進器。在通常被稱作步進掃描設備(step-and-scan apparatus)之替代設備中,投影光束在給定參考方向(「掃描」方向)上遍及圖案化裝置進行掃描,同時平行或反平行於此參考方向而同步地移動基板。將圖案化裝置上之圖案之不同部分漸進地轉印至一個目標部分。一般而言,因為微影投影設備將具有縮減比率M (例如,4),所以基板移動的速度F將為1/M時間,此時投影光束掃描圖案化裝置。可(例如)自以引用的方式併入本文中之US 6,046,792搜集到關於如本文中所描述之微影裝置的更多資訊。
在將圖案自圖案化裝置轉印至基板之前,基板可經歷各種工序,諸如,上底漆、抗蝕劑塗佈,及軟烘烤。在曝光之後,基板可經受其他工序(「曝光後工序」),諸如曝光後烘烤(PEB)、顯影、硬烘烤及對經轉印圖案之量測/檢測。此工序陣列係用作製造一裝置(例如,IC)之個別層的基礎。基板接著可經受諸如蝕刻、離子植入(摻雜)、金屬化、氧化、化學機械研磨等各種製程,該等製程皆意欲精整裝置之個別層。若在裝置中需要若干層,則針對每一層來重複整個工序或其變體。最終,在基板上之每一目標部分中將存在一裝置。此等裝置接著藉由諸如切割或鋸切之技術彼此分離。個別裝置可安裝於連接至接腳的載體等上。
製造半導體裝置通常涉及使用若干製造製程處理基板(例如,半導體晶圓)以形成裝置之各種特徵及多個層。通常使用(例如)沈積、微影、蝕刻、化學機械拋光及離子植入來製造及處理此等層及特徵。可在一基板上之複數個晶粒上製造多個裝置,且接著將該等裝置分離成個別裝置。此裝置製造程序可被認為係圖案化製程。圖案化製程涉及使用微影設備中之圖案化裝置進行圖案化步驟(諸如光學及/或奈米壓印微影)以將圖案化裝置上之圖案轉印至基板,但通常圖案化製程視情況涉及一或多個相關圖案處理步驟,諸如藉由顯影設備進行抗蝕劑顯影、使用烘烤工具來烘烤基板、使用蝕刻設備而使用圖案進行蝕刻等等。通常在圖案化製程中亦涉及一或多個度量衡製程。
如所提及,微影為在諸如IC之裝置之製造時的中心步驟,其中形成於基板上之圖案界定裝置之功能元件,諸如微處理器、記憶體晶片等。類似微影技術亦用於形成平板顯示器、微機電系統(MEMS)及其他裝置中。
隨著半導體製造製程繼續進步,幾十年來,功能元件之尺寸已不斷地減小,而每裝置的諸如電晶體之功能元件之數目已在穩固地增加,此遵循通常被稱作「莫耳定律(Moore's law)」之趨勢。在當前技術狀態下,使用微影投影設備製造裝置之層,該等微影投影設備使用來自深紫外線照明源及/或極紫外線照明源之照明將設計佈局投影至基板上,從而產生尺寸遠低於100 nm,亦即小於來自照明源之輻射之波長的一半的個別功能元件。
根據解析度公式CD = k1 ×λ/NA,其中λ為所使用輻射之波長(當前在大多數情況下為248 nm或193 nm),NA為微影投影設備中之投影光學件之數值孔徑,CD為「臨界尺寸」(通常為所印刷之最小特徵大小),且k1 為經驗解析度因數,印刷尺寸小於微影投影設備之經典解析度限制之特徵的此製程通常稱為低k1 微影。大體而言,k1 愈小,則在基板上再生類似於由設計者規劃之形狀及尺寸以便達成特定電功能性及效能的圖案變得愈困難。為了克服此等困難,將複雜微調步驟應用至微影投影設備、設計佈局或圖案化裝置。此等步驟包括例如但不限於NA及光學相干設定之最佳化、定製照明方案、相移圖案化裝置之使用、設計佈局中之光學近接校正(OPC,有時亦被稱作「光學及製程校正」),或通常被定義為「解析度增強技術」(RET)之其他方法。
對晶圓上之層的校正及/或其他調整通常係基於來自晶圓之緊挨先前層的資訊。通常,不存在貫穿(晶圓)堆疊良率最佳化。有利地,本發明之系統及方法使用無模型加強學習以判定晶圓之狀態序列與晶圓之最終良率之間的關係,且進行使良率及/或處理時間及/或成本最佳化的校正及/或其他調整。
根據一實施例,提供一種半導體處理方法。該方法包含運用一或多個處理器判定一經處理物件的一狀態序列。該等狀態基於與該物件相關聯之處理資訊來判定,且該狀態序列包括該物件的一或多個未來狀態。該方法包含運用該一或多個處理器基於該狀態序列內之該等狀態及該一或多個未來狀態中的至少一者判定與該物件相關聯的一製程度量。該製程度量包含該物件之處理要求對於該狀態序列中之個別狀態是否被滿足的一指示。該方法包含運用該一或多個處理器基於(1)該狀態序列內之該等狀態及該一或多個未來狀態中之至少一者及(2)該製程度量來起始對該處理製程的一調整。該調整經組態以針對該狀態序列中之該等個別狀態增強該製程度量,使得該物件之最終處理要求被滿足。
在一實施例中,該狀態序列對應於針對該目標執行的一處理操作序列。判定該狀態序列、判定該製程度量及起始該調整包含:判定一策略函數P(s),該策略函數界定針對個別狀態之處理操作校正、用於執行該等處理操作之裝備,及/或針對該等處理操作的一或多個製程參數;及/或判定一價值函數V(s),該價值函數界定假定該策略函數被遵循直至該處理操作序列完成的該製程度量之該增強。
在一實施例中,該價值函數界定針對一給定狀態(s)的一預期製程度量。
在一實施例中,該方法針對一半導體處理環境執行,且該經處理物件為一半導體晶圓,或該半導體晶圓的一或多個部分。
在一實施例中,該製程度量包含以下各者中的一或多者:良率、感測器量測之成本、產出率、良率最佳化與量測密度之間的一取捨之一指示、疊對量測的成本,或疊對。
在一實施例中,該製程度量包含一獎勵,且該一或多個處理器包含一代理。
在一實施例中,該製程度量包含良率,且針對該狀態序列中之該等個別狀態增強該製程度量使得該物件的最終處理要求被滿足包含增大該良率。
在一實施例中,該製程度量及/或該調整基於該狀態序列內之該等狀態中的至少兩者來判定。
在一實施例中,該製程度量及/或該調整基於該狀態序列內的複數個該等狀態來判定。
在一實施例中,起始該調整包含(1)基於該狀態序列使該製程度量最佳化,且基於該經最佳化之製程度量判定該調整;及/或(2)提示一使用者以進行該調整。
在一實施例中,該調整包含一校正。
在一實施例中,該校正為與該半導體處理製程相關聯的一曝光校正。
在一實施例中,該調整包含在一或多個處理操作期間量測該物件之位置、時間及/或方式的指示。
在一實施例中,該狀態序列對應於針對該物件執行的一處理操作序列,且該調整包含以下各者中的一或多者:處理操作經執行所在的一改變、該等處理操作經執行所按的一次序之一改變,或用以執行該等處理操作中之一或多者的一或多件裝備的一改變。
在一實施例中,該調整包含該一或多個處理操作之一或多個製程參數的一改變。
在一實施例中,該一或多個製程參數包含以下各者中的一或多者:一劑量、一焦點、一光罩設計、一曝光層級、一或多個蝕刻參數、一或多個沈積參數或一或多個量測參數。
在一實施例中,該狀態序列對應於針對該物件執行的一處理操作序列,且該處理資訊包含以下各者中的一或多者:作為該等處理操作之部分執行的該物件之量測的值、哪些處理操作經執行的一指示、該處理操作序列之一次序的一指示、哪一裝備用於該等處理操作中及/或關聯機器常數的一指示,或該等處理操作的處理參數。
在一實施例中,判定該狀態序列、判定該製程度量及起始該調整係作為一無模型加強學習(MFRL)構架之至少一部分經執行。
在一實施例中,該MFRL構架包含以下各者中之一或多者:一非同步優勢動作-評價演算法、運用標準化優勢函數的一Q學習、一信任區策略最佳化演算法、一近接策略最佳化演算法、一成對延遲深度判定策略梯度,或一軟動作-評價演算法。
在一實施例中,該方法進一步包含運用該一或多個處理器比較具有第一製程參數的一或多個處理操作之一第一序列與具有第二製程參數之一或多個處理操作的一第二序列,該比較係基於與第一序列及第二序列相關聯的策略函數及價值函數。
在一實施例中,該方法進一步包含:執行該判定該狀態序列,該判定該製程度量及該起始該調整作為一伺服操作階段的部分;及在該伺服階段之前,在一訓練操作階段期間訓練該策略函數及/或該價值函數。
在一實施例中,該訓練操作階段在一模擬半導體處理環境中執行。
根據另一實施例,提供一種在其上具有指令之非暫時性電腦可讀媒體,該等指令在由一電腦執行時實施上文所述之實施例中之任一者之方法。
根據另一實施例,提供一種上面具有指令的非暫時性電腦可讀媒體,該等指令在由一電腦執行時使得該電腦進行以下操作:判定一經處理物件之一狀態序列,該等狀態係基於與該物件相關聯之處理資訊判定;基於該狀態序列內之該等狀態中的至少一者判定與該物件相關聯的一製程度量,該製程度量包含針對該物件之處理要求對於該狀態序列中之個別狀態是否被滿足的一指示;及基於(1)該狀態序列內該等狀態中之至少一者及(2)該製程度量來起始對處理製程的一調整,該調整經組態以針對該狀態序列中之該等個別狀態增強該製程度量,使得該物件之最終處理要求被滿足。
在一實施例中,該狀態序列對應於針對該物件執行的一處理操作序列,且判定該狀態序列、判定該製程度量及起始該調整包含:判定一策略函數P(s),該策略函數界定針對個別狀態之處理操作校正、用於執行該等處理操作之裝備,及/或針對該等處理操作的一或多個製程參數;及/或判定一價值函數V(s),該價值函數界定假定該策略函數被遵循直至該處理操作序列完成的該製程度量之該增強。
在一實施例中,該價值函數界定針對一給定狀態(s)的一預期製程度量。
在一實施例中,該電腦與一半導體處理環境相關聯,且該經處理物件為一半導體晶圓,或該半導體晶圓的一或多個部分。
在一實施例中,該製程度量包含以下各者中的一或多者:良率、感測器量測之成本、產出率、良率最佳化與量測密度之間的一取捨之一指示、疊對量測的成本,或疊對。
在一實施例中,該製程度量包含一獎勵,且該電腦包含一代理。
在一實施例中,該製程度量包含良率,且針對該狀態序列中之該等個別狀態增強該製程度量使得該物件的最終處理要求被滿足包含增大該良率。
在一實施例中,該製程度量及/或該調整基於該狀態序列內之該等狀態中的至少兩者來判定。
在一實施例中,該製程度量及/或該調整基於該狀態序列內的複數個該等狀態來判定。
在一實施例中,起始該調整包含(1)基於該狀態序列使該製程度量最佳化,且基於該經最佳化之製程度量判定該調整;及/或(2)提示一使用者以進行該調整。
在一實施例中,該調整包含一校正。
在一實施例中,該校正為與一半導體處理製程相關聯的一曝光校正。
在一實施例中,該調整包含在一或多個處理操作期間量測該物件之位置、時間及/或方式的指示。
在一實施例中,該狀態序列對應於針對該物件執行的一處理操作序列,且該調整包含以下各者中的一或多者:處理操作經執行所在的一改變、該等處理操作經執行所按的一次序之一改變,或用以執行該等處理操作中之一或多者的一或多件裝備的一改變。
在一實施例中,該調整包含該一或多個處理操作之一或多個製程參數的一改變。
在一實施例中,該一或多個製程參數包含以下各者中的一或多者:一劑量、一焦點、一光罩設計、一曝光層級、一或多個蝕刻參數、一或多個沈積參數或一或多個量測參數。
在一實施例中,該狀態序列對應於針對該物件執行的一處理操作序列,且該處理資訊包含以下各者中的一或多者:作為該等處理操作之部分執行的該物件之量測的值、哪些處理操作經執行的一指示、該處理操作序列之一次序的一指示、哪一裝備用於該等處理操作中及/或關聯機器常數的一指示,或該等處理操作的處理參數。
在一實施例中,判定該狀態序列、判定該製程度量及起始該調整係作為一無模型加強學習(MFRL)構架之至少一部分經執行。
在一實施例中,該MFRL構架包含以下各者中之一或多者:一非同步優勢動作-評價演算法、運用標準化優勢函數的一Q學習、一信任區策略最佳化演算法、一近接策略最佳化演算法、一成對延遲深度判定策略梯度,或一軟動作-評價演算法。
在一實施例中,該狀態序列包括該物件之一或多個未來狀態,且判定該製程度量係基於包括該一或多個未來狀態的該狀態序列;及起始對該處理製程之該調整係基於該狀態序列及該製程度量,該狀態序列包括該一或多個未來狀態。
在一實施例中,該等指令進一步使得該電腦比較具有第一製程參數之一或多個處理操作的一第一序列與具有第二製程參數之一或多個處理操作的一第二序列,該比較係基於與第一序列及第二序列相關聯的策略函數及價值函數。
在一實施例中,該等指令進一步使得該電腦:執行該判定該狀態序列,該判定該製程度量及該起始該調整作為一伺服操作階段的部分;及在該伺服階段之前,在一訓練操作階段期間訓練該策略函數及/或該價值函數。
在一實施例中,該訓練操作階段在一模擬半導體處理環境中執行。
根據另一實施例,提供一種微影設備。該設備包含:一照明源及投影光學件,該投影光學件經組態以將一圖案投影於一基板上;及一或多個處理器,該一或多個處理器藉由機器可讀指令組態以進行以下操作:判定一經處理物件之一狀態序列,該等狀態係基於與該物件相關聯之處理資訊判定;基於該狀態序列內之該等狀態中的至少一者判定與該物件相關聯的一製程度量,該製程度量包含針對該物件之處理要求對於該狀態序列中之個別狀態是否被滿足的一指示;及基於(1)該狀態序列內該等狀態中之至少一者及(2)該製程度量來起始對處理製程的一調整,該調整經組態以針對該狀態序列中之該等個別狀態增強該製程度量,使得該物件之最終處理要求被滿足。
在一實施例中,該調整包含與該照明源、投影光學件、該圖案及/或該基板相關聯之製程參數的一改變。
在一實施例中,該處理製程為一半導體處理製程,且該經處理物件為一半導體晶圓,或該半導體晶圓的一或多個部分。
在一實施例中,該製程度量包含良率,且滿足該等處理要求包含增大該良率。
在一實施例中,起始該調整包含基於該狀態序列使該製程度量最佳化,且基於該經最佳化之製程度量判定該調整。
在一實施例中,該調整為與該微影設備相關聯的一曝光校正。
在一實施例中,判定該狀態序列、判定該製程度量及起始該調整係作為一無模型加強學習(MFRL)構架之至少一部分經執行。
在一實施例中,該狀態序列包括該物件之一或多個未來狀態,判定該製程度量係基於包括該一或多個未來狀態的該狀態序列;及起始對該處理製程之該調整係基於該狀態序列及該製程度量,該狀態序列包括該一或多個未來狀態。
在一實施例中,該狀態序列對應於針對該物件執行的一處理操作序列,滿足該等處理要求包含增強該製程度量,且起始該調整包含:判定一策略函數P(s),該策略函數界定針對個別狀態之處理操作校正、用於執行該等處理操作之裝備,及/或針對該等處理操作的一或多個製程參數;及/或判定一價值函數V(s),該價值函數界定假定該策略函數被遵循直至該處理操作序列完成的該製程度量之該增強。
根據另一實施例,提供一種半導體處理方法。該方法包含運用一或多個實體處理器判定一經處理物件之一狀態序列。該等狀態基於與該物件相關聯之處理資訊來判定。該方法包含運用該一或多個處理器基於該狀態序列內之該等狀態中的至少一者判定與該物件相關聯的一製程度量。該製程度量指示該狀態序列中之個別狀態的處理品質。該方法包含運用該一或多個處理器基於(1)該狀態序列內之該等狀態中之至少一者及(2)該製程度量來起始對一處理製程的一調整。該調整經組態以針對該狀態序列中之該等個別狀態增強該製程度量,使得最終處理要求滿足一品質準則。
對晶圓上之層的校正及/或其他調整通常係基於來自晶圓之緊挨先前層的資訊。舉例而言,針對後續層之校正可基於單一量測,諸如與先前層相關聯之疊對來判定。通常,不存在貫穿(晶圓)堆疊製程度量(例如,良率)最佳化。舉例而言,堆疊之各種層中不存在針對藉由特定製程及/或在不同先前層之處理期間使用之工具引起之變化的校正之平衡。基於較早處理製程(例如,對於緊挨先前層之前的一或多個層)是否使得晶圓或晶圓之一部分不滿足處理規範,不存在製程調整。
有利地,本發明之系統及方法使用無模型加強學習方法來判定晶圓之一狀態序列與晶圓之製程度量(例如,最終良率)之間的關係,且進行使製程度量(例如,良率及/或處理成本)最佳化的校正。本發明之系統及方法包括包含效能、內容脈絡、掃描器及良率(或良率代理伺服器)及/或其他資料的大量訓練資料集正用於訓練的訓練階段。舉例而言,系統及方法接著可用以對於與某狀態相關聯之經處理晶圓(例如,具有例如特定處理歷史的晶圓)判定最佳策略(例如,貫穿堆疊動作序列),該最佳策略產生具有最低成本的最佳良率及/或處理製程(例如,鑒於度量衡時間、材料等)。
儘管特定參考可在經由進階製程控制(APC)系統覆疊誤差校正的此情形下進行,但此處所描述之構架可應用至諸如以下各者但不限於以下各者的其他度量衡製程:對準製程、聚焦製程、劑量判定、智慧取樣等。
儘管在本文中可特定地參考IC之製造,但應明確地理解,本文中之描述具有許多其他可能應用。舉例而言,其可用於製造整合式光學系統、用於磁疇記憶體之導引及偵測圖案、液晶顯示面板、薄膜磁頭等中。在此等替代應用中,熟習此項技術者應瞭解,在此等替代應用之上下文中,本文中對術語「倍縮光罩」、「晶圓」或「晶粒」之任何使用應被認為分別可與更一般之術語「光罩」、「基板」及「目標部分」互換。另外,應注意,本文中所描述之系統及方法在多樣化領域中可具有許多其他可能的應用,該等領域係諸如語言處理系統、自動駕駛汽車、醫療成像及診斷、語意分段、去雜訊、晶片設計、電子設計自動化等。本發明之系統及方法可應用於無模型加強學習為有利的任何領域中。
在本文獻中,術語「輻射」及「光束」用以涵蓋所有類型之電磁輻射,包括紫外輻射(例如具有為365、248、193、157或126 nm之波長)及極紫外輻射(EUV,例如具有在約5至100 nm之範圍內之波長)。
圖案化裝置可包含或可形成一或多個設計佈局。可利用電腦輔助設計(CAD)程式來產生設計佈局。此製程常常被稱作電子設計自動化(EDA)。大多數CAD程式遵循一預定設計規則集合,以便產生功能設計佈局/圖案化裝置。基於處理及設計限制來設定此等規則。舉例而言,設計規則界定裝置(諸如閘極、電容器等)或互連線之間的空間容許度,以確保裝置或線不會以不合意的方式彼此相互作用。設計規則限制中之一或多者可稱為「臨界尺寸」(CD)。裝置之臨界尺寸可被定義為線或孔之最小寬度或者兩條線或兩個孔之間的最小空間。因此,CD調節經設計裝置之總大小及密度。裝置製造中之目標中的一者係在基板上如實地再生原始設計意圖(經由圖案化裝置)。
本文中所使用之術語「光罩」或「圖案化裝置」可被廣泛地解譯為係指可用以向入射輻射光束賦予經圖案化橫截面之通用圖案化裝置,該經圖案化橫截面對應於待在基板之目標部分中產生之圖案。在此上下文中,亦可使用術語「光閥」。除經典光罩(透射或反射;二元、相移、混合式等)以外,其他此類圖案化裝置之實例亦包括可程式化鏡面陣列。此裝置之實例為具有黏彈性控制層及反射表面之矩陣可定址表面。此設備所隱含之基本原理為(例如):反射表面之經定址區域將入射輻射反射為繞射輻射,而未經定址區域將入射輻射反射為非繞射輻射。使用適當濾光器,可自反射光束濾出該非繞射輻射,從而僅留下繞射輻射;以此方式,光束根據矩陣可定址表面之定址圖案而變得經圖案化。可使用合適電子構件來執行所需矩陣定址。其他此類圖案化裝置之實例亦包括可程式化LCD陣列。在以引用之方式併入本文中的美國專利第5,229,872號中給出此構造之一實例。
如本文所使用之術語「投影光學件」應被廣泛地解譯為涵蓋各種類型之光學系統,包括(例如)折射光學件、反射光學件、孔徑及反射折射光學件。術語「投影光學件」亦可包括根據此等設計類型中之任一者而操作的組件,以用於集體地或單一地導向、塑形或控制投影輻射光束。術語「投影光學件」可包括微影投影設備中之任何光學組件,而不管光學組件定位於微影投影設備之光學路徑上之何處。投影光學件可包括用於在來自源之輻射通過圖案化裝置之前塑形、調整及/或投影該輻射的光學組件,及/或用於在輻射通過圖案化裝置之後塑形、調整及/或投影該輻射的光學組件。投影光學件通常排除光源及圖案化裝置。
作為簡要介紹,圖1說明例示性微影投影設備10A。主要組件係:輻射源12A,其可係深紫外(DUV)準分子雷射源或其他類型之源,包括極紫外線(EUV)源(如上文所論述,微影投影設備自身無需具有輻射源);照明光學件,其例如界定部分相干性(表示為均方偏差)且可包括對來自源12A之輻射進行塑形之光學件14A、16Aa及16Ab;圖案化裝置18A;及透射光學件16Ac,其將圖案化裝置圖案之影像投影至基板平面22A上。在投影光學件之光瞳平面處的可調整濾光器或孔徑20A可限定照射於基板平面22A上之光束角度之範圍,其中最大可能角度界定投影光學件之數值孔徑NA=n sin(Θmax ),其中n為基板與投影光學件之最後元件之間的介質之折射率,且Θmax 為自投影光學件射出的仍可照射於基板平面22A上之光束的最大角度。
在微影投影設備中,源提供照明(亦即,輻射)至圖案化裝置,且投影光學件經由圖案化裝置導引並塑形照明至基板上。投影光學件可包括組件14A、16Aa、16Ab及16Ac中之至少一些。空中影像(AI)為在基板位階處之輻射強度分佈。可使用抗蝕劑模型以自空中影像計算抗蝕劑影像,可在全部揭示內容特此以引用方式倂入之美國專利申請公開案第US 2009-0157630號中找到此情形之實例。抗蝕劑模型僅與抗蝕劑層之性質(例如在曝光、曝光後烘烤(PEB)及顯影期間發生的化學過程之效應)相關。微影投影設備之光學性質(例如,照明、圖案化裝置及投影光學件之性質)規定空中影像且可界定於光學模型中。由於可改變用於微影投影設備中之圖案化裝置,所以需要使圖案化裝置之光學性質與至少包括源及投影光學件的微影投影設備之其餘部分之光學性質分離。用以將設計佈局變換成各種微影影像(例如,空中影像、抗蝕劑影像等),使用彼等技術及模型來應用OPC且評估效能(例如,根據製程窗)之技術及模型的細節描述於美國專利申請公開案第US 2008-0301620號、第2007-0050749號、第2007-0031745號、第2008-0309897號、第2010-0162197號及第2010-0180251號中,各美國專利申請公開案之揭示內容特此以全文引用之方式併入。
圖2描繪微影製造單元LC之示意性綜述。如圖2中所展示,微影設備LA可形成微影製造單元LC之部分,微影製造單元LC有時亦被稱作微影製造單元(lithocell)或(微影)叢集,其常常亦包括對基板W執行曝光前及曝光後製程之設備。習知地,此等設備包括經組態以沈積抗蝕劑層之旋塗器SC、顯影曝光之抗蝕劑的顯影器DE、冷卻板CH及烘烤板BK,該等冷卻板及該等烘烤板例如用於調節基板W之溫度,例如用於調節抗蝕劑層中之溶劑。基板處置器或機器人RO自輸入/輸出埠I/O1、I/O2拾取基板W、在不同製程設備之間移動基板W且將基板W遞送至微影設備LA之裝載匣LB。微影製造單元中通常亦統稱為塗佈顯影系統之裝置通常處於塗佈顯影系統控制單元TCU之控制下,該塗佈顯影系統控制單元TCU自身可藉由監督控制系統SCS控制,該監督控制系統SCS亦可例如經由微影控制單元LACU控制微影設備LA。
為了正確且一致地曝光由微影設備LA曝光之基板,需要檢測基板以量測經圖案化結構之性質,諸如後續層之間的疊對誤差、線厚度、臨界尺寸(CD)等等。出於此目的,可在微影製造單元LC中包括檢測工具(未展示)。若偵測到誤差,則可對後續基板之曝光或對待對基板執行之其他處理步驟進行例如調整,在同一批量或批次之其他基板仍待曝光或處理之前進行檢測的情況下尤其如此。
亦可稱為度量衡設備之檢測設備用於判定基板之性質,且判定不同基板之性質如何變化或與同一基板之不同層相關聯之性質在不同層間如何變化。檢測設備可替代地經建構以識別基板上之缺陷,且可例如為微影製造單元LC之一部分,或可整合至微影設備LA中,或可甚至為獨立裝置。檢測設備可量測潛影(在曝光之後在抗蝕劑層中之影像)的性質,或半潛影(在曝光後烘烤步驟PEB之後在抗蝕劑層中之影像)的性質,或經顯影抗蝕劑影像(其中抗蝕劑之曝光部分或未曝光部分已被移除)的性質,或甚至經蝕刻影像(在諸如蝕刻之圖案轉印步驟之後)的性質。
圖3描繪整體微影之示意性表示,從而表示用以最佳化半導體處理之三種技術之間的協作。通常,微影設備LA中之圖案化製程為處理中之最重要步驟中的一者,其需要基板上之結構之尺寸設定及放置之高準確度。為確保此高準確度,三個系統(在此實例中)可經組合於所謂的「整體」控制環境中,如圖3中示意性地描繪。此等系統中之一者為微影設備LA,其(虛擬地)連接至度量衡設備(例如度量衡工具) MT (第二系統),且連接至電腦系統CL (第三系統)。「整體」環境可經組態以最佳化此等三個系統之間的協作以增強總製程窗且提供嚴格控制環路,從而確保藉由微影設備LA執行之圖案化保持在製程窗內。製程窗界定製程參數(例如,劑量、焦點、疊對)的範圍,在該範圍內,特定處理製程產生所界定結果(例如,功能半導體裝置)-典型地在微影製程或圖案化製程中之製程參數可被允許以發生變化所在的範圍內。
電腦系統CL可使用待圖案化之設計佈局(之部分)以預測使用哪種解析度增強技術,且執行運算微影模擬及計算以判定哪種光罩佈局及微影設備設定達成圖案化製程之最大總體製程窗(在圖3中藉由第一標度SC1中之雙箭頭描繪)。通常,解析度增強技術經配置以匹配微影設備LA之圖案化可能性。電腦系統CL亦可用以偵測微影設備LA當前正在製程窗內之何處操作(例如使用來自度量衡工具MT之輸入)以預測是否可能存在歸因於例如次佳處理的缺陷(由第二標度SC2中指向「0」之箭頭描繪於圖3中)。
度量衡設備(工具) MT可將輸入提供至電腦系統CL以實現準確模擬及預測,且可將回饋提供至微影設備LA以識別例如微影設備LA之校準狀態中的可能漂移(在圖3中由第三標度SC3中之多個箭頭所描繪)。
在微影製程中,需要頻繁地對所產生結構進行量測,例如用於製程控制及驗證。用以進行此類量測的工具包括度量衡工具(設備) MT。用於進行此類量測之不同類型的度量衡工具MT為吾人所知,包括掃描電子顯微鏡或各種形式之散射計度量衡工具MT。散射計為多功能儀器,其允許藉由在光瞳或與散射計之接物鏡的光瞳共軛的平面中具有感測器來量測微影製程之參數,量測通常被稱作以光瞳為基礎之量測,或允許藉由在影像平面或與影像平面共軛之平面中具有感測器來量測微影製程之參數,在此情況下量測通常被稱作以影像或場為基礎之量測。以全文引用之方式併入本文中之專利申請案US20100328655、US2011102753A1、US20120044470A、US20110249244、US20110026032或EP1,628,164A中進一步描述此類散射計及相關量測技術。舉例而言,前述散射計可使用來自軟x射線及可見光至近IR波長範圍之光來量測基板之特徵,諸如光柵。
在一些實施例中,散射計MT適於藉由量測經反射光譜及/或偵測組態中之不對稱來量測兩個未對準光柵或週期性結構(及/或基板之其他目標特徵)之疊對,該不對稱與疊對程度相關。兩個(通常重疊)光柵結構可經施加於兩個不同層(未必為連續層)中,且可形成為處於晶圓上大體上相同的位置。散射計可具有如例如專利申請案EP1,628,164A中所描述之對稱偵測組態,使得任何不對稱為可明確區分的。此提供用以量測光柵中之未對準的方式。量測疊對之另外實例可於以全文引用之方式併入本文中的PCT專利申請公開案第WO 2011/012624號或美國專利申請案US 20160161863中找到。
其他所關注參數可為焦點及劑量。可藉由如全文係以引用方式併入本文中之美國專利申請案US2011-0249244中所描述之散射量測(或替代地藉由掃描電子顯微法)同時判定焦點及劑量。可使用單一結構(例如,基板中之特徵),其具有針對焦點能量矩陣(FEM,亦稱為焦點曝光矩陣)中之每一點的臨界尺寸及側壁角量測之獨特組合。若可得到臨界尺寸及側壁角之此等獨特組合,則可自此等量測獨特地判定焦點及劑量值。
常常需要能夠以運算方式判定圖案化製程將如何在基板上產生所要圖案。運算判定可包含例如模擬。模擬可針對處理製程之一或多個部分提供。舉例而言,需要能夠模擬將圖案化裝置圖案轉印至基板之抗蝕劑層上的微影製程以及在抗蝕劑之顯影之後在彼抗蝕劑層中產生的圖案、模擬度量衡操作(諸如疊對之判定)及/或執行其他模擬。模擬之目標可為準確地預測例如度量衡度量(例如,疊對、臨界尺寸、基板之特徵之三維輪廓的重構、微影設備在基板之特徵運用微影設備進行印刷時的劑量或焦點等)、處理製程參數(例如,邊緣置放、空中影像強度傾斜、子解析度輔助特徵(SRAF)等),及/或其他資訊,該其他資訊可接著用以判定所欲或目標設計是否已達成。所欲設計通常定義為預光學近接校正設計佈局,其可以諸如GDSII、OASIS或另一檔案格式之標準化數位檔案格式提供。
模擬可用以判定一或多個度量衡度量(例如,疊對及/或其他度量衡量測),組態圖案化裝置圖案之一或多個特徵(例如,藉由模擬光學近接校正),組態照明之一或多個特徵(例如,藉由模擬照明之空間/角強度分佈之一或多個特性的改變),組態投影光學件之一或多個特徵(例如,數值孔徑等)及/或針對其他目的。此判定及/或組態通常可稱為例如光罩最佳化、源最佳化及/或投影最佳化。可獨立地執行或以不同組合形式組合此類最佳化。一個此類實例為源-光罩最佳化(source-mask optimization;SMO),其涉及組態圖案化裝置圖案之一或多個特徵連同照明之一或多個特徵。最佳化可例如使用本文中所描述之參數化模型以預測各種參數(包括影像等)之值。
在一些實施例中,可將系統之最佳化製程表示為成本函數。最佳化製程可包含找尋系統之使成本函數最小化之參數集合(設計變數、製程變數等)。成本函數可取決於最佳化之目標而具有任何合適形式。舉例而言,成本函數可為系統之某些特性(評估點)相對於此等特性之所欲值(例如,理想值)之偏差的加權均方根(RMS)。成本函數亦可為此等偏差之最大值(亦即,最差偏差)。術語「評估點」應廣泛地解譯為包括系統或製造方法之任何特性。歸因於系統及/或方法之實施的實務性,系統之設計及/或製程變數可受限於有限範圍及/或可相互相依。在微影投影設備之狀況下,約束常常與硬體之物理性質及特性(諸如,可調諧範圍及/或圖案化裝置可製造性設計規則)相關聯。評估點可包括基板上之抗蝕劑影像上之實體點,以及非物理特性,諸如(例如)劑量及焦點。
在一些實施例中,本發明之系統及方法可包括經組態以執行本文中所描述之操作中之一或多者的一或多個處理器。一或多個處理器可包含一或多個演算法及/或其他程式化,該程式化經組態以基於各種輸入(例如,電場影像之一或多個特性、設計佈局之一或多個特性、圖案化裝置之一或多個特性、用於微影製程中之照明的一或多個特性,諸如波長等)之間的關係來模擬及/或以其他方式預測輸出。
作為一實例,演算法可為機器學習演算法。在一些實施例中,機器學習演算法可為及/或包括數學方程式、其他演算法、標繪圖、圖表、網路(例如神經網路),及/或其他工具及機器學習組件。舉例而言,機器學習演算法可為及/或包括一或多個神經網路,該一或多個神經網路具有輸入層、輸出層及一或多個中間或隱藏層。在一些實施例中,一或多個神經網路可為及/或包括深度神經網路(例如,在輸入層與輸出層之間具有一或多個中間或隱藏層的神經網路)。
作為一實例,一或多個神經網路可係基於大的神經單元(或人工神經元)集合。該一或多個神經網路可不嚴格地模仿生物大腦工作之方式(例如,經由由軸突連接之大的生物神經元簇)。神經網路之每一神經單元可與該神經網路之許多其他神經單元連接。此類連接可加強或抑制其對所連接之神經單元之激活狀態的影響。在一些實施例中,每一個別神經單元可具有將其所有輸入之值組合在一起之求和函數。在一些實施例中,每一連接(或神經單元自身)可具有定限函數,使得信號在其經允許傳播至其他神經單元之前必須超出臨限值。此等神經網路系統可為自學習及經訓練的,而非經明確程式化,且與傳統電腦程式相比,可在某些問題解決領域中顯著更佳地進行。在一些實施例中,一或多個神經網路可包括多個層(例如,其中信號路徑自前端層橫穿至後端層)。在一些實施例中,神經網路可利用反向傳播技術,其中使用前向刺激以對「前端」神經單元重設權重。在一些實施例中,對一或多個神經網路之刺激及抑制可更自由流動,其中連接以較混亂且複雜之方式相互作用。在一些實施例中,一或多個神經網路之中間層包括一或多個卷積層、一或多個重現層及/或其他層。
一或多個機器學習演算法可使用一組訓練資料來訓練(亦即,其參數予以判定)。訓練資料可包括一組訓練樣本。每一樣本可為包含輸入物件(通常為影像、量測值、可稱為特徵張量或向量的張量或向量)及所要輸出值(亦稱為監督信號)之一對物件及輸出值。訓練演算法分析訓練資料,且藉由基於訓練資料調整演算法之參數來調整演算法之行為。舉例而言,在給定呈
Figure 02_image001
形式之N個訓練樣本之集合使得
Figure 02_image003
為第i實例之特徵張量/向量且
Figure 02_image005
為其監督信號之情況下,訓練演算法尋求結果
Figure 02_image007
,其中X為輸入空間且Y為輸出空間。特徵張量/向量為表示某物件(例如複電場影像)的數值特徵之n維張量/向量。與此等向量相關聯之張量/向量空間常常稱為特徵或潛在空間。在訓練之後,演算法可用於使用新樣本來進行預測。
圖4說明用於將加強學習用於製程度量(例如,良率)察覺製程控制之發明方法400的操作之概述。在一半導體處理製程中,諸如疊對(例如,層間對準偏移)、臨界尺寸(CD)等的某些參數經量測以確保製程根據(滿足)處理要求而進行。此等量測用以監視且控制處理製程。此等量測值連同額外背景資料(例如,哪些機器及/或其他裝備予以使用,哪些製程參數予以使用等)對於緊挨的先前層用於後續層中以起始對半導體處理製程的調整(例如,使用進階製程控制(APC)系統)用於該後續層。
在給定晶圓中,一些層相較於其他層為更關鍵的。一些層具有該等其他層之更嚴格的處理要求。舉例而言,植入層相較於通孔層為較不關鍵的,該等通孔層需要關於金屬層更緊密地對準。此等關鍵層需要更密集的品質檢測量測。作為另一實例,包括最佳設計度量衡目標之層對於識別製程變化及最終產生工作裝置為重要的。然而,儘管給定晶圓中此等及其他層的關鍵性,對晶圓上之層的典型調整僅基於來自晶圓之緊挨先前層的資訊且並不考慮來自額外先前層的資訊(例如,指示彼等先前層的尺寸、彼等先前層的對準、彼等先前層的疊對、晶圓或晶圓之一部分是否已未滿足處理要求,及/或其他資訊)。
在其他優勢中,先前系統缺少貫穿堆疊良率(及/或其他類似製程度量)察覺。良率為功能積體電路(半導體裝置)的量測。常常,先前系統僅試圖使兩個相鄰層之間的疊對最小化(或滿足某其他處理要求)。先前系統並不考慮對影響良率之疊對(及/或其他參數)的潛在貫穿堆疊效應。繼續此實例,有可能的是用於半導體裝置之前若干層的製程產生具有零良率的晶圓之若干區域,此隨後將被廢棄。理論上,在晶圓之下一後續層中,調整應經應用,使得晶圓之零良率區域並非優先權或甚至被忽略。然而,先前系統並非以此方式組態。
作為另一實例,先前系統需要密集的耗時量測。密集量測常常針對關鍵層執行,且較不密集量測針對非關鍵層執行。量測並非基於良率及/或其他因素來調整。量測密度並非基於來自堆疊中之先前層的資訊來調整。作為另一實例,包括不同度量衡工具的不同微影掃描器及其他不同製程機器及/或裝備可誘發晶圓上的不同指紋。機器及/或其他製程裝備的某些組合可能產生極差良率效能而不管所應用的校正。機器及/或其他製程裝備的其他組合可產生高良率。然而,先前系統通常並不基於例如良率針對先前機器及/或其他裝備的此等組合來調整。
與先前系統相對比,本發明之系統及方法經組態以將加強學習用於良率察覺(及/或其他製程度量)製程控制。進行資料驅動的貫穿堆疊調整。調整係基於晶圓量測之相關歷史資料及/或來自多於僅緊挨先前層的其他資訊、用於製造及/或量測彼等層的機器及/或製程裝備,及/或其他資訊。此外,本發明之系統經組態以考慮調整對例如半導體裝置之總良率(及/或其他製程度量)的影響。舉例而言,運用本發明之系統及方法,曝光校正(作為許多可能調整的一個實例)並非簡單地經應用以使特定層中的疊對誤差、CD誤差等最小化。確切而言,曝光校正(例如)藉由經由堆疊調適校正及掃描器組合的整個序列(及/或其他調整)以使良率(及/或其他製程度量)最佳化為目標來應用。本發明之系統及方法亦經組態以藉由判定一校正(調整)序列來減小量測成本而不必犧牲良率,該校正序列要求較不密集量測。此外,本發明之系統及方法經組態以比較預期掃描器-製程機器及/或其他裝備組合,且促進針對一晶圓的包括用於操作之機器及/或其他裝備的處理操作之最佳集合的識別。本發明之系統及方法利用如本文中所描述之無模型加強學習(MFRL)以達成此等及其他優勢。
在一些實施例中,如本文所描述,方法400在半導體處理環境(例如,針對如下所述之MFRL的「環境」)中執行。在操作402處,判定經處理物件(例如,半導體晶圓及/或其他物件)之一狀態序列。在操作404處,判定與物件相關聯之製程度量(例如,良率及/或其他製程度量及/或品質準則)。在操作406處,對處理製程之調整基於(1)狀態序列內之狀態中的至少一者及(2)製程度量來起始。調整經組態以針對該狀態序列中之個別狀態增強製程度量,使得物件之最終處理要求被滿足(例如,使得處理製程產生工作半導體裝置)。舉例而言,製程度量可指示該狀態序列中之個別狀態的處理品質。調整可針對狀態序列中之個別狀態增強製程度量,使得最終處理要求滿足一品質準則(例如,特定良率百分數等)。
下文呈現的方法400之操作意欲為說明性的。在一些實施例中,方法400可用未描述之一或多個額外操作及/或不用所論述之操作中之一或多者來實現。舉例而言,方法400可包括如下所述的訓練操作。另外,在圖4中說明及在下文描述方法400之操作所藉以的次序並不意欲為限制性的。
在一些實施例中,方法400之一或多個部分可(例如,藉由模擬等)實施於一或多個處理裝置(例如,一或多個處理器)中。一或多個處理裝置可包括回應於以電子方式儲存於電子儲存媒體上之指令而執行方法400之操作中之一些或所有的一或多個裝置。一或多個處理裝置可包括經由硬體、韌體及/或軟體組態之一或多個裝置,該硬體、韌體及/或軟體經專門設計用於執行例如方法400之操作中的一或多者。在一些實施例中,一或多個處理器形成例如MFRL構架的「代理」(如下文進一步描述)。
操作402包含判定經處理物件的一狀態序列。在一些實施例中,經處理物件為半導體晶圓或半導體晶圓的一或多個部分及/或其他物件。舉例而言,半導體晶圓之一或多個部分可為滿足處理要求直至處理製程中之當前點的一或多個部分。此情形可包括例如個別晶片,及/或晶圓的其他部分。
在一些實施例中,該狀態序列對應於針對該物件執行的一處理操作序列。舉例而言,給定狀態可對應於就在特定微影操作、蝕刻操作、沈積操作及/或處理製程中的其他操作之前或之後的晶圓。在一些實施例中,該狀態序列包括物件的一或多個未來狀態。舉例而言,未來狀態可包括已經歷了一或多個額外微影操作、蝕刻操作、沈積操作及/或其他操作直至包括用於完成半導體裝置之製造之表面處理操作的晶圓。
該等狀態基於與該物件相關聯之處理資訊及/或其他資訊來判定。在一些實施例中,處理資訊包含作為處理操作之部分執行的物件之量測的值、哪些處理操作被執行的一指示、處理操作之次序或處理操作序列的指示、哪些機器及/或其他裝備用於處理操作中的指示、此類機器及/或其他裝備的常數、處理操作之處理參數及或其他處理資訊。舉例而言,處理資訊可包括哪一度量衡設備用以量測疊對及疊對值自身的指示。
操作404包含基於該狀態序列內之該等狀態中的至少一者判定與該物件相關聯的一製程度量。在一些實施例中,製程度量基於該狀態序列內該等狀態中的兩者或兩者以上、該狀態序列內之複數個狀態或該狀態序列內該等狀態的全部來判定。在一些實施例中,基於包括該一或多個未來狀態的該狀態序列判定該製程度量。
該製程度量包含該物件之處理要求對於該狀態序列中之個別狀態是否被滿足的一指示。該製程度量可指示該狀態序列中之個別狀態的處理品質。舉例而言,製程度量可為及/或係關於特定品質準則,諸如特定良率百分數及/或其他準則。在一些實施例中,製程度量包含良率、感測器量測之成本、產出率、良率最佳化與量測密度之間的取捨之指示,疊對量測之成本、疊對及/或其他製程度量。在一些實施例中,製程度量包含良率。在此等實施例中,針對該狀態序列中之個別狀態增強製程度量使得物件的最終處理要求被滿足(例如,及/或使得最終處理要求滿足品質準則)包含增大良率。製程度量及/或製程度量的增強可為例如MFRL中的「獎勵」(如下所述)。
操作406包含起始對處理製程的調整。調整基於該狀態序列內之該等狀態中的至少一者、製程度量及/或其他資訊來起始。在一些實施例中,起始對處理製程之調整係基於狀態序列及製程度量,該狀態序列包括一或多個未來狀態。調整經組態以針對狀態序列中之個別狀態增強製程度量,使得物件的最終處理要求被滿足(例如,及/或使得最終處理要求滿足品質準則)。在一些實施例中,起始調整包含基於狀態序列使製程度量最佳化,且基於經最佳化之製程度量判定調整。調整可為處理製程參數的改變、對處理製程之態樣的校正、處理操作本身的改變,及/或其他調整。
在一些實施例中,處理製程參數中的改變可為製程參數之增大或減小、製程參數的不同值及/或製程參數的某其他改變。在一些實施例中,一或多個製程參數包含一劑量、焦點、主控設計、曝光層級、一或多個蝕刻參數、與對裝置結構之邊緣之調整相關聯的一或多個參數(如邊緣置放控制中)、一或多個沈積參數、一或多個量測參數及/或其他參數。舉例而言,在一些實施例中,調整可包含與一照明源、投影光學件、圖案、基板相關聯之製程參數及/或半導體及/或半導體處理製程之其他態樣的改變。
在一些實施例中,該調整包含一校正。在一些實施例中,校正為與半導體處理製程相關聯的曝露校正及/或其他校正。舉例而言,其他校正可包括對光罩或光罩上之圖案的校正、對對準配方的校正、對疊對度量衡配方的校正、對自動製程控制(APC)配方的校正、藉由例如調整光罩對準賦予至裝備的APC校正、投影光學件設置、照明源設置、平台定位設置、對製造工具佈線及匹配配方的校正、對裝備維護配方的校正,及/或其他校正。
在一些實施例中,調整包含一或多個處理操作期間量測物件的位置、時間及/或方式的指示。在一些實施例中,調整包含處理操作對物件執行的改變、處理操作被執行所按之次序的改變、用以執行處理操作中之一或多者的一或多個機器及/或其他件裝備的改變,及/或其他調整。
在一些實施例中,起始調整包含提示使用者以進行調整。提示使用者以進行調整可包括提供調整之訊息及或其他指示至使用者。訊息及/或其他指示可設置於與使用者相關聯之運算裝置的使用者介面、與處理操作相關聯的運算裝置之使用者介面及/或其他介面上。在一些實施例中,提示使用者以進行調整包含藉由使用者經由使用者介面及/或其他提示促進調整的鍵入及/或選擇。
如上文所述,判定狀態序列(操作402)、判定製程度量(操作404)及起始調整(操作406)係作為一無模型加強學習(MFRL)構架之至少一部分經執行。MFRL構架包含經組態用於逐次決策做出的機器學習演算法。在給定情形(或狀態)下進行的決策(例如,動作及/或其他調整)經最佳化以使獎勵最大化(例如,良率及/或其他製程度量的增強)。MFRL構架之基本組件包括一組狀態(例如,如上文所述)、一組動作(例如,如上文所述的調整)、代理(例如,如上文所述的一或多個處理器)、環境(例如,如上文所述的半導體處理製程),及獎勵(例如,如上文所述的良率及/或其他製程度量的增強)。
給定狀態可包括針對特定晶圓的相關歷史資料(處理資訊)及/或其他資訊。歷史資料(處理資訊)可包括先前層中的所量測疊對、在先前層上以及在當前層上使用的背景資料(例如,掃描器、倍縮光罩、製程機器及/或其他裝備等),及/或其他資訊(包括上述任何額外處理資訊)。動作(調整)包括校正及/或其他調整,該等校正及/或其他調整可實施於處理機器上及/或處理製程中、關聯電子模型中、產品設計中、度量衡目標佈局等中。請注意,此情形可為機器相依的。代理為判定調整且在處理製程中致動調整的決策做出架構。在良率察覺製程調整狀況下,獎勵為處理製程結束時累積的增強型良率。
視需要,負獎勵可針對給定狀態累積,在該狀態下,調整的昂貴集合(例如,時間、良率或其他製程度量)經應用(高階電子件模型要求更多量測,例如因此實施此類昂貴校正的成本可予以付費)。舉例而言,此情形要求使良率(及/或其他製程度量)最佳化與使密集量測最小化之間的取捨。
環境(例如,半導體處理製程)由所有可能狀態以及狀態之間的所有轉變構成。即,只要動作(例如,調整)針對某狀態進行,晶圓便移動至新狀態,且累積獎勵(及/或成本)。環境(個別狀態及狀態之間的轉變)可使用機器學習(例如,如本文所描述)及/或藉由其他方法自訓練資料習得。
圖5說明決策做出代理與加強學習構架之其他組件如何互動。如圖5中所展示,代理500 (例如,一或多個處理器)基於當前狀態506及對應獎勵508針對給定環境(例如,半導體處理製程) 504判定給定動作(例如,調整) 502。此製程在必要時可反覆地重複510。在一些實施例中,此配置包含馬可夫決策過程。因為狀態間的轉變在半導體處理情形下並非已知(例如,預定)(例如,晶圓可使用多個不同可能處理操作中的任一者來處理),所以藉由代理500進行的決策做出過程包含無模型加強學習。
藉助於非限制性實例,圖6說明針對晶圓604之不同層602 (1, 2, …, M)的可能狀態600 (L1-1,L1-2,…LM-mn )。箭頭606表示取決於藉由代理(例如,展示於圖5中之代理500-其為如上文所述的一或多個處理器)獲得(進行)之動作(例如,調整)的狀態之間的可能轉變。良率(例如,獎勵)針對最終晶圓604及/或針對每一狀態予以判定。應注意,良率用作許多製程度量的一個可能實例。依據晶圓校正模型,狀態可例如表示電流與晶圓之先前層之間的疊對誤差。舉例而言,其他動作(例如,引起狀態之間的轉變)可包括進行不同類型之掃描器或製程工具調整。
解決無模型加強學習問題之演算法為試錯法演算法及/或其他演算法。在一些實施例中,MFRL構架包含以下各者中之一或多者:一非同步優勢動作-評價演算法、運用標準化優勢函數的一Q學習、一信任區策略最佳化演算法、一近接策略最佳化演算法、一成對延遲深度判定策略梯度、一軟動作-評價演算法,及/或其他演算法。在一些實施例中,如上文所述的製程度量包含獎勵,且一或多個處理器包含一代理,其中環境為半導體處理製程。
返回至圖4,在一些實施例中,判定該狀態序列(操作402)、判定製程度量(操作404)及起始調整(操作406)包含判定策略函數P(s),該策略函數界定針對個別狀態之處理操作校正、用於執行處理操作的機器及/或其他裝備、用於處理操作的一或多個製程參數及/或其他資訊。執行此等操作亦包括判定一價值函數V(s),該價值函數界定假定該策略函數被遵循直至該處理操作序列完成的製程度量之增強。在一些實施例中,該價值函數界定針對一給定狀態(s)的一預期製程度量。
本發明之系統及方法經組態以使諸如良率的製程度量最佳化。因此,針對個別層進行之調整(例如,校正、製程參數改變、製程操作次序調整等)基於調整在半導體處理製程結束時對製程度量(例如,良率)具有的影響來判定。換言之,本發明之系統及方法不僅經組態以判定及/或進行對個別層的調整,而且此等調整遵循針對完整晶圓的策略(例如,調整及/或其他動作的序列)。
本發明之系統及方法經組態,使得個別調整引發獎勵(例如,諸如良率之製程度量的增強)或成本(例如,諸如減小之良率的負獎勵、增大之處理時間、增大的量測密度等)。此情形促成考慮針對「昂貴」調整的成本(例如,要求更密集量測、額外製程等)。此情形引入使諸如良率之製程度量最大化與使(例如,量測)成本最小化之間的取捨。輸出策略(調整序列)經組態以獲得增強的製程度量(例如,最高可能良率)而無需突破(例如,超出)目標(例如,量測)預算(例如,在不損害良率情況下減小量測成本)。
作為簡要綜述,本發明之系統及方法經組態以使用MFRL構架來判定類型函數V(s)以及策略函數P(s)。P(s)界定針對個別狀態s進行的調整。V(s)指示(例如,平均)獎勵(例如,製程度量增強),該獎勵在起點為狀態s情況下可被獲得,且策略P(s)經遵循,直至半導體處理製程結束。P(s)可表示調整,包括校正、對製程參數之改變、應使用以製造晶圓的機器及/或其他裝備、用以製造晶圓之操作及/或操作的次序,及/或如上文所論述的其他調整。V(s)接著界定在個別層中應用的個別(例如,「最佳」)校正。
在一些實施例中,操作406 (圖4)包括比較具有第一操作、製程參數、機器及/或其他裝備等之一或多個處理操作(例如,第一策略)的第一序列與具有第二操作、製程參數、機器及/或其他裝備等之一或多個處理操作(例如,第二策略)的第二序列。比較係基於與第一序列及第二序列相關聯的策略函數及價值函數。操作406包括例如基於哪一序列產生經最佳化製程度量來選定第一序列或第二序列。如上文所述,製程度量可為良率,使得操作406包括基於哪一序列產生更好良率來選定第一序列或第二序列。
在一些實施例中,判定狀態序列、判定製程度量及起始調整作為伺服操作階段的部分執行。舉例而言,伺服階段可包括在實際處理及/或其他用途中使用策略函數及價值函數。在伺服階段之前,策略函數及價值函數可經產生,及/或產生價值函數及/或策略函數的機器學習演算法可在訓練操作階段期間予以訓練。在訓練階段中,系統執行探測動作,且借此使用偶然獎勵及觀測(「探測」)來學習策略函數及價值函數。在一些實施例中,訓練操作性階段在模擬半導體處理環境中及/或運用模擬半導體處理資料來執行。在一些實施例中,訓練操作性階段運用實際測量資料來執行。在一些實施例中,訓練操作階段運用經模擬及實際量測資料及/或其他資訊兩者來執行。舉例而言,在伺服階段,所學習的策略函數及價值函數用以產生新的動作。
舉例而言,在如上文所描述伺服初始策略函數及/或價值函數以供使用之前,演算法可使用訓練資料來產生及/或訓練。訓練資料包含製程及對應的效能資料,且可與一或多個不同處理製程相關聯。製程資料及對應效能資料可包含微影及/或處理製程及/或製程模擬的資料,例如關聯於、關於及/或表示本文所述之若干處理操作(例如,參見圖1至圖3)的資料及/或其他資料。訓練初始演算法可包含提供訓練資料作為至初始演算法(例如,如上文所述)的輸入至初始演算法。初始預測演算法可操作以基於對應製程資料來學習以更好地預測效能資料。舉例而言,學習以更好地預測效能可包含反覆地更新演算法參數中的一或多者(例如,在伺服之前或之後),且判定更新是否導致已知效能資料的更好或更差預測。
藉助於非限制性實例,圖7說明訓練階段700及伺服階段702。階段700及702兩者展示具有對應觀測708 (例如,給定層上的量測)、動作710 (例如,藉由箭頭指示的針對個別層之一或多個不同校正及/或其他調整)、獎勵712 (例如,晶圓良率增強)及晶圓716之不同狀態714的時間序列704及706。圖7說明調整(例如,諸如校正之動作)的序列,該等調整可能藉由MFRL構架中之代理進行從而用於良率察覺疊對控制的使用狀況。在訓練階段700期間,代理(例如,展示於圖5中之500)學習策略函數及/或價值函數。在伺服階段702期間,代理執行最佳策略。箭頭表示在半導體晶圓處理製程期間進行的動作。短劃線表示並未進行的其他可能動作。第(M-1)動作的箭頭指示探測性動作,該動作可經進行,儘管另一動作被視為更好的。彎曲箭頭表示策略及/或價值函數的更新。
作為第二非限制性實例,圖8說明MFRL構架的實施用於良率察覺疊對控制的使用狀況。在訓練階段700期間,訓練資料800 (例如,如上文所述)用以訓練代理500 (例如,一或多個處理器)。訓練資料800包含處理資訊,從而指示對個別層804 (例如,804-1、804-2、…804-M)進行的各種調整802及晶圓806的對應製程度量(例如,良率)增強。在訓練階段期間,代理500學習策略函數810及/或價值函數812。在一些實施例中,代理500可進行一些探測性調整以便針對系統動力學及/或針對其他原因找尋改良之策略。
在伺服階段702期間,代理500針對半導體處理製程815執行814最佳策略(例如,810及/或812),及基於在伺服階段702期間產生的資訊(例如,諸如疊對量測820及對應良率增強830的處理資訊)更新811訓練資料800 (例如,以改良策略)。
應注意,本文所述之系統及方法亦可用於其他應用,諸如智慧型取樣、對準標記位置最佳化及/或其他應用。對於智慧型取樣,狀態可包含針對特定晶圓的所有歷史資料(例如,包括疊對、焦點等的處理資訊,先前層的量測、內容脈絡資訊,以及掃描器感測其資訊,及/或其他資訊。動作(例如,調整)可為布爾型,是或否。代理可為智慧型最佳取樣系統,諸如SSO。智慧型取樣之獎勵(製程度量)可變為成本。舉例而言,每當系統決定進行取樣時,可能已誘發成本,且在結束時,正獎勵可基於良率(及/或其他製程度量)而累積。此情形可產生取樣方案,該取樣方案使良率(及/或其他製程度量)最佳化,但找尋量測成本與最終良率之間的取捨。
對於對準標記位置最佳化,狀態可包含歷史資料(例如,處理資訊,包括先前層的疊對量測、所有內容脈絡資訊以及掃描器感測器資訊及/或其他處理資訊)。舉例而言,動作(例如,調整)可界定晶圓上對準標記的佈局。代理再次為一或多個處理器。獎勵(製程度量)在此實例中為疊對關鍵效能指示符,其在量測層之後累積。
在以下經編號條項之清單中揭示本發明之其他實施例: 1.      一種半導體處理方法,該方法包含: 運用一或多個實體處理器判定一經處理物件之一狀態序列,該等狀態基於與該物件相關聯之處理資訊來判定; 運用該一或多個處理器基於該狀態序列內之該等狀態中的至少一者判定與該物件相關聯的一製程度量,該製程度量包含該物件之處理要求對於該狀態序列中的個別狀態是否被滿足的一指示;及 運用該一或多個處理器基於(1)該狀態序列內之該等狀態中的至少一者及(2)該製程度量來起始對一處理製程的一調整,該調整經組態以針對該狀態序列中之該等個別狀態增強該製程度量,使得該物件之最終處理要求被滿足。 2.      如條項1之方法,其中該狀態序列對應於針對該物件執行的一處理操作序列,且其中判定該狀態序列、判定該製程度量及起始該調整包含: 判定一策略函數P(s),該策略函數界定針對個別狀態之處理操作校正、用於執行該等處理操作之裝備,及/或針對該等處理操作的一或多個製程參數;及/或 判定一價值函數V(s),該價值函數界定假定該策略函數被遵循直至該處理操作序列完成的該製程度量之該增強。 3.      如條項2之方法,其中該價值函數界定針對一給定狀態(s)的一預期製程度量。 4.      如條項1至3中任一項之方法,其中該方法針對一半導體處理環境執行,且該經處理物件為一半導體晶圓,或該半導體晶圓的一或多個部分。 5.      如條項1至4中任一項之方法,其中該製程度量包含以下各者中的一或多者:良率、感測器量測之成本、產出率、良率最佳化與量測密度之間的一取捨之一指示、疊對量測的成本,或疊對。 6.      如條項1至5中任一項之方法,其中該製程度量包含一獎勵,且該一或多個處理器包含一代理。 7.      如條項1至6中任一項之方法,其中該製程度量包含良率,且針對該狀態序列中之該等個別狀態增強該製程度量使得該物件的最終處理要求被滿足包含增大該良率。 8.      如條項1至7中任一項之方法,其中該製程度量及/或該調整基於該狀態序列內之該等狀態中的至少兩者來判定。 9.      如條項1至8中任一項之方法,其中該製程度量及/或該調整基於該狀態序列內的複數個該等狀態來判定。 10.     如條項1至9中任一項之方法,其中起始該調整包含(1)基於該狀態序列使該製程度量最佳化,且基於該經最佳化之製程度量判定該調整;及/或(2)提示一使用者以進行該調整。 11.     如條項1至10中任一項之方法,其中該調整包含一校正。 12.     如條項11之方法,其中該校正為與該半導體處理製程相關聯的一曝光校正。 13.     如條項1至12中任一項之方法,其中該調整包含在一或多個處理操作期間量測該物件之位置、時間及/或方式的指示。 14.     如條項1至13中任一項之方法,其中該狀態序列對應於針對該物件執行的一處理操作序列,且該調整包含以下各者中的一或多者:處理操作經執行所在的一改變、該等處理操作經執行所按的一次序之一改變,或用以執行該等處理操作中之一或多者的一或多件裝備的一改變。 15.     如條項14之方法,其中該調整包含該一或多個處理操作之一或多個製程參數的一改變。 16.     如條項15之方法,其中該一或多個製程參數包含以下各者中的一或多者:一劑量、一焦點、一光罩設計、一曝光層級、一或多個蝕刻參數、一或多個沈積參數或一或多個量測參數。 17.     如條項1至16中任一項之方法,其中該狀態序列對應於針對該物件執行的一處理操作序列,且其中該處理資訊包含以下各者中的一或多者:作為該等處理操作之部分執行的該物件之量測的值、哪些處理操作經執行的一指示、該處理操作序列之一次序的一指示、哪一裝備用於該等處理操作中及/或關聯機器常數的一指示,或該等處理操作的處理參數。 18.     如條項1至17中任一項之方法,其中判定該狀態序列、判定該製程度量及起始該調整係作為一無模型加強學習(MFRL)構架之至少部分經執行。 19.     如條項18之方法,其中該MFRL構架包含以下各者中之一或多者:一非同步優勢動作-評價演算法、運用標準化優勢函數的一Q學習、一信任區策略最佳化演算法、一近接策略最佳化演算法、一成對延遲深度判定策略梯度,或一軟動作-評價演算法。 20.     如條項1至19中任一項之方法,其中該狀態序列包括該物件之一或多個未來狀態,其中 判定該製程度量係基於包括該一或多個未來狀態的該狀態序列;及 起始對該處理製程之該調整係基於該狀態序列及該製程度量,該狀態序列包括該一或多個未來狀態。 21.     如條項2至20中任一項之方法,其進一步包含運用該一或多個處理器比較具有第一製程參數的一或多個處理操作之一第一序列與具有第二製程參數之一或多個處理操作的一第二序列,該比較係基於與第一序列及第二序列相關聯的策略函數及價值函數。 22.     如條項2至21中任一項之方法,其進一步包含執行該判定該狀態序列、該判定該製程度量及該起始該調整作為一伺服操作階段的部分;及/或 在該伺服階段之前,在一訓練操作階段期間訓練該策略函數及該價值函數。 23.     如條項22之方法,其中該訓練操作階段在一模擬半導體處理環境中執行。 24.     一種在上面具有指令之非暫時性電腦可讀媒體,該等指令在由一電腦執行時實施如條項1至23中任一項之方法。 25.     一種在上面具有指令之非暫時性電腦可讀媒體,該等指令在由一電腦執行時使得該電腦: 判定一經處理物件之一狀態序列,該等狀態基於與該物件相關聯的處理資訊來判定; 基於該狀態序列內之該等狀態中的至少一者判定與該物件相關聯的一製程度量,該製程度量包含該物件之處理要求對於該狀態序列中的個別狀態是否被滿足的一指示;及 基於(1)該狀態序列內之該等狀態中的至少一者及(2)該製程度量來起始對處理製程的一調整,該調整經組態以針對該狀態序列中之該等個別狀態增強該製程度量,使得該物件之最終處理要求被滿足。 26.     如條項25之媒體,其中該狀態序列對應於針對該物件執行的一處理操作序列,且其中判定該狀態序列、判定該製程度量及起始該調整包含: 判定一策略函數P(s),該策略函數界定針對個別狀態之處理操作校正、用於執行該等處理操作之裝備,及/或針對該等處理操作的一或多個製程參數;及/或 判定一價值函數V(s),該價值函數界定假定該策略函數被遵循直至該處理操作序列完成的該製程度量之該增強。 27.     如條項26之媒體,其中該價值函數界定針對一給定狀態(s)的一預期製程度量。 28.     如條項25至27中任一項之媒體,其中該電腦係與一半導體處理環境相關聯,且該經處理物件為一半導體晶圓或該半導體晶圓的一或多個部分。 29.     如條項25至28中任一項之媒體,其中該製程度量包含以下各者中的一或多者:良率、感測器量測之成本、產出率、良率最佳化與量測密度之間的一取捨之一指示、疊對量測的成本,或疊對。 30.     如條項25至29中任一項之媒體,其中該製程度量包含一獎勵,且該電腦包含一代理。 31.     如條項25至30中任一項之媒體,其中該製程度量包含良率,且針對該狀態序列中之該等個別狀態增強該製程度量使得該物件的最終處理要求被滿足包含增大該良率。 32.     如條項25至31中任一項之媒體,其中該製程度量及/或該調整基於該狀態序列內之該等狀態中的至少兩者來判定。 33.     如條項25至32中任一項之媒體,其中該製程度量及/或該調整基於該狀態序列內的複數個該等狀態來判定。 34.     如條項25至33中任一項之媒體,其中起始該調整包含(1)基於該狀態序列使該製程度量最佳化,且基於該經最佳化之製程度量判定該調整;及/或(2)提示一使用者以進行該調整。 35.     如條項25至34中任一項之媒體,其中該調整包含一校正。 36.     如條項35之媒體,其中該校正為與一半導體處理製程相關聯的一曝光校正。 37.     如條項25至36中任一項之媒體,其中該調整包含在一或多個處理操作期間量測該物件之位置、時間及/或方式的指示。 38.     如條項25至37中任一項之媒體,其中該狀態序列對應於針對該物件執行的一處理操作序列,且該調整包含以下各者中的一或多者:處理操作經執行所在的一改變、該等處理操作經執行所按的一次序之一改變,或用以執行該等處理操作中之一或多者的一或多件裝備的一改變。 39.     如條項38之媒體,其中該調整包含該一或多個處理操作之一或多個製程參數的一改變。 40.     如條項39之媒體,其中該一或多個製程參數包含以下各者中之一或多者:一劑量、一焦點、一光罩設計、一曝光層級、一或多個蝕刻參數、一或多個沈積參數或一或多個量測參數。 41.     如條項25至40中任一項之媒體,其中該狀態序列對應於針對該物件執行的一處理操作序列,且其中該處理資訊包含以下各者中的一或多者:作為該等處理操作之部分執行的該物件之量測的值、哪些處理操作經執行的一指示、該處理操作序列之一次序的一指示、哪一裝備用於該等處理操作中及/或關聯機器常數的一指示,或該等處理操作的處理參數。 42.     如條項25至41中任一項之媒體,其中判定該狀態序列、判定該製程度量及起始該調整係作為一無模型加強學習(MFRL)構架之至少部分經執行。 43.     如條項42之媒體,其中該MFRL構架包含以下各者中之一或多者:一非同步優勢動作-評價演算法、運用標準化優勢函數的一Q學習、一信任區策略最佳化演算法、一近接策略最佳化演算法、一成對延遲深度判定策略梯度,或一軟動作-評價演算法。 44.     如條項25至43中任一項之媒體,其中該狀態序列包括該物件之一或多個未來狀態,其中 判定該製程度量係基於包括該一或多個未來狀態的該狀態序列;及 起始對該處理製程之該調整係基於該狀態序列及該製程度量,該狀態序列包括該一或多個未來狀態。 45.     如條項26至44中任一項之媒體,其進一步包含使得該電腦比較具有第一製程參數之一或多個處理操作的一第一序列與具有第二製程參數之一或多個處理操作的一第二序列,該比較係基於與第一序列及第二序列相關聯的策略函數及價值函數。 46.     如條項26至45中任一項之媒體,其進一步包含使得該電腦執行該判定該狀態序列、該判定該製程度量及該起始該調整作為一伺服操作階段的部分;及/或 在該伺服階段之前,在一訓練操作階段期間訓練該策略函數及該價值函數。 47.     如條項46之媒體,其中該訓練操作階段在一模擬半導體處理環境中執行。 48.     一種微影設備,該設備包含: 一照明源及投影光學件,其經組態以將一圖案成像至一基板上;及 一或多個處理器,其藉由機器可讀指令組態以: 判定一經處理物件之一狀態序列,該等狀態基於與該物件相關聯的處理資訊來判定; 基於該狀態序列內之該等狀態中的至少一者判定與該物件相關聯的一製程度量,該製程度量包含該物件之處理要求對於該狀態序列中的個別狀態是否被滿足的一指示;及 基於(1)該狀態序列內之該等狀態中的至少一者及(2)該製程度量來起始對處理製程的一調整,該調整經組態以針對該狀態序列中之該等個別狀態增強該製程度量,使得該物件之最終處理要求被滿足。 49.     如條項48之設備,其中該調整包含與該照明源、投影光學件、圖案及/或該基板相關聯的一製程參數之一改變。 50.     如條項48或49之設備,其中該處理製程為一半導體處理製程,且 其中該經處理物件為一半導體晶圓,或該半導體晶圓的一或多個部分。 51.     如條項48至50中任一項之設備,其中該製程度量包含良率,且滿足該等處理要求包含增大該良率。 52.     如條項48至51中任一項之設備,其中起始該調整包含基於該狀態序列使該製程度量最佳化,且基於該經最佳化之製程度量判定該調整。 53.     如條項48至52中任一項之設備,其中該調整為與該微影設備相關聯的一曝光校正。 54.     如條項48至53中任一項之設備,其中判定該狀態序列、判定該製程度量及起始該調整係作為一無模型加強學習(MFRL)構架之至少部分經執行。 55.     如條項48至54中任一項之設備,其中該狀態序列包括該物件之一或多個未來狀態,其中 判定該製程度量係基於包括該一或多個未來狀態的該狀態序列;及 起始對該處理製程之該調整係基於該狀態序列及該製程度量,該狀態序列包括該一或多個未來狀態。 56.     如條項48至55中任一項之設備,其中該狀態序列對應於針對該物件執行的一處理操作序列,其中滿足該等處理要求包含增強該製程度量,且其中起始該調整包含: 判定一策略函數P(s),該策略函數界定針對個別狀態之處理操作校正、用於執行該等處理操作之裝備,及/或針對該等處理操作的一或多個製程參數;及/或 判定一價值函數V(s),該價值函數界定假定該策略函數被遵循直至該處理操作序列完成的該製程度量之該增強。 57.     一種半導體處理方法,該方法包含: 運用一或多個實體處理器判定一經處理物件之一狀態序列,該等狀態基於與該物件相關聯之處理資訊來判定; 運用該一或多個處理器基於該狀態序列內之該等狀態中的至少一者判定與該物件相關聯的一製程度量,該製程度量指示該狀態序列中之個別狀態的處理品質;及 運用該一或多個處理器基於(1)該狀態序列內之該等狀態中的至少一者及(2)該製程度量來起始對一處理製程的一調整,該調整經組態以針對該狀態序列中之該等個別狀態增強該製程度量,使得最終處理要求滿足一品質準則。
圖9為說明可輔助實施本文中所揭示之方法、流程或系統的電腦系統100之方塊圖。電腦系統100包括用於傳達資訊之匯流排102或其他通信機構,及與匯流排102耦接以用於處理資訊之處理器104 (或多個處理器104及105)。電腦系統100亦包括主記憶體106,諸如隨機存取記憶體(RAM)或其他動態儲存裝置,其耦接至匯流排102以用於儲存待由處理器104執行之資訊及指令。主記憶體106在執行待由處理器104執行之指令期間亦可用於儲存暫時變數或其他中間資訊。電腦系統100進一步包括耦接至匯流排102以用於儲存用於處理器104之靜態資訊及指令之唯讀記憶體(ROM) 108或其他靜態儲存裝置。提供儲存裝置110 (諸如磁碟或光碟)且將其耦接至匯流排102以用於儲存資訊及指令。
電腦系統100可經由匯流排102而耦接至用於向電腦使用者顯示資訊之顯示器112,諸如,陰極射線管(CRT)或平板顯示器或觸控面板顯示器。包括文數字鍵及其他鍵的輸入裝置114耦接至匯流排102,以用於將資訊及命令選擇傳達至處理器104。另一類型之使用者輸入裝置為游標控制件116,諸如滑鼠、軌跡球或游標方向鍵,以用於將方向資訊及命令選擇傳達至處理器104且用於控制顯示器112上之游標移動。此輸入裝置通常具有在兩個軸線(第一軸(例如,x)及第二軸(例如,y))中的兩個自由度,此允許裝置在平面中指定位置。觸控面板(螢幕)顯示器亦可被用作輸入裝置。
根據一個實施例,本文中所描述之一或多種方法的數個部分可藉由電腦系統100回應於處理器104執行含有於主記憶體106中之一或多個指令的一或多個序列而執行。可將此等指令自另一電腦可讀媒體(諸如,儲存裝置110)讀取至主記憶體106中。主記憶體106中含有之指令序列的執行使得處理器104執行本文中所描述之程序步驟。亦可使用多處理配置中之一或多個處理器,以執行含於主記憶體106中的指令序列。在一替代實施例中,可代替或結合軟體指令來使用硬佈線電路。因此,本文中之描述不限於硬體電路及軟體之任何特定組合。
如本文中所使用之術語「電腦可讀媒體」係指參與將指令提供至處理器104以供執行之任何媒體。此媒體可採取許多形式,包括(但不限於)非揮發性媒體、揮發性媒體及傳輸媒體。非揮發性媒體包括例如光碟或磁碟,諸如儲存裝置110。揮發性媒體包括動態記憶體,諸如主記憶體106。傳輸媒體包括同軸纜線、銅導線及光纖,包括包含匯流排102的導線。傳輸媒體亦可呈聲波或光波之形式,諸如在射頻(RF)及紅外(IR)資料通信期間所產生之聲波或光波。電腦可讀媒體之常見形式包括(例如)軟磁碟、軟性磁碟、硬碟、磁帶、任何其他磁媒體、CD-ROM、DVD、任何其他光學媒體、打孔卡、紙帶、具有孔圖案之任何其他實體媒體、RAM、PROM及EPROM、FLASH-EPROM、任何其他記憶體晶片或卡匣、如下文所描述之載波,或電腦可讀取之任何其他媒體。
可在將一或多個指令之一或多個序列攜載至處理器104以供執行中涉及電腦可讀媒體之各種形式。舉例而言,初始地可將該等指令承載於遠端電腦之磁碟上。遠端電腦可將指令載入至其動態記憶體內,且使用數據機經由電話線而發送指令。在電腦系統100本端之數據機可接收電話線上之資料,且使用紅外線傳輸器將資料轉換成紅外線信號。耦接至匯流排102之紅外線偵測器可接收紅外線信號中所攜載之資料且將資料置放於匯流排102上。匯流排102將資料攜載至主記憶體106,處理器104自該主記憶體擷取指令並執行指令。由主記憶體106接收之指令可視情況在由處理器104執行之前或之後儲存於儲存裝置110上。
電腦系統100亦可包括耦接至匯流排102之通信介面118。通信介面118提供對網路鏈路120之雙向資料通信耦接,該網路鏈路連接至區域網路122。舉例而言,通信介面118可為整合式服務數位網路(ISDN)卡或數據機以提供至對應類型之電話線之資料通信連接。作為另一實例,通信介面118可為區域網路(LAN)卡以提供至相容LAN之資料通信連接。亦可實施無線鏈路。在任何此實施中,通信介面118發送且接收電信號、電磁信號或光學信號,前述信號攜載表示各種類型之資訊的數位資料串流。
網路鏈路120通常經由一或多個網路而將資料通信提供至其他資料裝置。舉例而言,網路鏈路120可經由區域網路122將提供至主電腦124之連接或由網際網路服務提供者(ISP) 126操作之資料裝備的連接。ISP 126又經由全球封包資料通信網路(現在通常被稱作「網際網路」128)來提供資料通信服務。區域網路122及網際網路128兩者使用攜載數位資料串流的電信號、電磁信號或光學信號。經由各種網路之信號及網路鏈路120上且經由通信介面118之信號為輸送資訊的例示性形式之載波,該等信號攜載至且自電腦系統100的數位資料。
電腦系統100可經由網路、網路鏈路120及通信介面118發送訊息及接收資料(包括程式碼)。在網際網路實例中,伺服器130可經由網際網路128、ISP 126、區域網路122及通信介面118傳輸用於應用程式之所請求程式碼。舉例而言,一種此類經下載應用程式可提供本文中所描述之方法的全部或部分。所接收程式碼可在其經接收時由處理器104執行,及/或儲存於儲存裝置110或其他非揮發性儲存器中以供稍後執行。以此方式,電腦系統100可獲得呈載波形式之應用程式碼。
圖10示意性地描繪可結合本文中所描述之技術利用的例示性微影投影設備。設備包含: - 照明系統IL,其用以調節輻射光束B。在此特定狀況下,照射系統亦包含輻射源SO; - 第一物件台(例如,圖案化裝置台) MT,其具備用以固持圖案化裝置MA (例如,倍縮光罩)之圖案化裝置固持器,且連接至用以相對於項目PS來準確地定位該圖案化裝置之第一定位器; - 第二物件台(基板台) WT,其具備用以固持基板W (例如抗蝕劑塗佈矽晶圓)之基板固持器,且連接至用以相對於項目PS來準確定位基板之第二定位器;及 - 投影系統(「透鏡」) PS (例如,折射、反射或反射折射光學系統),其用以將圖案化裝置MA之經輻照部分成像至基板W之目標部分C (例如,包含一或多個晶粒)上。
如本文中所描繪,設備屬於透射類型(亦即,具有透射圖案化裝置)。然而,例如一般而言,其亦可屬於反射類型(具有反射圖案化裝置)。該設備可相對於經典光罩使用不同種類之圖案化裝置;實例包括可程式化鏡面陣列或LCD矩陣。
源SO (例如,水銀燈或準分子雷射、LPP (雷射產生電漿)EUV源)產生輻射光束。舉例而言,此光束係直接地或在已橫穿諸如光束擴展器Ex之調節構件之後饋入至照明系統(照明器) IL中。照明器IL可包含調整構件AD以用於設定光束中之強度分佈的外部徑向範圍及/或內部徑向範圍(通常分別稱作σ外部及σ內部)。另外,其通常將包含各種其他組件,諸如積光器IN及聚光器CO。以此方式,入射於圖案化裝置MA上之光束B在其橫截面中具有所要均一性及強度分佈。
應注意到,關於圖10,源SO可係在微影投影設備之外殼內(此常常為源SO為例如汞燈之狀況),但亦可遠離微影投影設備,其產生之輻射光束導入至設備(例如,藉助於合適導向鏡);此後一情境常常為源SO為準分子雷射(例如,基於KrF、ArF或F2 發出雷射)的狀況。
光束PB隨後截取被固持於圖案化裝置台MT上之圖案化裝置MA。在已橫穿圖案化裝置MA的情況下,光束B穿過透鏡PL,該透鏡將光束B聚焦至基板W之目標部分C上。憑藉第二定位構件(及干涉量測構件IF),可準確地移動基板台WT,例如以便使不同目標部分C定位於光束PB之路徑中。類似地,第一定位構件可用以(例如)在自圖案化裝置庫機械地擷取圖案化裝置MA之後或在掃描期間相對於光束B之路徑來準確地定位圖案化裝置MA。一般而言,將憑藉未在圖10中明確地描繪之長衝程模組(粗略定位)及短衝程模組(精細定位)來實現物件台MT、WT之移動。然而,在步進器(相對於步進掃描工具)之狀況下,圖案化裝置台MT可僅連接至短衝程致動器,或可經固定。
所描繪工具可用於兩種不同模式中: - 在步進模式下,圖案化裝置台MT保持基本上靜止,且整個圖案化裝置影像一次性(亦即,單一「閃光」)投影於目標部分C上。接著在x及/或y方向上使基板台WT移位,從而使得不同目標部分C可由光束PB輻照; - 在掃描模式中,除單次「閃光」中不曝光給定目標部分C以外,基本上相同之情形適用。確切而言,圖案化裝置台MT可在給定方向(所謂的「掃描方向」,例如,y方向)上以速度v移動,以使得使投影光束B在圖案化裝置影像上進行掃描;同時,基板台WT以速度V = Mv在相同或相對方向上同時地移動,其中M為透鏡PL之放大率(通常,M = 1/4或1/5)。以此方式,可在不必損害解析度的情況下曝光相對大的目標部分C。
圖11示意性地描繪可結合本文中所描述之技術利用的另一例示性微影投影設備1000。
該微影投影設備1000包含: - 源收集器模組SO; - 照明系統(照明器)IL,其經組態以調節輻射光束B (例如,EUV輻射); - 支撐結構(例如,圖案化裝置台) MT,其經建構以支撐圖案化裝置(例如,光罩或倍縮光罩) MA且連接至經組態以準確地定位圖案化裝置之第一定位器PM; - 基板台(例如,晶圓台) WT,其經建構以固持基板(例如,抗蝕劑塗佈晶圓) W且連接至經組態以準確地定位基板之第二定位器PW;及 -  投影系統(例如,反射性投影系統) PS,其經組態以將藉由圖案化裝置MA賦予給輻射光束B之圖案投影於基板W的目標部分C (例如,包含一或多個晶粒)上。
如圖11中所描繪,設備1000具有反射類型(例如,採用反射圖案化裝置)。應注意,因為大多數材料在EUV波長範圍內具吸收性,所以圖案化裝置可具有包含例如鉬與矽之多堆疊的多層反射器。在一個實例中,多堆疊反射器具有鉬與矽之40個層對,其中每一層之厚度層為四分之一波長。可運用X射線微影來產生甚至更小的波長。因為大多數材料在EUV及x射線波長下具吸收性,所以圖案化裝置構形(topography)上之經圖案化吸收材料薄片段(例如,多層反射器之頂部上之TaN吸收器)界定特徵將印刷(正型抗蝕劑)或不印刷(負型抗蝕劑)之處。
照明器IL自源收集器模組SO接收極紫外線輻射光束。用以產生EUV輻射之方法包括但未必限於用在EUV範圍內之一或多種發射譜線將具有至少一元素(例如,氙、鋰或錫)之材料轉換成電漿狀態。在一種此類方法(常常被稱為雷射產生電漿(「LPP」))中,可藉由用雷射光束來輻照燃料(諸如,具有譜線發射元素之材料小滴、串流或叢集)而產生電漿。源收集器模組SO可為包括雷射(圖11中未展示)的EUV輻射系統之部件,該雷射用於提供激發燃料之雷射光束。所得電漿發射輸出輻射(例如,EUV輻射),該輸出輻射係使用安置於源收集器模組中之輻射收集器予以收集。舉例而言,當使用CO2雷射以提供用於燃料激發之雷射光束時,雷射與源收集器模組可為分離實體。
在此等狀況下,雷射不被視為形成微影設備之部分,且輻射光束係憑藉包含(例如)適合導向鏡面及/或光束擴展器之光束遞送系統而自雷射傳遞至源收集器模組。在其他狀況下,舉例而言,當源為放電產生電漿EUV產生器(常常被稱為DPP源)時,源可為源收集器模組之整體部分。在一實施例中,可使用DUV雷射源。
照明器IL可包含用於調整輻射光束之角強度分佈的調整器。通常,可調整照射器之光瞳平面中之強度分佈之至少外部徑向範圍及/或內部徑向範圍(通常分別稱作σ外部及σ內部)。另外,照明器IL可包含各種其他組件,諸如,琢面化場鏡面裝置及琢面化光瞳鏡面裝置。照明器可用以調節輻射光束,以在其橫截面中具有期望均一性及強度分佈。
該輻射光束B入射於圖案化裝置(例如,光罩) MA上,該圖案化裝置MA固持於支撐結構(例如,圖案化裝置台) MT上,且藉由圖案化裝置圖案化。在自圖案化裝置(例如,光罩) MA反射之後,輻射光束B穿過投影系統PS,該投影系統PS將光束聚焦至基板W之目標部分C上。憑藉第二定位器PW及位置感測器PS2 (例如,干涉量測裝置、線性編碼器或電容性感測器),可準確地移動基板台WT,例如,以便使不同目標部分C定位於輻射光束B之路徑中。類似地,第一定位器PM及另一位置感測器PS1可用以相對於輻射光束B之路徑來準確定位圖案化裝置(例如,光罩) MA。可使用圖案化裝置對準標記M1、M2及基板對準標記P1、P2來對準圖案化裝置(例如,光罩) MA及基板W。
所描繪設備1000可用於以下模式中之至少一者中:
在步進模式中,支撐結構(例如,圖案化裝置台) MT及合適基板台WT保持基本上靜止,同時賦予至輻射光束之整個圖案一次性投影於目標部分C上(亦即,單一靜態曝光)。接著,使基板台WT在X及/或Y方向上移位,以使得可曝光不同目標部分C。
在掃描模式下,支撐結構(例如,圖案化裝置台) MT及基板台WT經同時掃描,同時賦予至輻射光束之圖案經投影於目標部分C上(即,單一動態曝光)。基板台WT相對於支撐結構(例如,圖案化裝置台) MT之速率及方向可藉由投影系統PS之(去)放大率及影像反轉特性來判定。
在另一模式中,在將被賦予至輻射光束之圖案投影至目標部分C上時,使支撐結構(例如,圖案化裝置台) MT保持基本上靜止,從而固持可程式化圖案化裝置,且移動或掃描基板台WT。在此模式中,通常使用脈衝式輻射源,且在基板台WT之每一移動之後或在掃描期間之順次輻射脈衝之間視需要而更新可程式化圖案化裝置。此操作模式可易於應用於利用可程式化圖案化裝置(諸如,上文所提及之類型之可程式化鏡面陣列)之無光罩微影。
圖12更詳細地展示設備1000,其包括源收集器模組SO、照明系統IL及投影系統PS。源收集器模組SO經建構及配置成使得可將真空環境維持於源收集器模組SO之圍封結構220中。可藉由放電產生電漿輻射源來形成EUV輻射發射電漿210。可由氣體或蒸汽(例如Xe氣體、Li蒸汽或Sn蒸汽)產生EUV輻射,其中產生電漿210以發射在電磁光譜之EUV範圍內之輻射。舉例而言,藉由造成至少部分地離子化之電漿之放電來產生電漿210。為了輻射之有效率產生,可需要(例如) 10 Pa之分壓之Xe、Li、Sn蒸汽或任何其他合適氣體或蒸汽。在一實施例中,提供經激發錫(Sn)電漿以產生EUV輻射。
由熱電漿210發射之輻射係經由定位於源腔室211中之開口中或後方的可選氣體障壁或污染物截留器230 (在一些狀況下,亦被稱作污染物障壁或箔片截留器)而自源腔室211傳遞至收集器腔室212中。污染物截留器230可包括通道結構。污染物截留器230亦可包括氣體障壁,或氣體障壁與通道結構之組合。如在此項技術中為吾人所知,本文中進一步所指示之污染物截留器或污染物障壁230至少包括通道結構。
收集器腔室212可包括可為所謂的掠入射收集器之輻射收集器CO。輻射收集器CO具有上游輻射收集器側251及下游輻射收集器側252。橫穿收集器CO之輻射可自光柵光譜濾光器240反射,以沿著由點虛線「O」指示之光軸而聚焦在虛擬源點IF中。虛擬源點IF通常被稱作中間焦點,且源收集器模組經配置以使得中間焦點IF位於圍封結構220中之開口221處或附近。虛擬源點IF為輻射發射電漿210之影像。
隨後,輻射橫穿照明系統IL,照明系統IL可包括琢面化場鏡面裝置22及琢面化光瞳鏡面裝置24,該琢面化場鏡面裝置及琢面化光瞳鏡面裝置經配置以提供在圖案化裝置MA處之輻射光束21之所要角分佈,以及在圖案化裝置MA處之輻射強度之所要均一性。在由支撐結構MT固持之圖案化裝置MA處反射輻射光束21後,隨即形成經圖案化光束26,且經圖案化光束26藉由投影系統PS經由反射元件28、30成像至由基板台WT固持之基板W上。
比所展示元件多的元件通常可存在於照明光學件單元IL及投影系統PS中。取決於微影設備之類型,光柵光譜濾光器240可視情況存在。另外,可存在比諸圖所展示之鏡面多的鏡面,例如,在投影系統PS中可存在比圖12所展示之反射元件多1至6個的額外反射元件。
僅僅作為收集器(或收集器鏡面)之實例,如圖12所說明之收集器光學件CO被描繪為具有掠入射反射器253、254及255之巢套式收集器。掠入射反射器253、254及255經安置成圍繞光軸O軸向地對稱,且此類型之收集器光學件CO可結合常常被稱為DPP源之放電產生電漿源而使用。
或者,源收集器模組SO可為如圖13中所展示之LPP輻射系統之部件。雷射LA經配置以將雷射能量沈積至諸如氙(Xe)、錫(Sn)或鋰(Li)之燃料中,從而產生具有數10 eV之電子溫度的高度離子化電漿210。在此等離子之去激發及再結合期間所產生之高能輻射自電漿發射,由近正入射收集器光學件CO收集,且聚焦至圍封結構220中的開口221上。
本文中所揭示之概念可模擬或數學上模型化用於使子波長特徵成像之任何通用成像系統,且可尤其供能夠產生愈來愈短波長之新興成像技術使用。已在使用中之新興技術包括能夠藉由使用ArF雷射來產生193 nm波長且甚至能夠藉由使用氟雷射來產生157 nm波長之極紫外(EUV)、DUV微影。此外,EUV微影能夠藉由使用同步加速器或藉由運用高能電子來撞擊材料(固體或電漿)而產生在20 nm至5 nm之範圍內的波長,以便產生在此範圍內之光子。本文中所揭示之概念亦可模擬或數學上模型化其他半導體處理步驟。
雖然本文所揭示之概念可用於在諸如矽晶圓之基板上之成像,但應理解,所揭示概念可供與任何類型之微影成像系統一起使用,例如,用於在除了矽晶圓以外的基板上之成像之微影成像系統。此外,所揭示元件之組合及子組合可包含單獨實施例。舉例而言,無模型加強學習系統及作為製程度量之良率可在單一實施例中一起使用,或無模型加強學習系統可分離地使用及/或與另一製程度量一起使用。此等特徵可包含單獨實施例,及/或此等特徵可在同一實施例中共同使用。以上描述意欲為說明性,而非限制性的。因此,對於熟習此項技術者將顯而易見的是,可在不脫離下文所闡明之申請專利範圍之範疇的情況下如所描述進行修改。
10A:例示性微影投影設備 12A:輻射源 14A:光學件,組件 16Aa:光學件,組件 16Ab:光學件,組件 16Ac:透射光學件,組件 18A:圖案化裝置 20A:可調整濾光器或孔徑 21:輻射光束 22:琢面化場鏡面裝置 22A:基板平面 24:琢面化光瞳鏡面裝置 26:經圖案化光束 28:反射元件 30:反射元件 100:電腦系統 102:匯流排 104:處理器 105:處理器 106:主記憶體 108:唯讀記憶體(ROM) 110:儲存裝置 112:顯示器 114:輸入裝置 116:游標控制件 118:通信介面 120:網路鏈路 122:區域網路 124:主電腦 126:網際網路服務提供者(ISP) 128:網際網路 130:伺服器 210:EUV輻射發射電漿 211:源腔室 212:收集器腔室 220:圍封結構 221:開口 230:污染物截留器 240:光柵光譜濾光器 251:上游輻射收集器側 252:下游輻射收集器側 253:掠入射反射器 254:掠入射反射器 255:掠入射反射器 400:方法 402:操作 404:操作 406:操作 500:代理 502:動作,調整 504:給定環境,半導體處理製程 506:當前狀態 508:對應獎勵 510:重複操作 600:可能狀態 602:層 604:晶圓 606:箭頭 700:訓練階段 702:伺服階段 704:時間序列 706:時間序列 708:觀測 710:動作 712:獎勵 714:狀態 716:晶圓 800:訓練資料 802:調整 804:層 804-1:層 804-2:層 804-M:層 806:晶圓 810:策略函數 811:更新 812:價值函數 814:執行 815:半導體處理製程 820:疊對量測 830:良率增強 1000:例示性微影投影設備 AD:調整構件 B:輻射光束 BK:烘烤板 C:目標部分 CH:冷卻板 CL:電腦系統,第三系統 CO:聚光器 DE:顯影器 IF:虛擬源點,干涉量測構件 IL:照明系統 IN:積光器 I/O1:輸入/輸出埠 I/O2:輸入/輸出埠 LA:微影設備 LACU:微影控制單元 LB:裝載匣 LC:微影製造單元 M1:對準標記 M2:對準標記 MA:圖案化裝置 MT:度量衡設備,度量衡工具,第二系統,第一物件台,圖案化裝置台 O:虛線 P1:基板對準標記 P2:基板對準標記 PB:光束 PL:透鏡 PM:第一定位器 PS:投影系統,透鏡,項目 PS1:位置感測器 PS2:位置感測器 PW:第二定位器 RO:基板處置器或機器人 SC:旋塗器 SC1:第一標度 SC2:第二標度 SC3:第三標度 SCS:監督控制系統 SO:輻射源,源收集器模組 TCU:塗佈顯影系統控制單元 W:基板 WT:第二物件台,基板台
併入本說明書中且構成本說明書之一部分的隨附圖式說明一或多個實施例且連同描述一起解釋此等實施例。現在將參看隨附示意性圖式而僅作為實例來描述本發明之實施例,在該等圖式中,對應元件符號指示對應部分,且在該等圖式中:
圖1展示根據實施例之微影系統之各種子系統的方塊圖。
圖2說明根據一實施例之微影製造單元之示意性綜述。
圖3說明根據一實施例之整體微影之示意性表示,其表示用以最佳化半導體處理之三種技術之間的協作。
圖4說明用於將加強學習用於良率察覺製程控制之本發明方法的操作之概述。
圖5說明根據實施例的決策做出代理與加強學習構架之其他組件如何互動。
圖6說明根據實施例的針對晶圓之不同層的可能狀態。
圖7說明根據實施例的訓練操作階段及加強學習構架之伺服操作階段。
圖8說明根據實施例的針對良率覺察覆疊控制之使用狀況的無模型加強學習構架的實施。
圖9為根據一實施例之實例電腦系統的方塊圖。
圖10為根據一實施例之微影投影設備的示意圖。
圖11為根據一實施例之另一微影投影設備的示意圖。
圖12為根據一實施例的圖11中之設備之更詳細視圖。
圖13為根據一實施例的圖11及圖12之設備之源收集器模組SO的更詳細視圖。
600:可能狀態
602:層
604:晶圓
606:箭頭

Claims (15)

  1. 一種半導體處理方法,該方法包含: 運用一或多個實體處理器判定經受一半導體製造製程之一物件的一狀態序列,該等狀態係基於與該物件相關聯之處理資訊來判定,其中該狀態序列包括該物件的一或多個未來狀態; 運用該一或多個處理器基於該狀態序列內之該等狀態及該一或多個未來狀態中的至少一者判定與該物件相關聯的一製程度量,該製程度量包含該物件之處理要求對於該狀態序列中之個別狀態是否被滿足的一指示;及 運用該一或多個處理器基於(1)該等狀態及該一或多個未來狀態中之至少一者及(2)該製程度量來起始對該半導體製造製程的一調整,該調整經組態以針對該狀態序列中之該等個別狀態增強該製程度量,使得該物件之最終處理要求被滿足。
  2. 如請求項1之方法,其中該狀態序列對應於針對該物件執行的一處理操作序列,且其中判定該狀態序列、判定該製程度量及起始該調整包含: 判定一策略函數P(s),該策略函數界定針對個別狀態之處理操作校正、用於執行該等處理操作之裝備,及/或針對該等處理操作的一或多個製程參數;及/或 判定一價值函數V(s),該價值函數界定假定該策略函數被遵循直至該處理操作序列完成的該製程度量之該增強。
  3. 如請求項2之方法,其中該價值函數界定針對一給定狀態(s)的一預期製程度量。
  4. 如請求項1之方法,其中該方法係針對一半導體處理環境執行,且經處理物件為一半導體晶圓,或該半導體晶圓的一或多個部分。
  5. 如請求項1之方法,其中該製程度量包含一獎勵,且該一或多個處理器包含一代理。
  6. 如請求項1之方法,其中該製程度量包含良率,且針對該狀態序列中之該等個別狀態增強該製程度量,使得該物件的最終處理要求被滿足包含增大該良率。
  7. 如請求項1之方法,其中起始該調整包含(1)基於該狀態序列使該製程度量最佳化,且基於經最佳化之製程度量判定該調整;及/或(2)提示一使用者以進行該調整。
  8. 如請求項1之方法,其中該狀態序列對應於針對該物件執行的一處理操作序列,且該調整包含以下各者中的一或多者:處理操作經執行的一改變、該等處理操作經執行所按的一次序之一改變,或用以執行該等處理操作中之一或多者的一或多件裝備的一改變。
  9. 如請求項1之方法,其中該狀態序列對應於針對該物件執行的一處理操作序列,且其中該處理資訊包含以下各者中的一或多者:作為該等處理操作之部分執行的該物件之量測的值、哪些處理操作經執行的一指示、該處理操作序列之一次序的一指示、哪一裝備用於該等處理操作及/或關聯機器常數的一指示,或該等處理操作的處理參數。
  10. 如請求項1之方法,其中判定該狀態序列、判定該製程度量及起始該調整係作為一無模型加強學習(MFRL)構架之至少部分經執行。
  11. 如請求項10之方法,其中該MFRL構架包含以下各者中之一或多者:一非同步優勢動作-評價演算法、運用標準化優勢函數的一Q學習、一信任區策略最佳化演算法、一近接策略最佳化演算法、一成對延遲深度判定策略梯度,或一軟動作-評價演算法。
  12. 如請求項2之方法,其進一步包含運用該一或多個處理器比較具有第一製程參數之一或多個處理操作的一第一序列與具有第二製程參數之一或多個處理操作的一第二序列,該比較係基於與該第一序列及該第二序列相關聯的策略函數及價值函數。
  13. 如請求項2之方法,其進一步包含:執行該判定該狀態序列,該判定該製程度量及該起始該調整作為一伺服操作階段的部分;及/或在該伺服階段之前,在一訓練操作階段期間訓練該策略函數及該價值函數。
  14. 一種包含指令之電腦程式,該等指令經組態以在一電腦系統上執行時執行以下操作: 運用一或多個實體處理器判定經受一半導體製造製程之一物件的一狀態序列,該等狀態係基於與該物件相關聯之處理資訊來判定,其中該狀態序列包括該物件的一或多個未來狀態; 運用該一或多個處理器基於該狀態序列內之該等狀態及該一或多個未來狀態中的至少一者判定與該物件相關聯的一製程度量,該製程度量包含該物件之處理要求對於該狀態序列中之個別狀態是否被滿足的一指示;及 運用該一或多個處理器基於(1)該等狀態及該一或多個未來狀態中之至少一者及(2)該製程度量來起始對一處理製程的一調整,該調整經組態以針對該狀態序列中之該等個別狀態增強該製程度量,使得該物件之最終處理要求被滿足。
  15. 如請求項14之電腦程式,其中該狀態序列對應於針對該物件執行的一處理操作序列,且其中該等指令進一步經組態,使得該判定該狀態序列、判定該製程度量及起始該調整包含: 判定一策略函數P(s),該策略函數界定針對個別狀態之處理操作校正、用於執行該等處理操作之裝備,及/或針對該等處理操作的一或多個製程參數;及/或 判定一價值函數V(s),該價值函數界定假定該策略函數被遵循直至該處理操作序列完成的該製程度量之該增強。
TW110104378A 2020-02-25 2021-02-05 用於製程度量之察覺製程控制的系統及方法 TWI754539B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP20159192.2A EP3872567A1 (en) 2020-02-25 2020-02-25 Systems and methods for process metric aware process control
EP20159192.2 2020-02-25

Publications (2)

Publication Number Publication Date
TW202147035A true TW202147035A (zh) 2021-12-16
TWI754539B TWI754539B (zh) 2022-02-01

Family

ID=69740134

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110104378A TWI754539B (zh) 2020-02-25 2021-02-05 用於製程度量之察覺製程控制的系統及方法

Country Status (6)

Country Link
US (1) US20230076185A1 (zh)
EP (2) EP3872567A1 (zh)
KR (1) KR20220130784A (zh)
CN (1) CN115176204A (zh)
TW (1) TWI754539B (zh)
WO (1) WO2021170325A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP4127834A1 (en) * 2020-04-02 2023-02-08 ASML Netherlands B.V. Method for determining an inspection strategy for a group of substrates in a semiconductor manufacturing process
US20220027546A1 (en) * 2020-07-23 2022-01-27 Nvidia Corp. Standard cell layout generation with applied artificial intelligence
CN114676635B (zh) * 2022-03-31 2022-11-11 香港中文大学(深圳) 一种基于强化学习的光学谐振腔反向设计和优化的方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
WO1997033205A1 (en) 1996-03-06 1997-09-12 Philips Electronics N.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
US6470230B1 (en) * 2000-01-04 2002-10-22 Advanced Micro Devices, Inc. Supervisory method for determining optimal process targets based on product performance in microelectronic fabrication
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
WO2007019269A2 (en) 2005-08-08 2007-02-15 Brion Technologies, Inc. System and method for creating a focus-exposure model of a lithography process
US7695876B2 (en) 2005-08-31 2010-04-13 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
US7694267B1 (en) 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
US7882480B2 (en) 2007-06-04 2011-02-01 Asml Netherlands B.V. System and method for model-based sub-resolution assist feature generation
US7707538B2 (en) 2007-06-15 2010-04-27 Brion Technologies, Inc. Multivariable solver for optical proximity correction
US20090157630A1 (en) 2007-10-26 2009-06-18 Max Yuan Method of extracting data and recommending and generating visual displays
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036734A1 (nl) 2008-04-09 2009-10-12 Asml Netherlands Bv A method of assessing a model, an inspection apparatus and a lithographic apparatus.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
US8891061B2 (en) 2008-10-06 2014-11-18 Asml Netherlands B.V. Lithographic focus and dose measurement using a 2-D target
NL2003699A (en) 2008-12-18 2010-06-21 Brion Tech Inc Method and system for lithography process-window-maximixing optical proximity correction.
KR101429629B1 (ko) 2009-07-31 2014-08-12 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 리소그래피 시스템, 및 리소그래피 처리 셀
WO2012022584A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for use in metrology, metrology method and device manufacturing method
CN107111250B (zh) 2014-11-26 2019-10-11 Asml荷兰有限公司 度量方法、计算机产品和系统
CN109074051B (zh) * 2016-03-28 2021-06-11 三菱电机株式会社 质量管理装置、质量管理方法及记录介质
EP3290911A1 (en) * 2016-09-02 2018-03-07 ASML Netherlands B.V. Method and system to monitor a process apparatus
TWI660322B (zh) * 2018-05-17 2019-05-21 國立成功大學 考慮機台交互作用之辨識良率損失原因的系統與方法

Also Published As

Publication number Publication date
TWI754539B (zh) 2022-02-01
US20230076185A1 (en) 2023-03-09
EP3872567A1 (en) 2021-09-01
CN115176204A (zh) 2022-10-11
EP4111262A1 (en) 2023-01-04
WO2021170325A1 (en) 2021-09-02
KR20220130784A (ko) 2022-09-27

Similar Documents

Publication Publication Date Title
TWI736262B (zh) 用於計算微影之機器學習模型的訓練方法
TWI757663B (zh) 降低於機器學習模型預測中之不確定性之方法
TWI724279B (zh) 藉由機器學習來判定製程模型之方法
TWI698723B (zh) 基於機器學習的逆光學接近校正及製程模型校準
TWI791357B (zh) 用於選擇與圖案化程序相關聯之資料之方法及相關的非暫時性電腦可讀媒體
TWI754539B (zh) 用於製程度量之察覺製程控制的系統及方法
TW202028849A (zh) 產生特徵圖案與訓練機器學習模型之方法
TWI667553B (zh) 判定圖案之特性之方法
TW202307722A (zh) 蝕刻系統、模型、及製造程序
TWI661264B (zh) 調諧製程模型之方法
TWI786658B (zh) 像差影響系統、模型、及製造程序
TWI838957B (zh) 用於判定基於蝕刻偏差方向之蝕刻功效之非暫時性電腦可讀媒體
WO2023110318A1 (en) Machine learning model for asymmetry-induced overlay error correction
TW202326791A (zh) 使用帶電粒子檢測系統之圖案化參數判定
TW202340847A (zh) 用於判定基於蝕刻偏差方向之蝕刻功效之系統及方法
CN118043740A (zh) 使用带电粒子检查系统的图案化参数确定
TW202338515A (zh) 熱控制系統、模型、及微影中之製造程序
TW202405567A (zh) 圖案選擇系統及方法
TW202333079A (zh) 產生擴增資料以訓練機器學習模型以保持物理趨勢