TW202139465A - 製造半導體裝置之方法及其裝置 - Google Patents

製造半導體裝置之方法及其裝置 Download PDF

Info

Publication number
TW202139465A
TW202139465A TW110102897A TW110102897A TW202139465A TW 202139465 A TW202139465 A TW 202139465A TW 110102897 A TW110102897 A TW 110102897A TW 110102897 A TW110102897 A TW 110102897A TW 202139465 A TW202139465 A TW 202139465A
Authority
TW
Taiwan
Prior art keywords
fin
layer
source
insulating layer
isolation insulating
Prior art date
Application number
TW110102897A
Other languages
English (en)
Other versions
TWI760082B (zh
Inventor
游承諺
巫柏奇
賴岳軍
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202139465A publication Critical patent/TW202139465A/zh
Application granted granted Critical
Publication of TWI760082B publication Critical patent/TWI760082B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • H01L29/7854Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection with rounded corners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

在一種製造包括Fin FET的半導體裝置之方法中,在基板上形成在第一方向上延伸之鰭片結構。在基板上形成隔離絕緣層以使得鰭片結構之上部部分自隔離絕緣層暴露。在鰭片結構的一部分上形成在與第一方向交叉之第二方向上延伸的閘極結構。在鰭片結構之源極/汲極區域的側壁上形成鰭片遮罩層。使鰭片結構之源極/汲極區域凹陷。在凹陷的鰭片結構上形成磊晶源極/汲極結構。在使鰭片結構之源極/汲極區域凹陷中,使用組合蝕刻及沉積製程之電漿製程在沿第二方向之橫截面中形成具有圓角形狀之凹槽。

Description

製造半導體裝置之方法
本揭露為關於半導體積體電路,且更特定言之,為關於具有帶有孔隙的磊晶源極/汲極(S/D)結構之半導體裝置及其製造製程。隨著半導體行業已發展至奈米技術製程節點以追求更高的元件密度、更高的效能及更低的成本,來自製造及設計問題之挑戰已導致了三維設計的發展,諸如,鰭片式場效應電晶體(FinFET)及對具有高k(介電常數)材料之金屬閘極結構的使用。時常藉由使用閘極替換技術來製造金屬閘極結構,且藉由使用磊晶生長方法形成源極及汲極。
應理解,以下揭示內容提供用於實施本發明之不同特徵的許多不同實施方式或實例。以下描述部件及佈置之特定實施方式或實例以簡化本揭露。當然,此些僅為實例,且並不意欲為限制性的。舉例而言,元件之尺寸並不限於所揭示之範圍或值,而可取決於製程條件及/或元件之所期望性質。此外,在如下描述中第一特徵在第二特徵上或在第二特徵上形成可包括其中第一特徵與第二特徵形成為直接接觸之實施方式,且亦可包括其中額外特徵可形成為插入第一特徵與第二特徵而使得第一特徵與第二特徵可不直接接觸的實施方式。為了簡化及清楚,可以不同比例任意地繪製各種特徵。在隨附圖式中,為了簡明起見,可省略一些層/特徵。
另外,為了描述簡單,可在本文中使用諸如「在……下面」、「在……下方」、「下部」、「在……上方」、「上部」及其類似術語之空間相對術語,以描述如諸圖中所繪示之一個元件或特徵與另一(另外)元件或特徵的關係。除了諸圖中所描繪之定向以外,此些空間相對術語意欲涵蓋元件在使用中或操作中之不同定向。裝置可以其他方式定向(旋轉90度或以其他定向),且可同樣相應地解釋本文中所使用之空間相對描述詞。另外,術語「由……製成」可意謂「包括」或「由……組成」。另外,在以下製造製程中,在所述操作中/在所述操作之間可存在一或更多個額外操作,且操作之次序可改變。在本揭露中,短語「A、B及C中之一者」意謂「A、B及/或C」(A、B、C、A與B、A與C、B與C,或A、B及C),且並不意謂來自A之一個元件、來自B之一個元件以及來自C之一個元件,除非另有描述。可在其他實施方式中採用與關於一個實施方式所描述之彼些材料、配置、尺寸、製程及/或操作相同或類似之材料、配置、尺寸、製程及/或操作,且可省略其詳細描述。
所揭示實施方式為關於半導體裝置及其製造方法,特定言之為關於場效應電晶體(FET)之源極/汲極區域。諸如本文中所揭示的實施方式通常不僅適用於FinFET而且適用於其他FET。
第1圖至第12圖圖示根據本揭露之實施方式的用於製造Fin FET裝置之各種階段的橫截面圖。應理解,可在第1圖至第12圖中所示之製程之前、在其期間以及在其之後提供額外操作,且可替換或消除以下所述操作中的一些而獲得方法之額外實施方式。操作/製程之次序可互換。
可藉由任何適當方法來圖案化FinFET之鰭片結構。舉例而言,可使用一或更多個光微影製程來圖案化鰭片結構,包括雙重圖案化或多重圖案化製程。大體而言,雙重圖案化或多重圖案化製程組合了光微影及自對準製程,從而允許形成(例如)間距比另外使用單個、直接光微影製程可獲得之圖案小的圖案。舉例而言,在一個實施方式中,犧牲層形成在基板上並使用光微影製程圖案化。使用自對準製程在已圖案化的犧牲層旁邊形成間隔物。接著移除犧牲層,且可接著使用剩餘間隔物或心軸來圖案化鰭片結構。組合了光微影及自對準製程之多重圖案化製程通常導致形成一對鰭片結構。
在一些實施方式中,在基板10上形成遮罩層15以製造鰭片結構。藉由(例如)熱氧化製程及/或化學氣相沉積(CVD)製程來形成遮罩層15。舉例而言,基板10為p型矽或鍺基板,其具有介於約1×1015 cm-3 至約1×1016 cm-3 之間的雜質濃度。在其他實施方式中,基板為n型矽或鍺基板,其具有介於約1×1015 cm-3 至約1×1016 cm-3 之間之雜質濃度。
或者,基板10可包括另一元素半導體,諸如,鍺;化合物半導體,包括諸如SiC及SiGe之IV族-IV族化合物半導體、諸如GaAs、GaP、GaN、InP、InAs、InSb、GaAsP、AlGaN、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP之III族-V族化合物半導體;或其組合。在一個實施方式中,基板10為SOI(絕緣體上矽)基板之矽層。當使用SOI基板時,鰭片結構可能自SOI基板之矽層突出或可能自SOI基板之絕緣層突出。在後一情形下,SOI基板之矽層用以形成鰭片結構。亦可使用非晶基板(諸如,非晶Si或非晶SiC)或絕緣材料(諸如,氧化矽)作為基板10。基板10可包括已適當摻雜有雜質(例如,p型或n型導電性)之各種區域。
在一些實施方式中,遮罩層15包括(例如)襯墊氧化物(例如,氧化矽)層15A及氮化矽遮罩層15B。可藉由使用熱氧化或化學氣相沉積(CVD)製程形成襯墊氧化物層15A。可藉由物理氣相沉積(PVD)(諸如,濺射方法)、CVD、電漿增強化學氣相沉積(PECVD)、大氣壓化學氣相沉積(APCVD)、低壓CVD(LPCVD)、高密度電漿CVD(HDPCVD)、原子層沉積(ALD)及/或其他製程形成氮化矽遮罩層15B。
在一些實施方式中,襯墊氧化物層15A之厚度介於約2 nm至約15 nm之間,且氮化矽遮罩層15B之厚度介於約2 nm至約50 nm之間。進一步在遮罩層上形成遮罩圖案。此遮罩圖案為(例如)藉由微影操作形成之抗蝕劑圖案。
藉由使用遮罩圖案作為蝕刻遮罩,如第1圖中所示,形成襯墊氧化物層及氮化矽遮罩層之硬遮罩圖案15。
接著,如第2圖中所示,藉由使用硬遮罩圖案15作為蝕刻遮罩,藉由使用乾式蝕刻方法及/或濕式蝕刻方法之溝槽蝕刻將基板10圖案化成鰭片結構20。
在第2圖中,三個鰭片結構20設置在基板10上。然而,鰭片結構之數目並不限於三個。數目可小至一或多於三。在一些實施方式中,鰭片結構之數目介於5至1000之間,此些鰭片結構藉由在後續操作中形成之源極/汲極磊晶層連接。在其他實施方式中,鰭片結構之數目介於5至100之間,此些鰭片結構藉由在後續操作中形成之源極/汲極磊晶層連接。在一些實施方式中,鰭片結構之數目介於5至20之間,此些鰭片結構藉由在後續操作中形成之源極/汲極磊晶層連接。另外,可與鰭片結構20之兩個側相鄰地設置一或更多個虛設鰭片結構,以改良圖案化製程中之圖案保真度。
鰭片結構20可由與基板10相同之材料製成,且可自基板10連續地延伸。在此實施方式中,鰭片結構由Si製成。鰭片結構20之矽層可為本徵的,或適摻雜有n型雜質或p型雜質。
在一些實施方式中,鰭片結構20之寬度W1介於約5 nm至約40 nm之間,且在其他實施方式中,介於約7 nm至約12 nm之間。在一些實施方式中,兩個鰭片結構之間的空間S1介於約10 nm至約50 nm之間。在一些實施方式中,鰭片結構20之高度(沿Z方向)介於約100 nm至約300 nm之間,且在其他實施方式中,介於約50 nm至100 nm之間。
鰭片結構20之在閘極結構40(參見第5A圖)之下的下部部分可稱作阱區域,且鰭片結構20之上部部分可稱作通道區域。在閘極結構40之下,阱區域嵌入隔離絕緣層30(參見第5A圖)中,且通道區域自隔離絕緣層30突出。通道區域之下部部分亦可嵌入隔離絕緣層30中至約1 nm至約5 nm之深度。
阱區域之高度在一些實施方式中介於約60 nm至100 nm之間,且通道區域之高度介於約40 nm至60 nm之間,且在其他實施方式中介於約38 nm至約55 nm之間。
在形成鰭片結構20之後,在一些實施方式中進一步蝕刻基板10以形成臺面形狀10M,如第3圖中所示。在其他實施方式中,首先形成臺面形狀10M,並接著形成鰭片結構20。在某些實施方式中,不形成臺面形狀。
在形成鰭片結構20及臺面形狀10M之後,在鰭片結構之間的空間及/或一個鰭片結構與形成在基板10上的另一元件之間的空間中形成隔離絕緣層30。隔離絕緣層30亦可稱為「淺溝槽隔離(shallow-trench-isolation, STI)」層。用於隔離絕緣層30之絕緣材料可包括氧化矽、氮化矽、氧氮化矽(SiON)、SiOCN、摻氟矽酸鹽玻璃(FSG)或低介電常數介電材料之一或更多個層。藉由LPCVD(低壓化學氣相沉積)、電漿CVD或可流動CVD形成隔離絕緣層。在可流動CVD中,可沉積可流動介電材料而非氧化矽。可流動介電材料(如其名稱所指出)可在沉積期間「流動」,以填充具有高的深寬比之縫隙或空間。通常,將各種化學物質添加至含矽前驅物,以允許已沉積之膜流動。在一些實施方式中,添加氫化氮鍵。可流動介電前驅物(尤其係可流動之氧化矽前驅物)之實例包括矽酸鹽、矽氧烷、甲基倍半矽氧烷(MSQ)、氫倍半矽氧烷(HSQ)、MSQ/HSQ、全氫矽氮烷(TCPS)、全氫聚矽氮烷(PSZ)、正矽酸乙酯(TEOS),或甲矽烷基胺(諸如,三甲矽烷基胺(TSA))。在多重操作製程中形成此些可流動氧化矽材料。在沉積可流動膜之後,使可流動膜固化且接著退火,以移除(若干)非所期望之元素以形成氧化矽。當移除(若干)非所期望之元素時,可流動膜緻密化並收縮。在一些實施方式中,進行多個退火製程。可流動膜不止一次地固化並退火。可流動膜可摻雜有硼及/或磷。
首先在厚層中形成絕緣層30以使得鰭片結構嵌入厚層中,並使厚層凹陷以便暴露鰭片結構20之上部部分,如第4圖中所示。鰭片結構自隔離絕緣層30之上部表面的高度H1在一些實施方式中介於約20 nm至約100 nm之間,且在其他實施方式中介於約30 nm至約50 nm之間。在使隔離絕緣層30凹陷之後或之前,可執行熱製程(例如,退火製程),以改良隔離絕緣層30之品質。在某些實施方式中,藉由在惰性氣體環境(諸如,N2 、Ar或He環境)中在範圍自約900°C至約1050°C之溫度下使用快速熱退火(RTA)歷時約1.5秒至約10秒來執行熱製程。
在形成絕緣層30之後,在鰭片結構20上形成閘極結構40,如第5A圖至第5C圖中所示。第5A圖為例示性透視圖,第5B圖為沿第5A圖之線a-a的例示性橫截面圖,且第5C圖為沿第5A圖之線b-b的例示性橫截面圖。第6圖、第7A圖、第8A圖及第9圖至第12圖亦為沿第5A圖之線b-b的橫截面圖。第7B圖及第8B圖為沿第5A圖之線c-c的橫截面圖。
如第5A圖中所示,閘極結構40在X方向上延伸,而鰭片結構20在Y方向上延伸。
為了製造閘極結構40,在隔離絕緣層30及已暴露的鰭片結構20上形成介電層及多晶矽層,且接著執行圖案化操作,以便獲得包括由多晶矽製成的閘極圖案44及介電層42之閘極結構。在一些實施方式中,藉由使用硬遮罩來圖案化多晶矽層,且硬遮罩保留在閘極圖案44上作為帽絕緣層46。硬遮罩(帽絕緣層46)包括絕緣材料之一或更多個層。在一些實施方式中,帽絕緣層46包括形成在氧化矽層上的氮化矽層。在其他實施方式中,帽絕緣層46包括形成在氮化矽層上的氧化矽層。用於帽絕緣層46之絕緣材料可藉由CVD、PVD、ALD、電子束(e-beam)蒸鍍或其他適當製程形成。在一些實施方式中,介電層42可包括氧化矽、氮化矽、氧氮化矽或高介電常數介電質之一或更多個層。在一些實施方式中,介電層42之厚度介於約2 nm至約20 nm之間,且在其他實施方式中介於約2 nm至約10 nm之間。閘極結構之高度H2在一些實施方式中介於約50 nm至約400 nm之間,且在其他實施方式中介於約100 nm至200 nm之間。
在一些實施方式中,採用閘極替換技術。在此情形下,閘極圖案44及介電層42分別為隨後被移除之虛設閘電極及虛設閘極介電層。若採用先閘極技術,則將閘極圖案44及介電層42用作閘電極及閘極介電層。
另外,閘極側壁間隔物48形成在閘極圖案之兩個側壁上。側壁間隔物48包括絕緣材料(諸如,SiO2 、SiN、SiON、SiOCN或SiCN)之一或更多個層,其係藉由CVD、PVD、ALD、電子束蒸鍍或其他適當製程形成。可將低介電常數介電材料用作側壁間隔物。藉由在有後期各向異性蝕刻或無後期各向異性蝕刻的情況下形成絕緣材料之毯覆層而形成側壁間隔物48。在一實施方式中,側壁間隔物係由基於氮化矽之材料製成,諸如,SiN、SiON、SiOCN或SiCN。
接著,如第6圖中所示,在鰭片結構20上形成鰭片遮罩層50。鰭片遮罩層50係由包括基於氮化矽之材料(諸如,SiN、SiON、SiOCN或SiCN)的介電材料製成。在一個實施方式中,將SiN用作鰭片遮罩層50。藉由CVD、PVD、ALD、電子束蒸鍍或其他適當製程形成鰭片遮罩層50。在一些實施方式中,鰭片遮罩層50之厚度介於約3 nm至約30 nm之間。
在一些實施方式中,鰭片遮罩層50及用於閘極結構之側壁間隔物48為單獨地形成。在其他實施方式中,將同一毯覆層用於鰭片遮罩層50及側壁間隔物48。
在形成鰭片遮罩層50之後,使鰭片結構20之上部部分凹陷,且藉由乾式蝕刻及/或濕式蝕刻操作移除鰭片遮罩層50之設置介於隔離絕緣層突出的鰭片結構之側表面及頂表面上的部分。如第7A圖及第7B圖中所示,鰭片結構20之上部部分向下凹陷(被蝕刻)至等於或低於在隔離絕緣層30的上部表面上之鰭片遮罩層50的上部表面之水準。
在一些實施方式中,如第7A圖中所示,凹陷的鰭片結構20之頂部(凹槽25之底部)具有U形、半圓形或子彈頭形狀(可統稱為圓角形狀(rounded corner shape),或稱倒圓拐角形狀),其為沿閘極延伸方向(X)之橫截面圖。在一些實施方式中,自圓角形狀中排除V形及矩形形狀。在一些實施方式中,在(例如)半圓形及子彈頭形狀之情形下,在凹陷的鰭片結構之頂部沿X方向的橫截面圖中不存在平直或線性的部分。在子彈頭形狀之情形下,兩條曲線在凹槽之底部相遇,形成頂點。在其他實施方式中,在(例如)U形的情形下,存在小的平直或線性部分,其具有介於約0.5 nm至約2 nm之間的長度。在一些實施方式中,圓角形狀並非具有恆定外徑之半圓形狀。在一些實施方式中,彎曲或倒圓的部分(並非筆直部分)不具有恆定的外徑或曲率。在一些實施方式中,圓角之最大外徑在一些實施方式中介於約0.5 nm至約2 nm之間。
沿Y方向(其為源極至汲極方向),凹陷的鰭片結構20之凹槽或頂部亦具有U形,其具有圓角及平直或線性的底部部分。在一些實施方式中,平直或線性的底部部分之寬度L1為凹槽25在Y方向上之最大寬度L2的約10%至約90%。在其他實施方式中,L1為L2的約30%至70%。在一些實施方式中,L1介於約2 nm至約20 nm之間。在某些實施方式中,不存在平直或線性的部分,亦即,L1=0。
在一些實施方式中,自鰭片結構20的最頂部表面所量測之凹槽25的深度D0在一些實施方式中介於約5 nm至約60 nm之間,且在其他實施方式中介於約10 nm至約15 nm之間。在一些實施方式中,在多個鰭片結構20當中,凹槽25之深度係變化的。在一些實施方式中,最大深度與最小深度之間的差異方面之變化在一些實施方式中為約0.5 nm至約2.5 nm。在一些實施方式中,自隔離絕緣層30的最頂部表面至凹槽25的最底部表面所量測之凹槽25的深度D2介於約10 nm至約15 nm之間。在一些實施方式中,自凹槽25的最頂部凹槽部分(在凹陷部分之邊緣處)所量測之凹槽的深度D1介於約5 nm至10 nm之間。在一些實施方式中,D1/D2之比率介於約1.9至約1.14之間。若D1/D2之比率大於1.9,則其增大了後續磊晶生長製程中之難度。若D1/D2之比率小於1.14,則源極/汲極特徵所引起之應變減小,從而導致低的載流子遷移率。在至少一個實施方式中,相對於沿鰭片結構之方向的橫截面圖或相對於沿閘極結構之方向的橫截面圖圖示D1/D2之範圍。
如第7B圖中所示,在一些實施方式中,凹槽橫向地穿透鰭片結構之在側壁間隔物48之下的部分。在其他實施方式中,凹槽橫向地在虛設閘電極44的一部分之下延伸。
在一些實施方式中,當另一閘極結構40設置在鰭片結構20上時,鰭片結構20之自一個閘極結構至另一閘極結構的一部分凹陷,如第7B圖中所示。在其他實施方式中,凹槽25之一個端部(例如,第7B圖中之右端)由隔離絕緣層30所限定。因此,藉由自對準方式限定凹槽在此端部處之尺寸。
藉由使用脈衝偏壓蝕刻操作(其使用第17圖中所示之電漿蝕刻裝置1000)使鰭片結構20凹陷以形成圓角形狀。在一些實施方式中,將基板10放置在蝕刻腔室之晶圓臺1100上,且藉由(例如)DC電壓將基板10及/或晶圓臺1100偏置。將RF功率施加至反電極1200,此反電極1200在一些實施方式中係設置在基板上方。在其他實施方式中,經由環繞蝕刻腔室之線圈來施加RF功率。
在一些實施方式中,蝕刻氣體包括含鹵素氣體,諸如,HBr。在一些實施方式中,藉由惰性氣體(諸如,He及/或Ar)稀釋HBr。在一些實施方式中,藉由氮氣(N2 )稀釋HBr。在一些實施方式中,HBr與稀釋氣體之比率介於約0.3至約0.7之間,且在其他實施方式中,此比率介於約0.4至約0.6之間。若比率大於0.7,則蝕刻速率過快而無法控制,且若比率小於0.3,則其增加了製造時間,從而導致成本增加。
在一些實施方式中,在蝕刻操作期間,藉由泵送系統將電漿腔室維持介於約1毫托至約100毫托之間的壓力下。在其他實施方式中,蝕刻操作期間之壓力介於約3毫托至約15毫托之間。
偏置電壓在一些實施方式中介於約300 V至約800 V之間,且在其他實施方式中介於約500 V至600 V之間。在一些實施方式中,輸入RF功率介於約300 W至約800 W之間。RF之頻率為13.56 MHz、2.56 GHz,或用於半導體行業中之任何其他適當頻率。
在一些實施方式中,偏置電壓為具有介於約10%至約90%之間的佔空比(接通與斷開比率)之脈衝電壓。在其他實施方式中,佔空比介於約30%至約70%之間。在一些實施方式中,單位元循環(一個「接通」週期及一個「斷開」週期)介於約0.5秒至10秒之間,且介於約1秒至5秒之範圍內。在一些實施方式中,脈衝偏壓蝕刻為蝕刻及沉積操作的重複。在「接通」週期期間,鰭片結構被蝕刻,且在「斷開」週期期間,副產物之沉積速率大於蝕刻速率。因此,藉由調整佔空比、RF功率及/或偏置電壓,有可能形成如第7A圖中所示之圓角形狀。
在一些實施方式中,完全移除鰭片遮罩層50。在其他實施方式中,藉由調整蝕刻條件(例如,過蝕刻時間),鰭片遮罩層50保留在隔離絕緣層30之上部表面上。在一些實施方式中,剩餘鰭片遮罩層50之厚度介於約2 nm至約10 nm之間。
接著,如第8A圖及第8B圖中所示,在凹陷的鰭片結構20上形成磊晶源極/汲極結構60。磊晶源極/汲極結構60係由具有與鰭片結構20(通道區域)不同的晶格常數之半導體材料的一或更多個層製成。當鰭片結構由Si製成時,磊晶源極/汲極結構60包括用於n通道Fin FET之SiP、SiC或SiCP,或用於p通道Fin FET之SiGe或Ge。磊晶源極/汲極結構60磊晶地形成在凹陷的鰭片結構之上部部分上。由於形成為鰭片結構20之基板的晶體定向(例如,(100)平面),磊晶源極/汲極結構60橫向地生長且具有金剛石狀的形狀。
可在約600°C至800°C之溫度下在約80托至150托之壓力下,藉由使用含Si氣體(諸如,SiH4 、Si2 H6 或SiCl2 H2 );含Ge氣體(諸如,GeH4 、Ge2 H6 或GeCl2 H2 );含C氣體(諸如,CH4 或C2 H6 );及/或摻雜劑氣體(諸如,PH3 )來生長源極/汲極磊晶層60。可藉由單獨的磊晶製程形成用於n通道FET之源極/汲極結構及用於p通道FET之源極/汲極結構。
由於鰭片結構與保留在隔離絕緣層(在鰭片結構與凹陷的鰭片結構20之圓角形狀之間)之上部表面上的鰭片遮罩層50之間相對小的空間,形成在第一鰭片結構20中之每一者上的相鄰磊晶源極/汲極結構被合併,使得藉由合併的第二磊晶源極/汲極結構60及在隔離絕緣層30之上部表面上的鰭片遮罩層50形成了孔隙或縫隙(氣隙)65,如第8A圖中所示。
當鰭片遮罩層被保留時,由於在隔離絕緣層30之上部表面上的鰭片遮罩層50,孔隙65之高度H2比在其中無鰭片遮罩層50保留在隔離絕緣層30之上部表面上的情形下大。在一些實施方式中,自鰭片遮罩層50的上部表面所量測之孔隙的高度H2介於約10 nm至約30 nm之間,且在其他實施方式中介於約15 nm至約25 nm之間。另外,由於剩餘的鰭片遮罩層50,隔離絕緣層30在鰭片蝕刻期間受到保護。在一些實施方式中,不保留鰭片遮罩層50。
在磊晶源極/汲極結構60形成之後,如第9圖中所示,矽化物層70形成在磊晶源極/汲極結構60上。
在磊晶源極/汲極結構60上形成金屬材料(諸如,Ni、Ti、Ta及/或W),並執行退火操作以形成矽化物層70。在其他實施方式中,在磊晶源極/汲極結構60上形成矽化物材料(諸如,NiSi、TiSi、TaSi及/或WSi),且可執行退火操作。在約250°C至約850°C之溫度下執行退火操作。藉由CVD或ALD形成金屬材料或矽化物材料。在一些實施方式中,矽化物層70之厚度介於約4 nm至約10 nm之間。在退火操作之前或之後,選擇性地移除形成在隔離絕緣層30上的金屬材料或矽化物材料。
接著,如第10圖中所示,在金屬閘極結構及源極/汲極結構60上形成用作接觸蝕刻終止層之絕緣層80,且接著形成層間介電層85。絕緣層80為絕緣材料之一或更多個層。在一個實施方式中,絕緣層80係由藉由CVD形成之氮化矽製成。用於層間介電層85之材料包括化合物,其包括Si、O、C及/或H,諸如,氧化矽、SiCOH及SiOC。可將諸如聚合物之有機材料用於層間介電層85。
接著,藉由使用閘極替換技術形成金屬閘極結構。在形成層間介電層85之後,執行CMP操作以暴露虛設閘電極44。接著移除並用金屬閘極結構(金屬閘電極及閘極介電層)替換虛設閘極結構(虛設閘電極44及虛設閘極介電層42)。
如第11圖中所示,分別藉由適當蝕刻製程移除虛設閘電極44及虛設閘極介電層42,以形成閘極開口。在閘極開口中形成包括閘極介電層102及金屬閘電極104之金屬閘極結構。
在一些實施方式中,閘極介電層102形成在設置於鰭片結構20之通道層上的介面層(未圖示)上。在一些實施方式中,介面層可包括具有0.2 nm至1.5 nm之厚度的氧化矽或氧化鍺。在其他實施方式中,介面層之厚度在約0.5 nm至約1.0 nm之間。
閘極介電層102包括介電材料的一或更多個層,諸如,氧化矽、氮化矽或高介電常數介電材料、其他適當介電材料及/或其組合。高介電常數介電材料之實例包括HfO2 、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、氧化鋯、氧化鋁、氧化鈦、二氧化鉿-氧化鋁(HfO2 -Al2 O3 )合金、其他適當的高介電常數介電材料,及/或其組合。藉由(例如)化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)、高密度電漿CVD(HDPCVD)或其他適當方法及/或其組合來形成閘極介電層。閘極介電層之厚度在一些實施方式中介於約1 nm至約10 nm之範圍,且在其他實施方式中可介於約2 nm至約7 nm之間。
金屬閘電極104形成於閘極介電層上。金屬閘電極104包括任何適當金屬材料之一或更多個層,諸如,鋁、銅、鈦、鉭、鈷、鉬、氮化鉭、矽化鎳、矽化鈷、TiN、WN、TiAl、TiAIN、TaCN、TaC、TaSiN、金屬合金、其他適當材料及/或其組合。
在本揭露之某些實施方式中,將一或更多個功函數調整層(未圖示)插入閘極介電層與金屬閘電極之間。功函數調整層係由導電材料製成,諸如,TiN、TaN、TaAlC、TiC、TaC、Co、Al、TiAl、HfTi、TiSi、TaSi或TiAlC之單層,或此些材料中之兩者或更多者的多層。對於n通道Fin FET而言,將TaN、TaAIC、TiN、TiC、Co、TiAI、HfTi、TiSi及TaSi中之一或更多者用作功函數調整層,且對於p通道Fin FET而言,將TiAlC、Al、TiAl、TaN、TaAlC、TiN、TiC及Co中之一或更多者用作功函數調整層。
在沉積了用於金屬閘極結構之適當材料之後,執行平坦化操作,諸如,CMP。
在金屬閘極結構形成之後,在金屬閘極結構及層間介電層85上形成一或更多個層間介電層。如第12圖中所示,此些層間介電層統稱為層間介電層86。
藉由使用包括微影之圖案化操作,在層間介電層86及絕緣層80中形成接觸孔90,以便暴露具有矽化物層70之磊晶源極及汲極結構60,如第12圖中所示。
接著,用導電材料填充接觸孔,藉此形成接觸插塞100,如第13圖中所示。接觸插塞100可包括任何適當金屬之單層或多層,諸如,Co、W、Ti、Ta、Cu、Al及/或Ni及/或其氮化物。
在形成接觸插塞之後,執行另外的CMOS製程,以形成各種特徵,諸如,額外的層間介電層、接觸件/通孔、互連金屬層,及鈍化層,等。
在一些實施方式中,在接觸孔90被打開之後形成矽化物層70。在此情形下,在形成如第8A圖及第8B圖中所示之磊晶源極/汲極結構60之後,形成金屬閘極結構、絕緣層80(接觸蝕刻終止層)及層間介電層86,而不形成矽化物層。接著,在絕緣層80及層間介電層86中形成接觸孔,以暴露磊晶源極/汲極結構60之上部表面,且接著在磊晶源極/汲極結構60之上部表面上形成矽化物層。在形成矽化物層之後,在接觸孔中形成導電材料,藉此形成接觸插塞。
第14圖及第15圖圖示根據本揭露之另一實施方式的用於製造Fin FET裝置之各種階段的例示性橫截面圖。可在如下實施方式中採用與關於前述實施方式所描述之彼些材料、配置、尺寸、製程及/或操作相同或類似之材料、配置、尺寸、製程及/或操作,且可省略其詳細描述。
在關於第7A圖及第7B圖所描述之鰭片遮罩層50及鰭片結構20的凹槽蝕刻期間,鰭片遮罩層50之設置在鰭片結構20的側壁上之一些下部部分得以保留而不會被蝕刻掉,藉此形成袖狀部分55,如第13圖中所示。在一些實施方式中,袖狀部分55之高度H3介於約1 nm至約10 nm之間。
接著,類似於第8A圖,形成磊晶源極/汲極結構60,藉此形成孔隙65',如第14圖中所示。由於袖狀部分55,在此實施方式中,孔隙65'之高度H4大於第8圖中之高度H2。在一些實施方式中,高度H4介於約20 nm至約35 nm之間。
在本揭露中,因為在源極/汲極磊晶層與隔離絕緣層(STI)之間形成了孔隙,所以源極/汲極結構處之寄生電容可減小。另外,藉由使鰭片遮罩層(例如,SiN)保留在隔離絕緣層之上部表面上,孔隙之高度(尺寸)可更大。
第16圖圖示根據本揭露之實施方式的半導體裝置之橫截面圖。可在如下實施方式中採用與關於前述實施方式所描述之彼些材料、配置、尺寸、製程及/或操作相同或類似之材料、配置、尺寸、製程及/或操作,且可省略其詳細描述。
如第16圖中所示,在基板10上設置複數個鰭片結構20(例如,5至20(例如,9)個鰭片結構20),且在鰭片結構上形成合併的源極/汲極磊晶結構60。在第16圖中,省略蝕刻終止層。如上所述,源極/汲極磊晶層60係自凹陷的鰭片結構之圓角形狀生長。圓角形狀以此方式影響源極/汲極磊晶層之生長而使得磊晶層更有可能合併並形成合併的磊晶結構。另外,相比於其中凹陷的鰭片結構具有V形或矩形形狀之情形,合併磊晶層之上部表面傾向於具有更平滑的表面。在一些實施方式中,合併磊晶層之上部表面具有不均勻表面,且合併磊晶層之上部表面在X方向上的峰至穀值介於約5 nm至約20 nm之間,且在其他實施方式中介於約7 nm至約15 nm之間。峰至谷值係在左數第二鰭片結構上方的部分與右數第二鰭片結構上方的部分之間量測。
在一些實施方式中,如第16圖中所示,合併的源極/汲極磊晶層60經由接觸插塞100A及金屬配線110A電耦接至電路元件,且經由接觸插塞100B及金屬配線110B電耦接至不同電路元件。在此情形下,在一些實施方式中,在複數個鰭片結構上設置兩個或更多個閘電極。
在本揭露之實施方式中,藉由在鰭片結構之源極/汲極區域的凹槽蝕刻中形成圓角形狀之凹槽,有可能改良源極/汲極磊晶層之性質。
應理解,未必已在本文中論述了所有優勢,對於所有實施方式或實例而言無特定優勢為必需,且其他實施方式或實例可提供不同優勢。
根據本揭露之一個態樣,在一種製造包括Fin FET的半導體裝置之方法中,在基板上形成鰭片結構。此鰭片結構在平面圖中之第一方向上延伸。在基板上形成隔離絕緣層以使得鰭片結構之下部部分嵌入隔離絕緣層中且鰭片結構之上部部分自隔離絕緣層暴露。在鰭片結構的一部分上形成閘極結構。閘極結構在與平面圖中之第一方向交叉的第二方向上延伸。在鰭片結構之自隔離絕緣層突出且未被閘極結構覆蓋的側壁上及隔離絕緣層之上部表面上形成鰭片遮罩層。使鰭片結構之源極/汲極區域凹陷。在凹陷的鰭片結構上形成磊晶源極/汲極結構。在使鰭片結構之源極/汲極區域凹陷中,使用組合蝕刻及沉積製程之電漿製程在沿第二方向之橫截面中形成具有圓角形狀之凹槽。在前述及以下實施方式中之一或更多者中,電漿製程包括施加RF功率及施加脈衝偏壓。在前述及以下實施方式中之一或更多者中,脈衝偏壓包括介於10%至90%之間的佔空比。在前述及以下實施方式中之一或更多者中,脈衝偏壓包括介於300 V至800 V之間的接通電壓。在前述及以下實施方式中之一或更多者中,RF電壓包括介於300 W至800 W之間的輸入功率。在前述及以下實施方式中之一或更多者中,電漿製程包括供應HBr及He。在前述及以下實施方式中之一或更多者中,HBr與He之比率介於0.3至0.7之間。在前述及以下實施方式中之一或更多者中,電漿製程係介於1毫托至100毫托之間的壓力下執行。在前述及以下實施方式中之一或更多者中,在沿第二方向之橫截面中,圓角形狀為U形及子彈頭形狀中之一者。在前述及以下實施方式中之一或更多者中,在沿第二方向之橫截面中,圓角形狀並非半圓形狀。
根據本揭露之另一態樣,在一種製造包括Fin FET的半導體裝置之方法中,在基板上形成複數個鰭片結構。複數個鰭片結構在第一方向上延伸且在與平面圖中之第一方向交叉的第二方向上佈置。在基板上形成隔離絕緣層以使得複數個鰭片結構之下部部分嵌入隔離絕緣層中且複數個鰭片結構之上部部分自隔離絕緣層暴露。在複數個鰭片結構的源極/汲極區域之自隔離絕緣層突出的側壁上形成鰭片遮罩層。使複數個鰭片結構之源極/汲極區域凹陷。在凹陷的鰭片結構中之每一者上形成磊晶源極/汲極結構以形成合併的源極/汲極磊晶層。在使此些源極/汲極區域凹陷中,使用組合蝕刻及沉積製程之電漿製程在沿第二方向之橫截面中形成具有圓角形狀之凹槽。在前述及以下實施方式中之一或更多者中,凹槽之深度介於5 nm至20 nm之間。在前述及以下實施方式中之一或更多者中,此些深度不均勻。在前述及以下實施方式中之一或更多者中,最大深度與最小深度之間的差介於0.5 nm至2.5 nm之間。在前述及以下實施方式中之一或更多者中,在沿第一方向之橫截面中,凹槽具有U形,此U形具有筆直底部。在前述及以下實施方式中之一或更多者中,在沿第二方向之橫截面中,圓角形狀為U形及子彈頭形狀中之一者。在前述及以下實施方式中之一或更多者中,在沿第二方向之橫截面中,圓角形狀並非半圓形狀。在前述及以下實施方式中之一或更多者中,在沿第二方向之橫截面中,圓角形狀為半圓形狀。在前述及以下實施方式中之一或更多者中,複數個鰭片結構耦接至源極/汲極磊晶層之數目為五至二十。
根據本揭露之另一態樣,在一種製造包括Fin FET的半導體裝置之方法中,在基板上形成第一鰭片結構及第二鰭片結構。第一及第二鰭片結構在平面圖中之第一方向上延伸。在基板上形成隔離絕緣層以使得第一及第二鰭片結構之下部部分嵌入隔離絕緣層中且第一及第二鰭片結構之上部部分自隔離絕緣層暴露。在第一及第二鰭片結構的部分上形成閘極結構,此閘極結構在與平面圖中之第一方向交叉的第二方向上延伸。在第一及第二鰭片結構之自隔離絕緣層突出且未被閘極結構覆蓋的側壁上及隔離絕緣層之上部表面上形成鰭片遮罩層。使第一及第二鰭片結構之上部部分凹陷,藉此許昌凹陷的第一鰭片結構及凹陷的第二鰭片結構。在凹陷的第一鰭片結構上形成第一磊晶源極/汲極結構且在凹陷的第二鰭片結構上形成第二磊晶源極/汲極結構,使得第一及第二磊晶源極/汲極結構合併,以在合併的第一及第二磊晶源極/汲極結構與在隔離絕緣層之上部表面上的剩餘鰭片遮罩層之間形成孔隙。在使第一及第二鰭片結構凹陷中,使用組合蝕刻及沉積製程之電漿製程在沿第二方向之橫截面中形成具有圓角形狀之凹槽。
根據本揭露之一個態樣,一種半導體裝置包括設置在基板上的隔離絕緣層;設置在基板上且在平面圖中之第一方向上延伸的複數個鰭片結構;設置在複數個鰭片結構的部分上且在與第一方向交叉之第二方向上延伸的閘極結構;合併的源極/汲極磊晶層;及設置在隔離絕緣層之上部表面上的介電層。未被閘極結構覆蓋之複數個鰭片結構凹陷至隔離絕緣層之上部表面下方,合併的源極/汲極磊晶層形成在凹陷的鰭片結構上,且合併的源極/汲極磊晶層與此些凹陷的鰭片結構中的每一者之間的介面中之每一者在沿第二方向之橫截面中具有圓角形狀。在前述及以下實施方式中之一或更多者中,自隔離絕緣層的上部表面所量測之介面深度介於5 nm至20 nm之間。在前述及以下實施方式中之一或更多者中,此些深度不均勻。在前述及以下實施方式中之一或更多者中,最大深度與最小深度之間的差介於0.5 nm至2.5 nm之間。在前述及以下實施方式中之一或更多者中,在沿第二方向之橫截面中,圓角形狀為U形及子彈頭形狀中之一者。在前述及以下實施方式中之一或更多者中,在沿第二方向之橫截面中,圓角形狀並非半圓形狀。在前述及以下實施方式中之一或更多者中,在沿第一方向之橫截面中,介面具有U形,此U形具有筆直底部。在前述及以下實施方式中之一或更多者中,在沿第二方向之橫截面中,圓角形狀為半圓形狀。在前述及以下實施方式中之一或更多者中,圓角形狀之彎曲部分不具有恆定曲率。在前述及以下實施方式中之一或更多者中,複數個鰭片結構耦接至源極/汲極磊晶層之數目為五至二十。在前述及以下實施方式中之一或更多者中,合併的源極/汲極磊晶層之上部表面具有不均勻表面。在前述及以下實施方式中之一或更多者中,合併磊晶層之不均勻上部表面在第二方向上的峰至穀值介於5 nm至20 nm之間。在前述及以下實施方式中之一或更多者中,此半導體裝置進一步包括接觸合併的源極/汲極磊晶層之第一通孔插塞,及接觸合併的源極/汲極磊晶層之第二通孔插塞。第一通孔插塞及第二通孔插塞電耦接至彼此不同之電路元件。在前述及以下實施方式中之一或更多者中,在複數個鰭片結構上設置兩個或更多個閘極結構。
根據本揭露之另一態樣,一種半導體裝置包括設置在基板上的隔離絕緣層;均設置在基板上且在平面圖中之第一方向上延伸的第一鰭片結構及第二鰭片結構;設置在第一及第二鰭片結構的部分上且在與第一方向交叉之第二方向上延伸的閘極結構;合併的源極/汲極磊晶層;及設置在隔離絕緣層的上部表面上的介電層。未被閘極結構覆蓋之第一及第二鰭片結構凹陷至隔離絕緣層之上部表面下方,合併的源極/汲極磊晶層形成在凹陷的鰭片結構上,且合併的源極/汲極磊晶層與凹陷的第一及第二鰭片結構中的每一者之間的介面中之每一者在沿第二方向之橫截面中具有圓角形狀。在前述及以下實施方式中之一或更多者中,在沿第一方向之橫截面中,介面具有U形,此U形具有筆直底部。在前述及以下實施方式中之一或更多者中,在沿第二方向之橫截面中,圓角形狀為半圓形狀。在前述及以下實施方式中之一或更多者中,在沿第二方向之橫截面中,圓角形狀並非半圓形狀。在前述及以下實施方式中之一或更多者中,圓角形狀之彎曲部分不具有恆定曲率。
根據本揭露之另一態樣,一種半導體裝置包括設置在基板上的隔離絕緣層;設置在基板上且在平面圖中之第一方向上延伸的鰭片結構;設置在此結構的部分上且在與第一方向交叉之第二方向上延伸的閘極結構;源極/汲極磊晶層;及設置在隔離絕緣層之上部表面上的介電層。未被閘極結構覆蓋之鰭片結構凹陷至隔離絕緣層之上部表面下方,源極/汲極磊晶層形成在凹陷的鰭片結構上,且源極/汲極磊晶層與此凹陷的鰭片結構之間的介面在沿第二方向之橫截面中具有圓角形狀。
前文概述了若干實施方式或實例之特徵,使得熟習此項技術者可較佳理解本揭露之態樣。熟習此項技術者應瞭解,他們可容易地使用本揭露作為設計或修改用於實現相同目的及/或實現本文中所介紹之實施方式或實例之相同優勢的其他製程及結構之基礎。熟習此項技術者亦應認識到,此些等效構造不脫離本揭露之精神及範疇,且他們可在不脫離本揭露之精神及範疇的情況下在本文作出各種改變、代替及替換。
10:基板 10M:臺面形狀 15:遮罩層 15A:襯墊氧化物層 15B:氮化矽遮罩層 20:鰭片結構 25:凹槽 30:隔離絕緣層 40:閘極結構 42:介電層 44:閘極圖案 46:帽絕緣層 48:閘極側壁間隔物 50:鰭片遮罩層 55:袖狀部分 60:磊晶源極/汲極結構 65:孔隙 65':孔隙 70:矽化物層 80:絕緣層 85:層間介電層 86:層間介電層 90:接觸孔 100:接觸插塞 100A:接觸插塞 100B:接觸插塞 102:閘極介電層 104:金屬閘電極 110A:金屬配線 110B:金屬配線 1000:電漿蝕刻裝置 1100:晶圓臺 1200:反電極
當結合附諸圖閱讀時,得以自以下詳細描述最佳地理解本揭露。應強調,根據行業上之標準實務,各種特徵並未按比例繪製且僅用於說明目的。事實上,為了論述清楚,可任意地增大或減小各種特徵之尺寸。 第1圖圖示根據本揭露之實施方式的用於半導體裝置之製造操作的各種階段中之一者的橫截面圖。 第2圖圖示根據本揭露之實施方式的用於半導體裝置之製造操作的各種階段中之一者的橫截面圖。 第3圖圖示根據本揭露之實施方式的用於半導體裝置之製造操作的各種階段中之一者的橫截面圖。 第4圖圖示根據本揭露之實施方式的用於半導體裝置之製造操作的各種階段中之一者的橫截面圖。 第5A圖、第5B圖及第5C圖圖示根據本揭露之實施方式的用於半導體裝置之製造操作的各種階段中之一者的視圖。 第6圖圖示根據本揭露之實施方式的用於半導體裝置之製造操作的各種階段中之一者的橫截面圖。 第7A圖及第7B圖圖示根據本揭露之實施方式的用於半導體裝置之製造操作的各種階段中之一者的橫截面圖。 第8A圖及第8B圖圖示根據本揭露之實施方式的用於半導體裝置之製造操作的各種階段中之一者的橫截面圖。 第9圖圖示根據本揭露之實施方式的用於半導體裝置之製造操作的各種階段中之一者的橫截面圖。 第10圖圖示根據本揭露之實施方式的用於半導體裝置之製造操作的各種階段中之一者的橫截面圖。 第11圖圖示根據本揭露之實施方式的用於半導體裝置之製造操作的各種階段中之一者的橫截面圖。 第12圖圖示根據本揭露之實施方式的用於半導體裝置之製造操作的各種階段中之一者的橫截面圖。 第13圖圖示根據本揭露之實施方式的用於半導體裝置之製造操作的各種階段中之一者的橫截面圖。 第14圖圖示根據本揭露之實施方式的用於半導體裝置之製造操作的各種階段中之一者的橫截面圖。 第15圖圖示根據本揭露之實施方式的用於半導體裝置之製造操作的各種階段中之一者的橫截面圖。 第16圖圖示根據本揭露之實施方式的半導體裝置之橫截面圖。 第17圖圖示根據本揭露之實施方式的蝕刻裝置之示意圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
10M:臺面形狀
20:鰭片結構
30:隔離絕緣層
50:鰭片遮罩層
60:磊晶源極/汲極結構
100A:接觸插塞
100B:接觸插塞
110A:金屬配線
110B:金屬配線

Claims (20)

  1. 一種製造包括一Fin FET的一半導體裝置之方法,該方法包括: 在一基板上形成一鰭片結構,該鰭片結構在平面圖中之一第一方向上延伸; 在該基板上形成一隔離絕緣層以使得該鰭片結構之一下部部分嵌入該隔離絕緣層中,且該鰭片結構之一上部部分自該隔離絕緣層暴露; 在該鰭片結構的一部分上形成一閘極結構,該閘極結構在與平面圖中之該第一方向交叉的一第二方向上延伸; 在該鰭片結構之自該隔離絕緣層突出且未被該閘極結構覆蓋的側壁上及該隔離絕緣層之一上部表面上形成一鰭片遮罩層; 使該鰭片結構之一源極/汲極區域凹陷;以及 在該凹陷的鰭片結構上形成一磊晶源極/汲極結構, 其中在該使該鰭片結構之該源極/汲極區域凹陷中,使用組合蝕刻及沉積製程之一電漿製程在沿該第二方向之一橫截面中形成具有一圓角形狀之一凹槽。
  2. 如請求項1所述之方法,其中該電漿製程包括施加一RF功率及施加一脈衝偏壓。
  3. 如請求項2所述之方法,其中該脈衝偏壓包括介於10%至90%間之一範圍中的一佔空比。
  4. 如請求項2所述之方法,其中該脈衝偏壓包括介於300 V至800 V間之一範圍中的一接通電壓。
  5. 如請求項2所述之方法,其中該RF電壓包括介於300 W至800 W間之一範圍中的一輸入功率。
  6. 如請求項2所述之方法,其中該電漿製程包括供應一溴化氫氣體及一氦氣。
  7. 如請求項6所述之方法,其中該溴化氫氣體與該氦氣之一比率介於0.3至0.7間之一範圍中。
  8. 如請求項6所述之方法,其中該電漿製程為介於1毫托至100毫托間之一範圍中的一壓力下執行。
  9. 如請求項1所述之方法,其中在沿該第二方向之該橫截面中,該圓角形狀為一U形及一子彈頭形狀中之一者。
  10. 如請求項1所述之方法,其中在沿該第二方向之該橫截面中,該圓角形狀並非一半圓形狀。
  11. 一種製造包括一Fin FET的一半導體裝置之方法,該方法包括: 在一基板上形成複數個鰭片結構,該些鰭片結構在一第一方向上延伸且在與平面圖中之該第一方向交叉的一第二方向上佈置; 在該基板上形成一隔離絕緣層以使得該些鰭片結構之下部部分嵌入該隔離絕緣層中且該些鰭片結構之上部部分自該隔離絕緣層暴露; 在該些鰭片結構的複數個源極/汲極區域之自該隔離絕緣層突出的側壁上形成一鰭片遮罩層; 使該些鰭片結構之該些源極/汲極區域凹陷;以及 在該些凹陷的鰭片結構中之每一者上形成一磊晶源極/汲極結構以形成一合併的源極/汲極磊晶層, 其中在該使該些源極/汲極區域凹陷中,使用組合蝕刻及沉積製程之一電漿製程在沿該第二方向之一橫截面中形成具有一圓角形狀之凹槽。
  12. 如請求項11所述之方法,其中該凹槽之一深度介於5 nm至20 nm間之一範圍中。
  13. 如請求項12所述之方法,其中該深度不均勻。
  14. 如請求項13所述之方法,其中一最大深度與一最小深度之間的一差距介於0.5 nm至2.5 nm間之一範圍中。
  15. 如請求項11所述之方法,其中在沿該第一方向之一橫截面中,該圓角形狀為具有一筆直底部之一U形。
  16. 如請求項15所述之方法,其中在沿該第二方向之該橫截面中,該圓角形狀為一U形及一子彈頭形狀中之一者。
  17. 如請求項15所述之方法,其中在沿該第二方向之該橫截面中,該圓角形狀並非一半圓形狀。
  18. 如請求項15所述之方法,其中在沿該第二方向之該橫截面中,該圓角形狀為一半圓形狀。
  19. 如請求項11所述之方法,其中耦接至該源極/汲極磊晶層的該些鰭片結構之一數目為五至二十。
  20. 一種半導體裝置,包括: 一隔離絕緣層,設置於一基板之上; 複數個鰭片結構,設置於該基板之上且延伸於平面圖中之一第一方向上; 一閘極結構,設置於該些鰭片結構的部分上,且在與該第一方向交叉之一第二方向上延伸; 一源極/汲極磊晶層;以及 一介電層,設置在該隔離絕緣層之一上部表面上; 其中,未被該閘極結構覆蓋之該些鰭片結構凹陷至該隔離絕緣層之該上部表面下方,該源極/汲極磊晶層形成在該等凹陷的鰭片結構上,且該源極/汲極磊晶層與該等凹陷的鰭片結構中的每一者之間的介面中之每一者在沿該第二方向之一橫截面中具有一圓角形狀。
TW110102897A 2020-04-01 2021-01-26 製造半導體裝置之方法及其裝置 TWI760082B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/837,211 US11387365B2 (en) 2020-04-01 2020-04-01 Method of manufacturing a semiconductor device for recessed fin structure having rounded corners
US16/837,211 2020-04-01

Publications (2)

Publication Number Publication Date
TW202139465A true TW202139465A (zh) 2021-10-16
TWI760082B TWI760082B (zh) 2022-04-01

Family

ID=76508848

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110102897A TWI760082B (zh) 2020-04-01 2021-01-26 製造半導體裝置之方法及其裝置

Country Status (3)

Country Link
US (3) US11387365B2 (zh)
CN (1) CN113053819A (zh)
TW (1) TWI760082B (zh)

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7718538B2 (en) * 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9368388B2 (en) * 2012-04-13 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for FinFETs
US9812556B2 (en) * 2012-12-28 2017-11-07 Renesas Electronics Corporation Semiconductor device and method of manufacturing the semiconductor device
US9159824B2 (en) 2013-02-27 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
US9093514B2 (en) 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9112033B2 (en) * 2013-12-30 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure of semiconductor device
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9564528B2 (en) 2015-01-15 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9418897B1 (en) 2015-06-15 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap around silicide for FinFETs
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9865504B2 (en) * 2016-03-04 2018-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US9812363B1 (en) 2016-11-29 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
US10522656B2 (en) * 2018-02-28 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd Forming epitaxial structures in fin field effect transistors

Also Published As

Publication number Publication date
TWI760082B (zh) 2022-04-01
US11855222B2 (en) 2023-12-26
US20220336667A1 (en) 2022-10-20
CN113053819A (zh) 2021-06-29
US11387365B2 (en) 2022-07-12
US20210313469A1 (en) 2021-10-07
US20240088297A1 (en) 2024-03-14

Similar Documents

Publication Publication Date Title
US11101143B2 (en) Semiconductor device and manufacturing method thereof
KR102259706B1 (ko) 반도체 디바이스 및 그 제조 방법
US10141307B2 (en) Semiconductor device and manufacturing method thereof
US10158007B2 (en) Semiconductor device and manufacturing method thereof
US10672870B2 (en) Semiconductor device and manufacturing method thereof
US10872892B2 (en) Semiconductor device and manufacturing method thereof
TWI785518B (zh) 製造半導體裝置的方法和半導體裝置
KR102459062B1 (ko) 반도체 디바이스 제조 방법 및 반도체 디바이스
CN113206042B (zh) 制造半导体器件的方法和半导体器件
TW202213642A (zh) 半導體裝置及其製造方法
TWI760082B (zh) 製造半導體裝置之方法及其裝置
KR20200050327A (ko) 반도체 소자 제조 방법 및 반도체 소자