TW202139360A - 半導體結構、半導體裝置及其形成方法 - Google Patents

半導體結構、半導體裝置及其形成方法 Download PDF

Info

Publication number
TW202139360A
TW202139360A TW109146156A TW109146156A TW202139360A TW 202139360 A TW202139360 A TW 202139360A TW 109146156 A TW109146156 A TW 109146156A TW 109146156 A TW109146156 A TW 109146156A TW 202139360 A TW202139360 A TW 202139360A
Authority
TW
Taiwan
Prior art keywords
layer
liner
forming
conductive material
cobalt
Prior art date
Application number
TW109146156A
Other languages
English (en)
Other versions
TWI780550B (zh
Inventor
謝惠雯
郭凱翔
翁政輝
陳駿笙
陳玟璇
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/066,706 external-priority patent/US11854878B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202139360A publication Critical patent/TW202139360A/zh
Application granted granted Critical
Publication of TWI780550B publication Critical patent/TWI780550B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76858After-treatment introducing at least one additional element into the layer by diffusing alloying elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Bipolar Transistors (AREA)

Abstract

半導體裝置的形成方法包括形成開口在介電層中以及形成阻障層在開口中。藉由先形成第一襯層於阻障層之上,並形成第二襯層於第一襯層之上,使得第一襯層及第二襯層混合,來形成組合襯層於阻障層之上。形成導電材料層於組合襯層之上,並執行熱處理以回銲導電材料層。

Description

半導體結構、半導體裝置及其形成方法
本揭露實施例是關於半導體裝置及其形成方法,特別是關於包含組合襯層的半導體裝置及其形成方法。
積體電路(IC)產業經歷了指數增長。IC材料與設計的技術進步已經製造IC世代,其中每一代都比上一代具有更小且更複雜的電路。在IC發展的過程中,通常已經增加功能密度(functional density)(例如,每個晶片面積的互連裝置的數量),同時已經減少幾何尺寸(geometry size)(例如,能夠使用製造製程創造的最小構件(或線))。這種按照比例縮小的製程通常藉由提高生產效率及降低相關成本來提供收益。
隨著裝置的按照比例縮小,製造商已經開始使用新的且不同的材料及/或材料的組合來促進裝置的按照比例縮小。單獨按照比例縮小,並與新的且不同的材料組合使用,也導致了前幾代人在更大的幾何尺寸上可能沒有遇到的挑戰。
一實施例是關於一種半導體裝置的形成方法,其包含:形成開口在介電層中。形成阻障層在開口中。形成組合襯層於阻障層之上。前述形成組合襯層的步驟包含:形成第一襯層於阻障層之上;以及形成第二襯層於第一襯層之上。第一襯層包含釕,第二襯層包含鈷。形成導電材料層於組合襯層之上。導電材料層包含銅。執行熱製程以回銲(reflow)導電材料層。
另一實施例是關於一種半導體裝置的形成方法,其包含:沉積介電層於基板之上。圖案化介電層以形成開口。開口包含側壁及底表面。沉積阻障層於介電層之上且於開口中。沉積釕層於阻障層之上。沉積鈷層於釕層之上。執行氫電漿處理,以獲得包含釕及鈷的混合雙層(intermixed binary layer)。沉積第一銅層於混合雙層之上。
又一實施例是關於一種半導體結構,其包含:介電層、阻障層、襯層以及導電填充材料。介電層包含溝槽。阻障層設置在溝槽中,且在介電層的側壁之上。襯層設置於溝槽中。襯層的側壁設置於阻障層的側壁之上。襯層的底部設置於阻障層的底部之上。襯層包含頂表面及底表面。在頂表面上的第一位置處的襯層的第一組分不同於在底表面上的第二位置處的襯層的第二組分。導電填充材料設置於溝槽中,且在襯層的側壁及底部之上。
以下的揭露內容提供許多不同的實施例或範例以實施本揭露的不同部件。以下敘述各個構件及其排列方式的特定範例,以簡化說明本揭露。當然,這些特定的範例僅為例子且並非用以限定。舉例而言,若是在本揭露中敘述了第一部件形成於第二部件之上(over)或上(on),即表示其可能包含上述第一部件與上述第二部件是直接接觸的實施例,亦可能包含了其中有其他部件形成於上述第一部件與上述第二部件之間,而使上述第一部件與第二部件可能未直接接觸的實施例。另外,本揭露在不同範例中可能重複使用元件符號及/或標記。這些重複是為了簡化與清晰的目的,並非用以限定所討論的不同實施例及/或構形之間有特定的關係。
此外,為了便於描述,在本文中可以使用在空間相對術語,諸如「在...下方(beneath)」、「在...下方(below)」、「下部(lower)」、「在...上方(above)」、「上部(upper)」及其類似術語來描如圖所示的一個元件或部件與另一個元件或部件的關係。除了在圖中描述的方位之外,空間相對術語還旨在涵蓋裝置在使用或操作中的不同方位。設備可以以其他方式定向(旋轉90度或以處於其他定向),且在本文中使用的空間相對描述語可以同樣地被相應地解釋。
根據一些實施例,提供了諸如在互連結構中的導線與金屬化層(metallization layer)之類的導電部件及其形成方法。具體而言,形成導電部件,前述導電部件具有阻障層、多個襯層(形成組合襯層(combined liner layer))以及填充導電部件的導電材料。組合襯層對阻擋層有很強的附著性,同時還提高導電材料(例如,在沉積期間之中及沉積期間之後)在組合襯層之上流過(flow over)的能力,以便填充開口的下部且避免開口的上部中斷(pinch-off)。另外,多個襯層中的至少一個可以包含與隨後形成在導電材料及組合襯層之上的蓋層中的材料相似的材料。前述在組合襯層中的材料的存在減少了在使用完整的半導體裝置期間中,相似材料從覆蓋層到組合襯裡層的電遷移。為了獲得這些及其他益處,還選擇了多個襯層,因為多個襯層具有相互混合(intermix)以形成一個連續層(例如,組合襯層)的能力,同時受益於多個襯層中的每一層的某些特性,諸如與鄰近(nearby)及相鄰(neighboring)層的相互作用。由於介於組合襯層及阻障層之間的附著性更強,提高在組合襯層上的導電材料的流動性(flowability)並降低材料從蓋層到組合襯層的電遷移(electromigration),所產生的導電部件可以更高的良率來形成,並表現出更高的可靠性。
第1圖至第18圖描繪根據一些實施例的形成積體電路的導電特徵與互連結構的中間階段的截面圖。第1圖至第13圖可以繪示出使用單鑲嵌(single damascene)製程形成互連結構的導線。第14圖至第18圖可以繪示出使用雙鑲嵌(dual damascene)製程形成互連結構的金屬化層(metallization layer)(包含金屬化線(metallization lines)與導電導孔)。
第1圖示出根據一些實施例的在包含基板50(例如,半導體基板)的晶圓100上的半導體結構的截面圖,其中各種電子裝置可以形成於基板50之上。在隨後的圖式中,多層(multilevel)互連系統可以形成在各種電子裝置及基板50之上。一般而言,如下文將更詳細地討論的是,第1圖示出形成在基板50上的鰭式場效電晶體(Fin field effect transistor,FinFET)裝置60,且在後續的圖式中,前述鰭式場效電晶體裝置60具有多個形成在其之上的互連層。然而,平面式(planar)電晶體、全繞式閘極(gate-all-around,GAA)電晶體及其他類型的裝置在本揭露的預期範圍內。
一般而言,第1圖所示的基板50可包含塊材(bulk)半導體基板或絕緣體上覆矽(silicon-on-insulator,SOI)基板。SOI基板包含在薄的半導體層之下的絕緣體層,前述薄半導體層是SOI基板的主動(active)層。主動層的半導體及塊材半導體通常包含結晶矽半導體材料(crystalline semiconductor material silicon),但是可以包含一或多種其他半導體材料,諸如鍺(germanium)、矽鍺合金(silicon-germanium alloys)、化合物半導體(compound semiconductors)(例如,GaAs、AlAs、InAs、GaN、AlN或其類似物)或其合金(例如,Gax Al1-x As、Gax Al1-x N、Inx Ga1-x As或其類似物)、氧化物半導體(oxide semiconductors)(例如,ZnO、SnO2 、TiO2 、Ga2 O3 或其類似物)或其組合。半導體材料可以摻雜或不摻雜。可以使用的其他基板包含多層基板、梯度(gradient)基板或混合定向(hybrid orientation)基板。
第1圖所示的FinFET裝置60是形成在稱為鰭片(fin)58的半導體凸部(semiconductor protrusions)的鰭狀片(fin-like strip)中的三維的金屬氧化物半導體場效電晶體(metal-on-semiconductor field effect transistor,MOSFET)結構。第1圖所示的截面圖是沿著在介於源極與汲極區域54之間的電流方向平行的方向上的鰭片的縱軸(longitudinal axis)擷取。可以藉由使用光微影(photolithography)及蝕刻技術對基板進行圖案化來形成鰭片58。舉例而言,可以使用間隙物圖像轉印(spacer image transfer,SIT)圖案化技術。在此方法中,形成犧牲層在基板之上,並使用合適的光微影及蝕刻製程進行圖案化以形成心軸(mandrels)。使用自對準製程在心軸旁邊形成間係物。然後藉由適當的選擇性蝕刻製程移除犧牲層。然後,每個剩餘的間隙物可以用作硬遮罩,以藉由使用舉例而言,反應性離子蝕刻(reactive ion etching,RIE)來蝕刻溝槽於基板50中,而圖案化各個鰭片58。第1圖示出單個鰭片58,但是基板50可包含任何數量的鰭片。
在第1圖中示出沿著鰭片58的相對側壁形成的淺溝槽隔離(shallow trench isolation,STI)區域62。可以藉由沉積一或多種介電材料(例如,氧化矽(silicon oxide)),以完全填充圍繞鰭片58的溝槽,然後使介電材料的頂表面凹蝕(recessing),來形成STI區域62。STI區域62的介電材料可以使用高密度電漿化學氣相沉積(high density plasma chemical vapor deposition,HDP-CVD)、低壓CVD(low-pressure CVD,LPCVD)、次大氣壓CVD(sub-atmospheric CVD,SACVD)、可流動式CVD(flowable CVD,FCVD)、旋轉塗佈(spin-on)及/或類似製程、或其組合。在沉積之後,可以執行退火製程或固化(curing)製程。在一些情況下,STI區域62可以包含襯層,諸如藉由氧化矽的表面而生長的熱氧化物襯層。凹蝕製程可以使用舉例而言,平坦化製程(例如,化學機械研磨(chemical mechanical polish,CMP)),隨後是選擇性蝕刻製程(例如,濕式蝕刻、乾式蝕刻或其組合),前述選擇性蝕刻製程可以凹蝕在STI區域62中的介電材料的頂表面,使得鰭片58的上部從周圍的絕緣STI區域62凸出。在某些情況下,還可以藉由平坦化製程來移除用於形成鰭片58的圖案化硬遮罩。
在一些實施例中,第1圖所示的FinFET裝置60的閘極結構68是可以使用閘極後製製程流程形成的高介電常數金屬閘極(high-k, metal gate,HKMG)閘極結構。在閘極後製製程流程中,在形成STI區域62之後,形成犧牲虛設(dummy)閘極結構(未示出)。虛設閘極結構可以包含虛設閘極介電質、虛設閘極電極及硬遮罩。首先,可沉積虛設閘極介電材料(例如,氧化矽、氮化矽(silicon nitride)、氮氧化矽(silicon oxynitride)、或其類似物)。接著,可沉積虛設閘極材料(例如,非晶矽(amorphous silicon)、多晶矽(polycrystalline silicon)、或其類似物)在虛設閘極介電質之上,然後平坦化(例如,藉由CMP)。可以在虛設閘極材料之上形成硬遮罩層(例如,氮化矽、碳化矽(silicon carbide)或其類似物)。然後,藉由圖案化硬遮罩,並使用適當的光微影及蝕刻技術,使圖案轉移至虛設閘極介電質及虛設閘極材料,來形成虛設閘極結構。虛設閘極結構可以沿著突出鰭片58的多個側面延伸,且在STI區域62的表面之上的鰭片58之間延伸。如下文更詳細地描述的是,虛設閘極結構可以由如第1圖所示的閘極結構68取代。在第1圖的右側示出的閘極結構68(可見於鰭片58的頂部上)是主動閘極結構的範例,前述主動閘極結構係延伸,舉例而言,沿著突出於STI區域62上方的鰭片58的部分的側壁以及其之上延伸。在第1圖的左側的閘極結構68是在STI區域62之上延伸的範例閘極結構,諸如介於相鄰的鰭片58之間。可以使用任何合適的方法,諸如CVD、電漿輔助CVD(plasma-enhanced CVD,PECVD)、原子層沉積(atomic layer deposition,ALD)、電漿輔助ALD(plasma-enhanced ALD,PEALD)或其類似方法,或藉由半導體表面的熱氧化、或其組合,來沉積用於形成虛設閘極結構及硬遮罩的材料。
舉例而言,形成第1圖所示的FinFET裝置60的源極與汲極區域54以及間隙物72,並與虛設閘極結構自對準(self-aligned)。在虛設閘極的圖案化製程完成之後,可以藉由執行間隙物介電層的沉積及非等向性(anisotropic)蝕刻來形成間隙物72。間隙物介電層可以包含一或多種介電質,諸如氧化矽、氮化矽、氮氧化矽、碳化矽、碳氮化矽(silicon carbonitride)、或其類似物、或其組合。非等向性蝕刻製程從虛設閘極結構的頂部之上移除隔離物介電層,從而使間隙物72沿著虛設閘極結構的側壁橫向(laterally)延伸到鰭片58的表面的一部分上(如第1圖的右側所示)、或到STI區域62的表面(如第1圖的左側所示)。
源極與汲極區域54是與鰭片58接觸的半導體區域。在一些實施例中,源極與汲極區域54可包含重摻雜區域及相對輕摻雜汲極(lightly-doped drain,LDD)延伸部。一般而言,使用間隙物72將重摻雜區與虛設閘極結構間隔開,而LDD區域可以在形成間隙物72之前形成,因此LDD區域在間隙物72下方延伸,且在一些實施例中,LDD區域進一步延伸至虛設閘極結構下方的半導體的一部分。LDD區域可以藉由舉例而言,使用離子注入製程注入摻質(例如,As、P、B、In或其類似物)來形成。
源極與汲極區域54可以包含磊晶生長的區域。舉例而言,在形成LDD區域之後,可以形成間隙物72,且隨後,可以將重摻雜的源極與汲極區域形成為與間隙物72自對準。具體而言,藉由先蝕刻鰭片以形成凹部,然後藉由選擇性磊晶生長(selective epitaxial growth,SEG)製程沉積結晶半導體材料於凹部中來形成重摻雜的源極與汲極區域,前述製程可以填充凹部並通常延伸到超過鰭片的原始表面之上,從而形成如第1圖所示的突起的(raised)源極-汲極結構。結晶半導體材料可以是元素(例如,Si或Ge或其類似物)、或合金(例如,Si1-x Cx 或Si1-x Gex 、Si1-x-y Gex Cy 或其類似物)。SEG製程可以使用任何合適的磊晶生長方法,例如,氣相/固相/液相磊晶(vapor/solid/liquid phase epitaxy,VPE、SPE、LPE)或金屬有機CVD(metal-organic CVD,MOCVD)或分子束磊晶(molecular beam epitaxy,MBE)或其類似物。可以在SEG期間原位(in situ)引入高劑量(例如,從大約1014 cm-2 到1016 cm-2 )的摻質到重摻雜的源極與汲極區域54中,也可以在SEG之後藉由離子注入製程引入,或藉由其組合來引入。源極與汲極區域54可以藉由諸如摻質的離子注入或其類似製程之其他製程來形成。
持續參照第1圖,沉積第一層間介電(interlayer dielectri,ILD)層76於結構上。在一些實施例中,在沉積ILD材料之前,可以沉積合適的介電質(例如,氮化矽、碳化矽、或其類似物、或其組合)的接觸蝕刻停止層(contact etch stop layer,CESL)(未示出)。可以執行平坦化製程(例如,CMP)以從虛設閘極之上移除過量的ILD材料及任何剩餘的硬遮罩材料,以形成頂表面,其中,暴露虛設閘極材料的頂表面且虛設閘極材料可以與第一ILD層76的頂表面實質上共平面。
然後,可以藉由以下方式形成第1圖所繪示的HKMG閘極結構68:先使用一或多種蝕刻技術移除虛設閘極結構,從而在各個間隙物72之間創造凹部。接著,可以沉積包含一或多種介電質的替代閘極介電層66,隨後沉積包含一或多種導電材料的替代導電閘極層64,以完全填充凹部。閘極介電層66包含舉例而言,高k介電材料,前述高k介電材料諸如金屬的氧化物及/或矽酸鹽(silicates)(例如,Hf、Al、Zr、La、Mg、Ba、Ti及其他金屬的氧化物及/或矽酸鹽)、氮化矽、氧化、或其類似物、或其組合或其之多層。在一些實施例中,導電閘極層64可以是多層金屬閘極堆疊物,前述多層金屬閘極堆疊物包含阻障層、功函數層及在閘極介電層66的頂部上連續形成的閘極填充層。用於阻障層的範例材料包含TiN、TaN、Ti、Ta、TiSiN、TaSiN、或其類似物、或其之多層組合。對於p型FET,功函數層可以包含TiN、TaN、Ru、Mo、Al,且對於n型FET,功函數層可以包含Ti、Ag、TaAl、TaAlC、TiAlN、TaC、TaCN、TaSiN、Mn、Zr。可以使用其他合適的功函數材料或其組合或其之多層。填充凹部的剩餘部分的閘極填充層可以包含諸如Cu、Al、W、Co、Ru或其類似物、或其組合、或其之多層的金屬。可以藉由任何合適的方法來沉積用於形成閘極結構的材料,例如,CVD、PECVD、物理氣相沉積(physical vapor deposition,PVD)、ALD、PEALD、電化學鍍膜(electrochemical plating,ECP)、無電鍍膜(electroless plating)及/或類似方法。可以使用舉例而言,CMP製程,從第一ILD層76的頂表面之上移除導電閘極層64及閘極介電層66的過量部分。如第1圖所示,所得的結構可以是實質上共平面的表面,且前述實質上共平面的表面包含第一ILD層76的精暴露頂表面、間隙物72及嵌入(inlaid)各個的間隙物72之間的HKMG閘極層的剩餘部分(亦即,導電閘極層64及閘極介電層66)。
如第1圖所示,第二ILD層78可沉積在第一ILD層76之上。在一些實施例中,用於形成第一ILD層76及第二ILD層78的絕緣材料可以包含氧化矽、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼矽酸鹽玻璃(borosilicate glass,BSG)、硼摻雜的磷矽酸鹽玻璃(boron-doped phosphosilicate glass,BPSG)、未摻雜的矽酸鹽玻璃(undoped silicate glass,USG)、低介電常數(low dielectric constant,low-k)介電質,諸如氟矽酸鹽玻璃(fluorosilicate glass,FSG)、碳氧化矽(silicon oxycarbide,SiOCH)、碳摻雜的氧化物(carbon-doped oxide,CDO)、可流動的氧化物(flowable oxide)、多孔氧化物(例如乾凝膠(xerogels)/氣凝膠(aerogels))、或其類似材料或其組合。可以使用任何合適的方法來沉積用於形成第一ILD層76及第二ILD層78的介電材料,諸如CVD、PVD、ALD、PEALD、PECVD、SACVD、FCVD、旋轉塗佈及/或其類似方法、或其組合。在一些實施例中,在所繪示的ILD層上方及/或下方的結構之上亦形成一或多個蝕刻停止層(未具體示出)。
如第1圖所示,可使用形成為穿過中介(intervening)介電層的導電連接器(例如,接觸插塞),使形成在基板50中的電子裝置的電極電連接至第一互連層(first interconnect level)的導電部件(隨後在之後的圖式中形成)。在第1圖所示的範例中,接觸插塞74與FinFET裝置60的源極與汲極區域54電連接。通常,在STI區域62之上形成與閘極電極的接觸插塞74。獨立的導電閘極層64(繪示於第1圖中的左側)說明此種接觸。接觸插塞74可以使用光微影技術形成。舉例而言,可以在第二ILD層78之上形成圖案化遮罩,並使用圖案化遮罩來蝕刻延伸穿過第二ILD層78以暴露出在STI區域62之上的導電閘極層64的一部分的開口,並蝕刻進一步延伸穿過第一ILD層76及第一ILD層76下方的CESL(未繪示)襯層以暴露源極與汲極區域54的一部分的開口。在一些實施例中,可以使用非等向性乾式蝕刻製程,其中蝕刻是分兩個連續步驟執行。在蝕刻製程的第一步驟中使用的蝕刻劑,相對於可以襯底(lining)在源極與汲極區域54的重摻雜區域的頂表面上的導電閘極層64及CESL中使用的材料的蝕刻速率,蝕刻劑對第一ILD層76及第二ILD層78的材料的蝕刻速率更高。一旦蝕刻製程的第一步驟暴露CESL,則可以執行蝕刻製程的第二步驟,其中可以切換蝕刻劑以選擇性地移除CESL。儘管繪示兩個ILD層(例如,第一ILD層76及第二ILD層78),但是僅具有單個ILD層或具有三個或更多個ILD層的實施例在本揭露的預期範圍內。
在一些實施例中,可以在第一ILD層76及第二ILD層78中的開口中形成導電襯層。隨後,用導電填充材料填充開口。襯層包含阻障金屬(barrier metal),前述阻障金屬用於減少導電材料從接觸插塞74向外擴散(out-diffusion)到周圍的介電材料中。在一些實施例中,襯層可包含兩個阻障金屬層。第一阻障金屬與在源極與汲極區域54中的半導體材料接觸,且隨後可以與源極與汲極區域54中的重摻雜產生化學反應,以形成低電阻歐姆接觸,之後可移除未反應的金屬。舉例而言,如果在源極與汲極區域54中的重摻雜半導體是矽(silicon)或矽鍺合金(silicon-germanium alloy)半導體,則第一阻障金屬可以包含Ti、Ni、Pt、Co、其他合適的金屬或其合金。導電襯層的第二阻障金屬層可以額外包含其他金屬(例如,TiN、TaN、Ta、或其他合適的金屬或其合金)。可以使用任何可接受的沉積技術(例如,CVD、ALD、PEALD、PECVD、PVD、ECP、無電電鍍、其類似技術、或其任意組合)使導電填充材料(例如,W、Al、Cu、Ru、Ni、Co、其合金、其類似材料或其任意組合)沉積於導電襯層之上,以填充接觸開口。接著,可以使用平坦化製程(例如,CMP)來從第二ILD層78的表面之上移除所有導電材料的過量部分。如第1圖所示,所得的導電插塞延伸到第一ILD層76及第二ILD層78中,並構成物理連接且電連接諸如三閘FinFET(tri-gate FinFET)之電子裝置的電極之接觸插塞74。在此範例中,使用相同製程步驟同時(simultaneously)形成到STI區域62上的電極與到鰭片58之上的電極的接觸。然而,在其他實施例中,這兩種類型的接觸可以單獨形成。
在第2圖中,在FinFET裝置60及其他電子裝置、第二ILD層78及接觸插塞74之上形成蝕刻停止層108。蝕刻停止層108可以由碳化矽、氮化矽、氧氮化矽、碳氮化矽或其類似物來形成。蝕刻停止層108可以藉由化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)、旋轉塗佈介電質(spin-on-dielectric)製程、或其類似製程或其組合來形成。蝕刻停止層108可形成為大約的20 Å至大約200 Å的厚度,諸如大約100 Å的厚度。
持續參照第2圖,在蝕刻停止層108之上形成金屬間介電(inter-metal dielectric,IMD)層110。IMD層110可以由k值低於大約3.0的低k介電材料形成的層。IMD層110可以由具有小於2.5的k值的超低k(extra-low-k,ELK)介電材料形成的層。在一些實施例中,IMD層110可以由含氧(oxygen-containing)及/或含碳(carbon containing)的低k介電材料、氫矽酸鹽(Hydrogen SilsesQuioxane,HSQ)、甲基矽酸鹽(MethylSilsesQuioxane,MSQ)或其類似物來形成。蝕刻停止層108的材料對IMD層110具有高蝕刻選擇比,因此蝕刻停止層108可用於在隨後的製程步驟中停止對IMD層110的蝕刻。
在一些實施例中,IMD層110由諸如SiOCN、SiCN、SiOC、SiOCH或其類似物之多孔材料形成,且可以藉由在蝕刻停止層108之上初始(initially)形成前驅物層來形成。前驅物層可包含基質材料(matrix material)及分散在基質材料中的成孔劑(porogen)之兩者,或者可替代性地包含基質材料但不包含成孔劑。在一些實施例中,可以舉例而言,藉由使用諸如電漿輔助化學氣相沉積(PECVD)的製程將基質及成孔劑共同沉積來形成前驅物層,其中基質材料與成孔劑同時沉積,從而形成具有共同經混合的基質材料及成孔劑的前驅物層。然而,如所屬技術領域中具有通常知識者理解的是,使用使用連續PECVD製程的共沉積製程不是可以用於形成前驅物層的唯一製程。也可以使用任何合適的方法,諸如使基質材料及成孔劑材料預混合為液體,然後旋轉塗佈混合物至蝕刻停止層108上。
雖然可以可替代地使用諸如CVD、PVD、或甚至旋轉塗佈的任何合適的製程,可以使用諸如PECVD的製程來形成基質材料或基底介電材料(base dielectric material)。雖然可以可替代地使用其它前驅物,諸如其它的矽烷(silanes)、烷基矽烷(alkylsilanes)(例如,三甲基矽烷(trimethylsilane)及四甲基矽烷(tetramethylsilane))、烷氧基矽烷(alkoxysilanes)(例如,甲基三乙氧基矽烷(methyltriethoxysilane,MTEOS)、甲基三甲氧基矽烷(methyltrimethoxysilane,MTMOS)、甲基二甲氧基矽烷(methyldimethoxysilane,MDMOS)、三甲基甲氧基矽烷(trimethylmethoxysilane,TMMOS)及二甲基二甲氧基矽烷(dimethyldimethoxysilane,DMDMOS)、線性矽氧烷(linear siloxanes)及環狀矽氧烷(cyclic siloxanes)(例如,八甲基環四矽氧烷(octamethylcyclotetrasiloxane,OMCTS)及四甲基環四矽氧烷(tetramethylcyclotetrasiloxane,TMCTS))、其組合、或其類似物,PECVD製程可以利用諸如甲基二乙氧基矽烷(methyldiethoxysilane,DEMS)之前驅物。然而,如所屬技術領域中具有通常知識者理解的是,本文所列的材料及方法僅為說明性,且不意味著限制實施例,因為可以可替代地使用任何其他合適的基質前驅物。
成孔劑可以是在已經設置(has set)基質材料之後,能夠從基質材料移除的分子,以便在基質中形成孔隙,從而減小IMD層110的介電常數的總值。成孔劑可以是尺寸大到足夠形成孔隙,同時維持尺寸小到足夠使各個孔隙的尺寸不會過度取代基質材料的材料。這樣,成孔劑可包含有機分子,諸如α-萜品烯(1-異丙基-4-甲基-1,3-環己二烯)(1-Isopropyl-4-Methyl-1,3-Cyclohexadiene,ATRP)、或環辛烷(cyclooctane)(舟形(boat shape))或1,2-雙(三乙氧基甲矽烷基)乙烷矽(1,2- bis(triethoxysilyl) ethane silicon)。
在已形成具有分散在基質材料內的成孔劑的前驅物層之後,從基質材料中移除成孔劑,以在基質材料中形成孔隙。在一實施例中,藉由退火製程來移除成孔劑,前述退火製程可以分解並蒸發成孔劑材料,使得成孔劑材料擴散並離開基質材料,從而留下結構上完整的多孔介電材料作為IMD層110。舉例而言,可以利用諸如大約400℃之從大約200℃至大約500℃的範圍,以及諸如大約200秒之從大約10秒至大約600秒的範圍執行退火。可替代地,可以使用其它合適的製程移除成孔劑,諸如以紫外(UV)輻射照射成孔劑來分解成孔劑、或者利用微波來分解成孔劑。
在第3圖中,在IMD層110之上形成膜堆疊物(film stack)。根據一些實施例,膜堆疊物用於形成電連接到接觸插塞74及基板50的導線。膜堆疊物包含緩衝層124及遮罩層126。在一些實施例中,膜堆疊物包含可以以交替的方式形成的一個以上的緩衝層及遮罩層。
形成緩衝層124在IMD層110之上,且形成遮罩層126在緩衝層124之上。緩衝層124可以由諸如氧化矽的介電質來形成,且可以藉由CVD、PVD、ALD、旋轉塗佈介電質製程、或其類似製程來形成。遮罩層126可以由包含諸如氮化鈦(titanium nitride)、鈦(titanium)、氮化鉭(tantalum nitride)、鉭(tantalum)、或其類似物的金屬之材料來形成,且可以由PVD、射頻PVD(Radio Frequency PVD,RFPVD)、ALD、或其類似製程來形成。在隨後的製程步驟中,在遮罩層126上形成圖案。然後,將遮罩層126用作蝕刻遮罩,其中,遮罩層126的圖案用於蝕刻IMD層110。緩衝層124提供介於IMD層110及遮罩層126之間的應力減少。
在第4圖中,形成開口130於遮罩層126中。開口130的形成可以包含形執行光微影製程來蝕刻遮罩層126,以形成初始的開口。前述光微影製程可以包含:形成光阻劑(未具體示出)在遮罩層126之上;利用與開口130對應的開口對光阻劑進行圖案化,前述開口將開口130延伸穿過遮罩層126,然後移除光阻劑。
在第5圖中,開口130延伸穿過緩衝層124及IMD層110。可以藉由使用可接受的蝕刻技術來延伸開口130。在一實施例中,開口130藉由非等向性乾式蝕刻製程形成。舉例而言,蝕刻製程可以包含使用反應氣體的乾式蝕刻製程,前述反應氣體選擇性地蝕刻IMD層110而不顯著蝕刻遮罩層126。執行蝕刻製程直到開口130暴露出蝕刻停止層108。舉例而言,蝕刻製程可以包含使用電漿從蝕刻劑氣體形成反應性物質。在一些實施例中,電漿可以是遠程電漿。蝕刻劑氣體可以包含諸如C4 F6 /CF4 /C5 F及NF3 /O2 /N2 /Ar/H3 /H2 的碳氟化學品(fluorocarbon chemistry)、其類似物或其組合。在一些實施例中,蝕刻劑使用以O2 或Ar作為載氣之碳氟化學品。
在第6圖中,移除開口130中的蝕刻停止層108的一部分,以暴露下層的(underlying)目標接觸插塞74。可以使用對蝕刻停止層108的材料具有選擇性的非等向性濕式蝕刻或乾式蝕刻來移除蝕刻停止層108。舉例而言,可以使用非等向性濕式蝕刻移除蝕刻停止層108,前述非等向性濕式蝕刻使用諸如H2 O2 的蝕刻反應物。用於移除蝕刻停止層108的蝕刻製程可以與用於形成開口130的蝕刻製程不同(例如,可以使用不同的蝕刻劑及/或其他蝕刻製程參數)。
在第7圖中,阻障層140形成在晶圓100的經暴露表面上及開口130中。根據一些實施例,阻障層140的形成可以包含PVD、ALD或其組合。在一些範例沉積製程中,引入Ar至放置有晶圓100的各個沉積腔(未繪示)中,以濺射(supper)來自用於PVD中的相應靶材(target)(未繪示)的金屬離子(諸如,鈦(Ti+ )或鉭(Ta+ ))或沒有電荷的原子(諸如鈦(Ti)或鉭(Ta))。可以添加氮氣到製程氣體中。經濺射的金屬離子沉積到晶圓100上,形成導電的阻障層140。在阻障層140的沉積中,可以施加DC電源及/或射頻(RF)電源。在沉積之後,阻障層140包含直接在IMD層110之上的部分、在開口130的側壁(例如,遮罩層126、緩衝層124、IMD層110及蝕刻停止層108的側壁)上的部分以及位於開口130底部處的部分。
在第8圖中,第一襯層142形成在晶圓100的經暴露的表面上及開口130中。第一襯層142可以由釕、鉬、銠(rhodium)、或其類似物形成,且可以是藉由諸如CVD、PVD、ALD、或其類似製程或其組合之沉積製程來形成。根據一些實施例,第一襯層142可以藉由使前驅物分子流動而藉由CVD製程形成,前述前驅物分子含有連接至一或多個烷基(alkyl groups)(例如,己二烯(hexadiene)、吡啶(pyridine)、丁二烯(butadiene)及環庚二烯(cycloheptadiene));一或多個羰基(carbonyl groups);一或多個其他官能基或其組合的釕。舉例而言,可以藉由使用三羰基-己二烯釕(tricarbonyl-hexadiene ruthenium)作為前驅物分子的CVD形成第一襯層142。第一襯層142可以形成為大約5或6 Å至大約20 Å的厚度,諸如大約12 Å的厚度。取決於形成方法,大約5或6Å的最小沉積可以允許第一襯層142覆蓋(coverage)至少一個完整的單層(full monolayer)。
在第9圖中,第二襯層144形成在晶圓100的經暴露表面上的第一襯層142之上。第二襯層144可以由鈷、鉭、鎂(magnesium)或其類似物形成,且可以藉由諸如CVD、PVD、ALD、或其類似製程之沉積製程形成。根據一些實施例,第二襯層144可以藉由使分子流動而藉由CVD製程形成,前述分子含有連接至一或多個烷基;一或多個羰基;一或多個其他官能基或其組合的鈷。形成第二襯層144的鈷在第一襯層的釕之上的益處是,鈷對於釕的附著性比鈷對於阻障層140(例如,TaN)的附著性更好。第二襯層144可以形成為大約10 Å至大約30 Å的厚度,或大約10 Å至大約25 Å的厚度,諸如大約18 Å的厚度。如下面更詳細地討論的,儘管通常大約5或6Å的最小沉積可以允許覆蓋至少一個完整的單層,但是第二襯層144的厚度大於或大約10 Å可以幫助控制第一襯層142及第二襯層144的混合,使得所得的組合襯層的頂表面具有足夠比例的第二襯層144的材料(例如,鈷),以減少在使用完整裝置的使用期間,從上層的(overlying)層(例如,下面討論的鈷蓋層)的電遷移。另外,如下文更詳細地討論,第二襯層144的厚度小於或大約30 Å可以改善介於第一襯層142及第二襯層144之間的混合程度,從而得到足夠量的沿著組合襯層的頂表面的第一襯層142的材料(例如,釕),以獲得與上層的層(例如,導電材料)的非濕潤性(dewettability)有關的益處。在一些實施例中,可以選擇第一襯層142及第二襯層144的厚度,使得厚度的總和為大約20 Å至大約40 Å,同時保持在第一襯層142及第二襯層144的上述較佳範圍內。
在形成第二襯層144(例如,鈷)之前,直接在阻障層140(例如,TaN)上形成第一襯層142(例如,釕)的益處是,相較於第二襯層144對於阻障層140,第一襯層142對於阻障層140具有更強的附著性。這樣,第一襯層142及第二襯層144共同展現出更堅固的覆蓋性。實際上,雖然直接沉積在阻擋層140上方的例如鈷的第二襯層144可以展現約70%或更高的覆蓋率(coverage),但是直接沉積在阻擋層140上方的例如釕的第一襯層142(如上所述)可展現約90%或更大的覆蓋率。
在第10圖中,可以藉由對晶圓100執行氫浸泡處理(hydrogen soak treatment)及/或氫電漿處理(hydrogen plasma treatment)來形成組合襯層148。在氫浸泡處理期間,氫氣擴散到組合襯層148中以修復變形(deformities)並重新定向(reorient)分子,這有利於介於第一襯層142及第二襯層144之間的混合。氫浸泡處理可以在諸如大約200℃之介於大約100℃至大約400℃之間的高溫下,並在諸如大約35托(torr)之介於大約10托至大約50托的高壓下執行。氫電漿處理還可以促進介於第一襯層142及第二襯層144之間的混合。另外,氫離子轟擊第一襯層142及第二襯層144,以從此些層中移除碳及氧雜質,同時增加金屬擴散比(diffusivity)。根據一些實施例,氫電漿處理包含用氫電漿轟擊晶圓100大約10秒至大約60秒或大約30秒的時間。氫電漿處理可在介於大約100℃與大約400℃之間,在諸如3-5托之介於大約1托至大約15托之間執行。氫電漿的流速可介於大約1000 sccm(每分鐘標準立方公分)至大約12000 sccm之間,且持續介於大約5秒至大約5分鐘之間,或大約24秒。
氫浸泡處理及氫電漿處理可達到幾個目的。舉例而言,由於一或兩種處理的結果,促進第一襯層142及第二襯層144的混合,從而使組合襯層148的經暴露頂表面包含大約5%至大約20%的釕。這些比例也可以在最終結構中找到。另外,相較於在開口130的下部附近的組合襯層的下部中,在開口130的上部附近的組合襯層的上部中的混合程度可以不同。組合襯層148可包含貫穿厚度的釕及鈷濃度的梯度,通常使得從底表面(亦即,鄰近阻擋層140)到頂表面的鈷濃度降低,而通常使得釕濃度。當第一襯層142及第二襯裡層144共同包含大約或少於總共9個單層時,混合更為有效。
在第11圖中,填充導電材料150到開口130中。過量的導電材料150也可以沿著組合襯層148的頂表面形成。導電材料150可以是包含金屬或金屬合金的金屬材料,諸如銅(copper)、銀(silver)、金(gold)、鎢(tungsten)、鈷、鋁或其合金。在一些實施例中,導電材料150的形成包含沉積薄的種晶層(未示出),前述種晶層可以包含銅或銅合金的,且使用諸如CVD、PVD、ALD、諸如高壓ECP之ECP或無電鍍來填充開口130的剩餘部分。
根據一些實施例,可以使用諸如PVD的沉積方法來形成導電材料150於開口130中。舉例而言,可以在室溫下進行銅的第一次沉積,然後可以進行在大約150℃至大約300℃持續大約1分鐘至大約5分鐘的回銲(reflow)製程。在第一次循環之後,可以進行一或多次銅沉積製程及回銲製程。總共可能會有一到五個或更多個交替的沉積及回銲製程循環。
在先前步驟中進行氫電漿處理的益處在於,所得的組合襯層148的頂表面具有大約5%至大約20%的釕,從而提高了以導電材料150填充開口130的效率及良率。具體而言,藉由增加對於組合襯層148的銅(Cu)的非濕潤性,在組合襯層148的表面上存在的釕提供導電材料150的經改善的沉積及回銲。換句話說,一旦接觸,導電材料150(例如,銅)不太可能立即且牢固地附著至組合襯層148。這樣,導電材料150不太可能累積在開口130的上部,否則可能導致此些上部的寬度縮減(pinching across)。取而代之的是,在填充開口130的上部之前,更多的導電材料150會到達並填充開口130的下部。此外,在一定程度上,導電材料150會在開口130的上部處發生堆積及/或中斷,但藉由回銲製程,而因為改善了在組合襯層148中的釕之上的導電材料150的遷移率,亦即,因為改善介於層之間的非濕潤性,所以會減少或減輕此些狀況的發生。在任一種情況下,開口130可以導電材料150更有效地填充,從而導致更少的空隙或沒有空隙。事實上,在組合襯層148的頂表面上中的釕的的濃度低於大約5%,可能導致來自組合襯層148的銅的非濕潤性大幅度降低,而減少或實質上消除上述與非濕潤性相關的益處。此外,如在下面詳細討論的,在組合襯層148的頂表面中的釕的濃度大於大約20%的釕,可與可導致電遷移問題之組合襯層148中的低的鈷總濃度相關。
在第12圖中,可以執行平坦化製程,以移除過量的導電材料150、組合襯層148及阻障層140,從而在開口130中形成導線160。此外,平坦化製程可以移除遮罩層126及緩衝層124的剩餘部分,從而暴露IMD層110。平坦化製程可以是研磨或CMP,且可以執行以使得導電材料150、組合襯層148、阻障層140及IMD層110的頂表面水平或實質上水平。導線160包含導電材料150的剩餘部分、以及沿著導電材料150的側壁及底部延伸的組合襯層148及阻障層140的剩餘部分。因此,導線160是物理連接且電連接至接觸插塞74。
在第13圖中,可以使用CVD、PECVD、PVD、ALD、PEALD、ECP、無電鍍及/或其類似製程,在IMD層110、阻障層140、組合襯層148及導電材料150之上沉積蓋層170。蓋層170可以包含鈷、釕、或其類似物或其任意組合。蓋層170可以認為是導線160的一部分。根據一些實施例,蓋層170使用CVD沉積。沉積可以使用包含分子的鈷前驅物,前述分子包含附接到碳(carbon)、氧(oxygen)、氫(hydrogen)或其組合的鈷。在沉積用於蓋層170的材料之後,可以藉由諸如使用微影之任何合適的方法,移除過量部分。這樣,可以在蓋層170的材料之上形成光阻劑(未具體示出),並對光阻劑進行圖案化,以暴露不直接在導線160之上的蓋層170的材料的部分(例如,組合襯層148及導電材料150)。然後,可以藉由蝕刻或任何合適的方法移除經暴露部分。在一些實施例中,蓋層170選擇性地沉積在導電材料150之上。此外,可以沉積蓋層170的一部分在組合襯層148之上,且可能沉積在阻障層140之上。蓋層170可以使用關於阻障層140、第一襯層142、第二襯層144或導電材料150所指出的任何技術來沉積。根據一些實施例,蓋層170的厚度可以在介於大約15 Å至大約50 Å之間。
根據一些實施例且未具體示出,在沉積蓋層170之前,可以以介於大約0 Å至大約50 Å蝕刻且凹蝕導線160的上部(例如,導電材料150及組合襯層148)。接著,可以藉由上面列出的方法之一,使蓋層的材料選擇性地沉積在結構上並沉積到凹部中。
在使用完整的半導體裝置期間中,在存在蓋層170的情況下,藉由減少蓋層170的材料及導電材料150的材料至組合襯層148中的電遷移,可以實現第二襯層144的益處。舉例而言,在蓋層170中使用的鈷,可以幫助減少由於鈷與銅具有強的附著性而導致銅從導電材料150擴散到組合襯層148中。另外,由於鈷具有的較小的擴散梯度以及鈷與銅之間的強附著性,在組合襯層148中使用鈷可以幫助減少鈷從蓋層170擴散到組合襯層148中。實際上,雖然不是每個晶片或晶圓都需要進行測試,但是作為生產可靠的半導體裝置的製程的一部分,需要測試晶片或晶圓的子集。這樣,可以包含電遷移測試(electromigration testing)以表明裝置滿足某些電遷移規格,諸如滿足蓋層170的材料至組合襯層148的電遷移足夠低。也就是說,在長期使用半導體裝置期間中,僅在兩層中存在的前述材料(且有效地,減少介於兩層之間的材料的濃度梯度)減少了前述材料從覆層170至組合襯層148的擴散。
在第14圖中,形成蝕刻停止層208於IMD層110及導線160之上。蝕刻停止層208可以由與蝕刻停止層108相關的相似的材料並藉由上述相似的製程形成。蝕刻停止層208可形成為介於大約20 Å至大約200 Å的厚度,諸如大約100 Å的厚度。
持續參照第14圖,形成IMD層210於蝕刻停止層208之上。IMD層210可以由與IMD層110相關的相似的材料並藉由上述相似的製程形成。蝕刻停止層208的材料對IMD層210具有高蝕刻選擇比,因此在隨後的製程步驟中,蝕刻停止層層208可用於停止對IMD層210的蝕刻。
在第15圖中,形成膜堆疊物於IMD層210之上。根據一些實施例,膜堆疊物用於形成電連接到導線160的金屬化線及導孔。膜堆疊物包含緩衝層224及遮罩層226。在一些實施例中,膜堆疊物包含可以以交替的方式形成之多於一個的緩衝層及遮罩層。緩衝層224及遮罩層226可以由與緩衝層124及遮罩層126相關的相似的材料並藉由類似的製程形成。
持續參照第15圖,然後形成開口230在遮罩層226中,且開口230是延伸穿過緩衝層224、IMD層210及蝕刻停止層208。開口230可以是藉由上述與開口130相關的可接受的蝕刻技術及蝕刻劑來形成及擴展。開口230暴露出下層的導線160(具體而言,如果使用蓋層170,暴露出蓋層170)。可以使用對蝕刻停止層108的材料具有選擇性的非等向性濕式蝕刻或乾式蝕刻,來移除蝕刻停止層108。舉例而言,可以使用非等向性濕式蝕刻來移除蝕刻停止層108,前述非等向性濕法蝕刻使用諸如H2 O2 之蝕刻反應物。用於移除蝕刻停止層108的蝕刻製程可以與用於形成開口130的蝕刻製程不同(例如,可以使用不同的蝕刻劑及/或其他蝕刻製程參數)。
如圖所示,可以使用雙鑲嵌製程對開口230進行圖案化。這樣,開口230的上部將容納金屬化線(參照下面的第18圖),且開口230的下部將容納導電導孔(參照下面的第18圖),以電連接金屬化線與下方導線160。
在第16圖中,形成阻障層240、第三襯層242及第四襯層244在開口230中。阻障層240可以藉由上述與阻障層140相關的相似的材料並藉由相似的製程形成。第三襯層242可以藉由上述與第一襯層142相關的相似的材料並藉由相似的製程形成。第四襯層244可以藉由上述與第二襯層144相關的相似的材料並藉由相似的製程形成。
在第17圖中,可以藉由在晶圓100上執行氫電漿處理來形成組合襯層248。氫電漿處理可以促進介於第三襯層242及第四襯層244之間的混合。在一些實施例中,氫電漿處理包含用氫電漿轟擊晶圓100持續大約10秒至大約60秒,或者持續大約30秒的時間。作為氫電漿處理的結果,組合襯層248的經暴露表面可以包含大約5%至大約20%的釕。組合襯層148可以具有為大約15 Å至大約50 Å的厚度,或者大約20 Å至大約40 Å的厚度,諸如大約30 Å的厚度。
在第18圖中,填充導電材料250至開口230中並沿著組合襯層248的頂表面填充,且執行平坦化製程以移除過量的導電材料250、組合襯層248及阻障層240,以形成金屬化線260。導電材料250可以藉由上述與導電材料150相關的相似的材料且藉由相似的製程形成。
根據一些實施例,可以使用諸如PVD的沉積方法,來在開口230中形成導電材料250。舉例而言,可以在室溫下執行銅的第一次沉積,然後可以進行在大約150℃至大約300℃持續大約1分鐘至大約10分鐘的回銲(reflow)製程。在第一次循環之後,可以進行一或多次銅沉積製程及回銲製程。總共可能會有一到五個交替的沉積及回銲製程循環。如上所述,在先前步驟中進行氫電漿處理的益處在於,組合襯層248的頂表面具有大約5%至大約20%的釕,從而藉由增加來自組合襯層248的非濕潤性,改善導電材料250的沉積與回銲。這樣,導電材料250不太可能累積在開口230的上部,或在開口230的導電導孔部分的上部處,否則可能導致此些上部的寬度縮減(pinching across)。此外,在一定程度上,即使發生堆積及/或中斷,但藉由回銲製程,而因為改善介於層之間的非濕潤性,所以會減少或減輕此些狀況的發生。
持續參照第18圖,可執行平坦化製程,以移除過量的導電材料250、組合襯層248及阻障層240,從而在開口230中形成金屬化線260及導電導孔262。另外,平坦化製程可以移除遮罩層226及緩衝層224的剩餘部分,從而暴露出IMD層210。平坦化製程可以是研磨或CMP,且可以執行以使得導電材料250、組合襯層248、阻障層240及IMD層210的頂表面是水平或實質上水平。金屬化線260及導電導孔262包含導電材料250的剩餘部分、以及沿著導電材料250的側壁及底部延伸的組合襯層248及阻障層240的剩餘部分。金屬化線260藉由導電導孔262電連接至導線160。
此外,可以形成蓋層270在金屬化線260的導電材料250及組合襯層248之上。蓋層270可以藉由上述與蓋層170相關的相似的材料(例如,鈷)並藉由相似的製程形成。蓋層270可以認為是金屬化線260的一部分。蓋層270可以具有在大約15 Å至大約50 Å之間的厚度。另外,作為對晶片或晶圓的子集的測試的一部分,如上所述,可以包含電遷移測試以表明裝置滿足電遷移規格,諸如滿足蓋層270的材料至組合襯層248的電遷移足夠低。
在完成金屬化線260及導電導孔262之後,可以使用與上述導線160或金屬化線260相似的材料及類似製程,在金屬化線260之上形成的金屬化線。
在以上實施例中,描述相同或相似的材料及製程以用於形成導線160(包含組合襯層148)及具有導電導孔262(包含組合襯層248)的金屬化線260。另外,相較於形成導線160的對應步驟,可以使用不同的材料及/或製程來執行形成具有導電導孔262的金屬化線260的一或多個步驟。
如上所述,襯層及其他相關製程步驟的特定選擇有助於以更高的良率及更高的性能可靠性來形成導電部件。導電部件可以按照形成的順序包含阻障層、第一襯層、第二襯層、組合襯層(取代第一襯層及第二襯層)、導電材料及蓋層。第一個益處是,選擇在第一襯層中的第一材料,以提供更強的附著性且使第一襯層對下層的阻障層更徹底覆蓋。第二個益處是,使第一襯層的第一材料及上層的第二襯層的第二材料混合,使得所得的組合襯層的頂表面表現出與第一襯層的第一材料相比有益的特定性能。舉例而言,沿著組合襯層的表面的第一材料允許導電材料在填充那些開口的上部之前填充這些開口的下部。結果,減少或防止在開口的上部中的導電材料的中斷。此外,就中斷發生的程度而言,在第一材料之上的導電材料的非濕潤性允許藉由回銲導電材料來減輕這些中斷。第三個益處是,第二襯層的第二材料包含蓋層的第三材料,以便在使用完整的半導體裝置期間減少第三材料從蓋層到組合襯層的電遷移。儘管上面已經討論了釕襯層及鈷襯層的組合,但是材料的其他組合,諸如以上關於對應襯層討論的其他材料的組合,可以提供類似的益處,且在本揭露的預期範圍內。
在一實施例中,半導體裝置的形成方法包含:形成開口在介電層中,以及形成阻障層在開口中。藉由先形成包含釕的第一襯層於阻障層之上,然後形成包含鈷的第二襯層於第一襯層之上,來形成組合襯層於阻障層之上。形成包含銅的導電材料層於組合襯層之上。執行熱製程以回銲導電材料層。
在一實施例中,形成組合襯層於阻障層之上的步驟進一步包含執行氫電漿處理。在一實施例中,在氫電漿處理期間,在第一襯層中的釕與在第二襯層中的鈷混合,使得組合襯層具有包含5-20%釕的暴露表面。在一實施例中,前述方法進一步包含形成蓋層於導電材料層之上,且蓋層包含鈷。在一些實施例中,形成蓋層的步驟包含:蝕刻導電材料層及組合襯層的一部分,以形成溝槽;沉積鈷在溝槽中且在導電材料層、組合襯層以及阻障層之上;以及平坦化鈷,使得蓋層的頂表面水平於阻障層的頂表面。在一些實施例中,阻障層物理上地且電性上地耦接至接觸插塞。在一些實施例中,前述方法進一步包含形成金屬化部件於導電材料層之上且電性耦接至導電材料層。在一些實施例中,前述方法進一步包含:在形成導電材料層之後,平坦化導電材料層之步驟,且導電材料層的頂表面水平於組合襯層的頂表面及介電層的頂表面。
在另一實施例中,半導體裝置的形成方法包含:沉積介電層於基板之上,以及圖案化介電層以形成具有側壁及底表面的開口。沉積阻障層於介電層之上且於開口中。沉積釕層於阻障層之上,且沉積鈷層於釕層之上。然後執行氫電漿處理,以形成包含釕及鈷的混合雙層。沉積第一銅層於混合雙層之上。
在一些實施例中,釕層具有大於或等於大約5 Å的第一厚度。在一些實施例中,鈷層具有大於或等於大約10 Å的第二厚度,且第一厚度及第二厚度的總和介於大約20 Å至大約40 Å之間。在一些實施例中,在氫電漿處理之後,相對於基板的混合雙層的表面包含介於大約5%至大約20%的釕。在一些實施例中,前述方法進一步包含執行第一熱製程以回銲第一銅層。在一些實施例中,前述方法進一步包含:沉積第二銅層於第一銅層之上;以及執行第二熱製程以回銲第一銅層及第二銅層。在一些實施例中,前述方法進一步包含形成蓋層於第一銅層之上,且蓋層包括鈷。在一些實施例中,前述形成方法進一步包含執行電遷移測試(electromigration test),以量測從蓋層至混合雙層的鈷原子的電遷移。
在又一實施例中,半導體結構包含:介電層、阻障層、襯層以及導電填充材料。介電層包含溝槽。阻障層設置在溝槽中,且在介電層的側壁之上。襯層設置於溝槽中,襯層的側壁設置於阻障層的側壁之上,且襯層的底部設置於阻障層的底部之上。襯層包含頂表面及底表面。在頂表面上的第一位置處的襯層的第一組分不同於在底表面上的第二位置處的襯層的第二組分。導電填充材料設置於溝槽中,且在襯層的側壁及底部之上。
在一些實施例中,第一位置及第二位置中的每個是沿著(along)襯層的底部。在一些實施例中,前述結構進一步包含第三位置及第四位置,第三位置在襯層的側壁的上部中的襯層的頂表面上,第四位置在襯層的側壁的上部中的襯層的底表面上,且在第三位置處的襯層的第三組分不同於在第四位置處的襯層的第四組分。在一些實施例中,襯層包含從頂表面至底表面的第一組分梯度,且其中襯層包含從側壁的上部至側壁的下部的第二組分梯度。
前述內容概述數個實施例的部件,使得所屬技術領域中具有通常知識者可以更好地理解本揭露的態樣。所屬技術領域中具有通常知識者應當理解的是,他們可以容易地將本揭露用作設計或修改其他製程及結構的基礎,以實現與本文介紹的實施例相同的目的及/或達到相同的優點。所屬技術領域中具有通常知識者亦應認識到的是,這樣的等效構造未脫離本揭露的精神及範疇,且在不脫離本揭露的精神及範疇的情況下,它們可以在這裡進行各種改變、替換及變更。
50:基板 54:源極與汲極區域 58:鰭片 60:鰭式場效電晶體裝置 62:淺溝槽隔離區域 64:導電閘極層 66:閘極介電層 68:閘極結構 72:間隙物 74:接觸插塞 76:第一層間介電層 78:第二層間介電層 100:晶圓 108,208:蝕刻停止層 110,210:金屬間介電層 124,224:緩衝層 126,226:遮罩層 130,230:開口 140,240:阻障層 142:第一襯層 144:第二襯層 148,248:組合襯層 150,250:導電材料 160:導線 170,270:蓋層 242:第三襯層 244:第四襯層 260:金屬化線 262:接觸導孔
當配合所附圖式閱讀時,從以下的詳細說明能最好地理解本揭露的態樣。要注意的是,根據本產業的標準作業,各種部件未按比例繪製。事實上,可能任意的放大或縮小各種部件的尺寸,以做清楚的說明。 第1、2、3、4、5、6、7、8、9、10、11、12、13、14、15、16、17及18圖係描繪在形成根據本揭露的一些實施例的積體電路的互連結構的中間階段的截面圖。
50:基板
54:源極與汲極區域
58:鰭片
60:鰭式場效電晶體裝置
62:淺溝槽隔離區域
64:導電閘極層
66:閘極介電層
68:閘極結構
72:間隙物
74:接觸插塞
76:第一層間介電層
78:第二層間介電層
100:晶圓
108:蝕刻停止層
110:金屬間介電層
124:緩衝層
126:遮罩層
140:阻障層
148:組合襯層

Claims (20)

  1. 一種半導體裝置的形成方法,其包括: 形成一開口在一介電層中; 形成一阻障層在該開口中; 形成一組合襯層於該阻障層之上,形成該組合襯層的步驟包括: 形成一第一襯層於該阻障層之上,該第一襯層包括釕;以及 形成一第二襯層於該第一襯層之上,該第二襯層包括鈷; 形成一導電材料層於該組合襯層之上,該導電材料層包括銅;以及 執行一熱製程以回銲(reflow)該導電材料層。
  2. 如請求項1所述的形成方法,其中形成該組合襯層於該阻障層之上的步驟進一步包括執行一氫電漿處理。
  3. 如請求項2所述的形成方法,其中在該氫電漿處理期間,在該第一襯層中的釕與在該第二襯層中的鈷混合(intermixes),使得該組合襯層具有包括5-20%釕的暴露表面。
  4. 如請求項1所述的形成方法,其進一步包括形成一蓋層於該導電材料層之上,該蓋層包括鈷。
  5. 如請求項4所述的形成方法,其中形成該蓋層的步驟包括: 蝕刻該導電材料層及該組合襯層的一部分,以形成一溝槽; 沉積鈷在該溝槽中且在該導電材料層、該組合襯層以及該阻障層之上;以及 平坦化該鈷,使得該蓋層的一頂表面水平於(level with)該阻障層的一頂表面。
  6. 如請求項1所述的形成方法,其中該阻障層物理上地且電性上地耦接至一接觸插塞。
  7. 如請求項6所述的形成方法,其進一步包括形成一金屬化部件於該導電材料層之上且電性耦接至該導電材料層。
  8. 如請求項7所述的形成方法,其進一步包括:在形成該導電材料層之後,平坦化該導電材料層之步驟,且該導電材料層的一頂表面水平於該組合襯層的一頂表面及該介電層的一頂表面。
  9. 一種半導體裝置的形成方法,其包括: 沉積一介電層於一基板之上; 圖案化該介電層以形成一開口,該開口包括側壁及一底表面; 沉積一阻障層於該介電層之上且於該開口中; 沉積一釕層於該阻障層之上; 沉積一鈷層於該釕層之上; 執行一氫電漿處理,以獲得包括釕及鈷的一混合雙層(intermixed binary layer);以及 沉積一第一銅層於該混合雙層之上。
  10. 如請求項9所述的形成方法,其中該釕層具有大於或等於大約5 Å的一第一厚度。
  11. 如請求項10所述的形成方法,其中該鈷層具有大於或等於大約10 Å的一第二厚度,且該第一厚度及該第二厚度的總和介於大約20 Å至大約40 Å之間。
  12. 如請求項9所述的形成方法,其中在該氫電漿處理之後,相對於該基板的該混合雙層的一表面包括介於大約5%至大約20%的釕。
  13. 如請求項9所述的形成方法,其進一步包括執行一第一熱製程以回銲該第一銅層。
  14. 如請求項9所述的形成方法,其進一步包括: 沉積一第二銅層於該第一銅層之上;以及 執行一第二熱製程以回銲該第一銅層及該第二銅層。
  15. 如請求項9所述的形成方法,其進一步包括形成一蓋層於該第一銅層之上,且該蓋層包括鈷。
  16. 如請求項15所述的形成方法,其進一步包括執行一電遷移測試(electromigration test),以量測從該蓋層至該混合雙層的鈷原子的電遷移。
  17. 一種半導體結構,其包括: 一介電層,包括一溝槽; 一阻障層,設置在該溝槽中,且在該介電層的一側壁之上; 一襯層,設置於該溝槽中,該襯層的一側壁設置於該阻障層的一側壁之上,該襯層的一底部設置於該阻障層的一底部之上,該襯層包括一頂表面及一底表面,在該頂表面上的一第一位置處的該襯層的一第一組分不同於在該底表面上的一第二位置處的該襯層的一第二組分;以及 一導電填充材料,設置於該溝槽中,且在該襯層的該側壁及該底部之上。
  18. 如請求項17所述的結構,其中該第一位置及該第二位置中的每個是沿著(along)該襯層的該底部。
  19. 如請求項18所述的結構,其進一步包括一第三位置及一第四位置,該第三位置在該襯層的該側壁的一上部中的該襯層的該頂表面上,該第四位置在該襯層的該側壁的該上部中的該襯層的該底表面上,且在該第三位置處的該襯層的一第三組分不同於在該第四位置處的該襯層的一第四組分。
  20. 如請求項17所述的結構,其中該襯層包括從該頂表面至該底表面的一第一組分梯度,且其中該襯層包括從該側壁的一上部至該側壁的一下部的一第二組分梯度。
TW109146156A 2019-12-27 2020-12-25 半導體結構、半導體裝置及其形成方法 TWI780550B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962954240P 2019-12-27 2019-12-27
US62/954,240 2019-12-27
US17/066,706 2020-10-09
US17/066,706 US11854878B2 (en) 2019-12-27 2020-10-09 Bi-layer alloy liner for interconnect metallization and methods of forming the same

Publications (2)

Publication Number Publication Date
TW202139360A true TW202139360A (zh) 2021-10-16
TWI780550B TWI780550B (zh) 2022-10-11

Family

ID=76310511

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109146156A TWI780550B (zh) 2019-12-27 2020-12-25 半導體結構、半導體裝置及其形成方法

Country Status (4)

Country Link
US (2) US20220367265A1 (zh)
CN (1) CN113053803A (zh)
DE (1) DE102020127319A1 (zh)
TW (1) TWI780550B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102016221746A1 (de) * 2016-11-07 2018-05-09 Robert Bosch Gmbh Chip und Leistungstransistor
US20210391438A1 (en) * 2020-06-15 2021-12-16 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect Structure Having a Multi-Deck Conductive Feature and Method of Forming the Same

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120141667A1 (en) * 2010-07-16 2012-06-07 Applied Materials, Inc. Methods for forming barrier/seed layers for copper interconnect structures
US9677172B2 (en) * 2014-01-21 2017-06-13 Applied Materials, Inc. Methods for forming a cobalt-ruthenium liner layer for interconnect structures
US9842804B2 (en) * 2016-01-04 2017-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for reducing dual damascene distortion
TWI809712B (zh) * 2017-01-24 2023-07-21 美商應用材料股份有限公司 用於在基板上形成鈷層的方法
US10741748B2 (en) * 2018-06-25 2020-08-11 International Business Machines Corporation Back end of line metallization structures

Also Published As

Publication number Publication date
CN113053803A (zh) 2021-06-29
US20220367265A1 (en) 2022-11-17
DE102020127319A1 (de) 2021-07-01
US20240079270A1 (en) 2024-03-07
TWI780550B (zh) 2022-10-11

Similar Documents

Publication Publication Date Title
US10269627B2 (en) Interconnect structure and method
US11855154B2 (en) Vertical interconnect features and methods of forming
US11004730B2 (en) Methods of forming conductive features using a vacuum environment
US20240079270A1 (en) Bl-LAYER ALLOY LINER FOR INTERCONNECT METALLIZATION AND METHODS OF FORMING THE SAME
US20240170397A1 (en) Interconnect level with high resistance layer and method of forming the same
US20230361039A1 (en) Interconnect Structure and Method of Forming Thereof
TW202018832A (zh) 半導體結構的製造方法
CN220439613U (zh) 半导体装置
US20220392803A1 (en) Conductive Feature of a Semiconductor Device
US11854878B2 (en) Bi-layer alloy liner for interconnect metallization and methods of forming the same
KR102545432B1 (ko) 인터커넥트 구조 및 방법
US9953863B1 (en) Methods of forming an interconnect structure
US20230395393A1 (en) Oxide Removal for Contact Plugs
US20220384334A1 (en) Interconnect structure and method
TW202240669A (zh) 半導體裝置的製造方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent