TW202136564A - 半導體裝置之製造方法、程式及基板處理裝置 - Google Patents

半導體裝置之製造方法、程式及基板處理裝置 Download PDF

Info

Publication number
TW202136564A
TW202136564A TW110103155A TW110103155A TW202136564A TW 202136564 A TW202136564 A TW 202136564A TW 110103155 A TW110103155 A TW 110103155A TW 110103155 A TW110103155 A TW 110103155A TW 202136564 A TW202136564 A TW 202136564A
Authority
TW
Taiwan
Prior art keywords
gas
processing chamber
film
semiconductor device
substrate
Prior art date
Application number
TW110103155A
Other languages
English (en)
Other versions
TWI788771B (zh
Inventor
小川有人
Original Assignee
日商國際電氣股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商國際電氣股份有限公司 filed Critical 日商國際電氣股份有限公司
Publication of TW202136564A publication Critical patent/TW202136564A/zh
Application granted granted Critical
Publication of TWI788771B publication Critical patent/TWI788771B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Composite Materials (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本發明係可抑制處理室內導致膜剝離發生之微粒產生。 本發明係包括有:(a)將已形成氧化膜的基板搬入已形成含金屬膜之處理室內的步驟;(b)朝處理室內,供應含有第14族元素與氫的氣體、或含氧氣體中之至少一者的步驟;以及(c)在基板上形成含金屬膜的步驟;且在(b)步驟後才進行(c)步驟。

Description

半導體裝置之製造方法、程式及基板處理裝置
本發明係關於半導體裝置之製造方法、程式及基板處理裝置。
作為具三維構造的NAND型快閃記憶體或DRAM的字元線,使用有例如低電阻的鎢(W)膜。又,在該W膜與絕緣膜間的阻障膜設置有例如氮化鈦(TiN)膜(例如參照專利文獻1與專利文獻2)。TiN膜具有提高W膜與絕緣膜之密接性的作用,有在該TiN膜上形成使W膜成長用的核形成膜。 [先前技術文獻] [專利文獻]
[專利文獻1]日本專利特開2011-66263號公報 [專利文獻2]國際公開第2019/058608號公報
(發明所欲解決之問題)
但是,此種核形成膜亦會形成於處理室內的內壁或測試基板等之上,若累積膜厚變厚,便會異常成長出較大的結晶粒,而有導致膜剝離發生之情形。
本發明目的在於提供:可抑制處理室內導致膜剝離發生之微粒產生的技術。 (解決問題之技術手段)
根據本發明之一態樣所揭示的技術,係包括有: (a)將已形成氧化膜的基板搬入已形成含金屬膜之處理室內的步驟; (b)朝上述處理室內,供應含有第14族元素與氫的氣體、或含氧氣體中之至少一者的步驟;以及 (c)在上述基板上形成上述含金屬膜的步驟;且 在上述(b)步驟後才進行上述(c)步驟。 (對照先前技術之功效)
根據本發明,可抑制處理室內導致膜剝離發生的微粒產生。
以下,參照圖1至4進行說明。
(1)基板處理裝置之構成 基板處理裝置10具備處理爐202,上述處理爐202設置有作為加熱手段(加熱機構、加熱系統)之加熱器207。加熱器207係呈圓筒形狀,藉由當作保持板用的加熱器機座(未圖示)支持而呈垂直設置。
於加熱器207之內側,設有與加熱器207呈同心圓狀構成之反應管(反應容器、處理容器)的外管203。外管203包含例如石英(SiO2 )、碳化矽(SiC)等耐熱性材料,形成上端封閉、下端開口的圓筒形狀。在外管203的下方配設與外管203呈同心圓狀的歧管(進氣法蘭)209。歧管209包含例如不鏽鋼(SUS)等金屬,形成上端與下端均開口的圓筒形狀。在歧管209之上端部與外管203之間,設有作為密封構件的O形環220a。藉由加熱器機座的支持,歧管209形成外管203呈垂直設置的狀態。
在外管203的內側配設構成反應容器的內管204。內管204包含例如石英、SiC等耐熱性材料,形成上端封閉、下端開口的圓筒形狀。主要由外管203、內管204及歧管209構成處理容器(反應容器)。處理容器的筒中空部(內管204內側)形成處理室201。
處理室201構成為藉由後述晶舟217,可將當作基板用的晶圓200依水平姿勢於鉛直方向呈多層排列狀態收納。
在處理室201內依貫穿歧管209側壁及內管204的方式設置噴嘴410,420,430。噴嘴410,420,430分別連接於氣體供應管310,320,330。但,本實施形態的處理爐202並不僅侷限於上述形態。
在氣體供應管310,320,330中分別從上游側起依序設置屬於流量控制器(流量控制部)的質量流量控制器(MFC)312,322,332。又,在氣體供應管310,320,330中分別設置屬於開閉閥的閥314,324,334。在氣體供應管310,320,330的閥314,324,334下游側,分別連接供應惰性氣體的氣體供應管510,520,530。在氣體供應管510,520,530中從上游側起依序分別設置屬於流量控制器(流量控制部)的MFC512,522,532、及屬於開閉閥的閥514,524,534。
氣體供應管310,320,330的前端部分別連結連接著噴嘴410,420,430。噴嘴410,420,430構成為L形噴嘴,且水平部設計成貫穿歧管209之側壁與內管204之狀態。噴嘴410,420,430的垂直部設計成於內管204的徑方向上朝外突出,且設置於在形成為鉛直方向上延伸的通道形狀(溝形狀)之預備室201a內部,在預備室201a內設置成沿內管204的內壁而朝向上方(晶圓200排列方向之上方)。
噴嘴410,420,430設置為從處理室201的下部區域延伸至處理室201的上部區域,在與晶圓200相對向的位置分別設置複數個氣體供應孔410a,420a,430a。藉此,從噴嘴410,420,430的氣體供應孔410a,420a,430a,分別朝晶圓200供應處理氣體。該氣體供應孔410a,420a,430a係從內管204的下部橫跨至上部設置複數個,分別具有相同的開口面積,且依相同的開口間距設置。但,氣體供應孔410a,420a,430a並不僅侷限於上述形態。例如開口面積亦可為從內管204的下部至上部逐漸擴大。藉此,可使從氣體供應孔410a,420a,430a所供應之氣體的流量更均勻化。
噴嘴410,420,430的氣體供應孔410a,420a,430a,係從後述晶舟217的下部起至上部之高度位置處設置有複數個。所以,從噴嘴410,420,430的氣體供應孔410a,420a,430a朝處理室201內供應的處理氣體,便從晶舟217的下部至上部被供應給所收容晶圓200之全域。噴嘴410,420,430只要設計成從處理室201的下部區域起延伸至上部區域即可,較佳為設計成延伸至晶舟217的頂板附近。
從氣體供應管310經由MFC312、閥314、噴嘴410朝處理室201內供應作為處理氣體的含金屬元素之原料氣體(含金屬氣體)。原料係例如含有金屬元素之鈦(Ti),而,鹵系原料(鹵化物、鹵系鈦原料)則可使用四氯化鈦(TiCl4 )。
從氣體供應管320經由MFC322、閥324、噴嘴420朝處理室201內供應作為處理氣體之含有第14族元素與氫(H)的氣體。含第14族元素與H的氣體係例如矽烷系氣體,可使用含矽(Si)與H之氣體的單矽烷(SiH4 )氣體。
從氣體供應管330,經由MFC332、閥334、噴嘴430朝處理室201內供應會與含金屬氣體產生反應的反應氣體作為處理氣體。反應氣體係可使用例如含有氮(N)的含N氣體,例如可使用氨(NH3 )氣體。
從氣體供應管510,520,530,分別經由MFC512,522,532、閥514,524,534、噴嘴410,420,430朝處理室201內供應例如氮(N2 )氣體作為惰性氣體。以下,針對惰性氣體係使用N2 氣體的例子進行說明,惟,惰性氣體係除N2 氣體之外,尚亦可使用例如:氬(Ar)氣體、氦(He)氣體、氖(Ne)氣體、氙(Xe)氣體等稀有氣體。
主要係由氣體供應管310,320,330、MFC312,322,332、閥314,324,334、及噴嘴410,420,430構成處理氣體供應系統,但亦可考慮僅由噴嘴410,420,430構成處理氣體供應系統。處理氣體供應系統亦可簡稱為「氣體供應系統」。當從氣體供應管310流入原料氣體的情況,主要係由氣體供應管310、MFC312、閥314構成原料氣體供應系統,但原料氣體供應系統亦可包含噴嘴410。又,當從氣體供應管320流入矽烷系氣體的情況,主要係由氣體供應管320、MFC322、閥324構成矽烷系氣體供應系統,但矽烷系氣體供應系統亦可包含噴嘴420。又,當從氣體供應管330流入反應氣體的情況,主要係由氣體供應管330、MFC332、閥334構成反應氣體供應系統,但反應氣體供應系統亦可包含噴嘴430。當從氣體供應管330供應的反應氣體係含氮氣體的情況,亦可將反應氣體供應系統稱為「含氮氣體供應系統」。又,主要係由氣體供應管510,520,530、MFC512,522,532、閥514,524,534,構成惰性氣體供應系統。
本實施形態的氣體供應方法,係經由預備室201a內所配置的噴嘴410,420,430搬送氣體,上述預備室201a係由內管204內壁、與複數片晶圓200之端部所定義的圓環狀縱長空間。然後,從噴嘴410,420,430在與晶圓相對向的位置所設置之複數個氣體供應孔410a,420a,430a,朝內管204內噴出氣體。更詳言之,從噴嘴410的氣體供應孔410a、噴嘴420的氣體供應孔420a、及噴嘴430的氣體供應孔430a,朝與晶圓200表面平行之方向噴出原料氣體等。
排氣孔(排氣口)204a係在內管204之側壁、且與噴嘴410,420,430相對向的位置處所形成的貫穿孔,例如於鉛直方向細長地開設的狹縫狀貫穿孔。從噴嘴410,420,430的氣體供應孔410a,420a,430a朝處理室201內供應、且在晶圓200表面上流動的氣體,係經由排氣孔204a流入形成於內管204與外管203間的間隙(排氣路徑206內)。然後,流入排氣路徑206內的氣體會流入排氣管231內,進而被排出至處理爐202外。
排氣孔204a係設置在與複數晶圓200相對向的位置處,從氣體供應孔410a,420a,430a供應給處理室201內的晶圓200附近之氣體,朝水平方向流動後,經由排氣孔204a流入排氣路徑206內。排氣孔204a並不僅侷限於構成狹縫狀貫穿孔的情況,亦可由複數個孔構成。
在歧管209中設有將處理室201內的氣體氛圍予以排氣用的排氣管231。在排氣管231中從上游側起依序連接:作為檢測處理室201內壓力之壓力檢測器(壓力檢測部)的壓力感測器245、APC(Auto Pressure Controller,壓力自動控制)閥243、作為真空排氣裝置的真空泵246。APC閥243係藉由在使真空泵246產生動作之狀態下進行閥的開閉,便可進行處理室201內的真空排氣與停止真空排氣,更藉由在使真空泵246動作之狀態下調節閥開度,藉此可調整處理室201內的壓力。主要由排氣孔204a、排氣路徑206、排氣管231、APC閥243、及壓力感測器245構成排氣系統。排氣系統亦可包含真空泵246。
在歧管209的下方設置可將歧管209下端開口呈氣密式封閉,當作爐口蓋體用的密封蓋219。密封蓋219構成為從鉛直方向下側抵接歧管209之下端的狀態。密封蓋219由例如SUS等金屬構成而形成圓盤狀。在密封蓋219的上表面設有抵接歧管209下端之O形環220b作為密封構件。在密封蓋219中與處理室201相反之側,設置使收容晶圓200的晶舟217旋轉的旋轉機構267。旋轉機構267的旋轉軸255係貫穿密封蓋219而連接於晶舟217。旋轉機構267構成為藉由使晶舟217旋轉而使晶圓200旋轉。密封蓋219構成藉由在外管203之外部呈垂直設置之晶舟升降機115,作為升降機構而在鉛直方向上進行升降。晶舟升降機115構成為藉由使密封蓋219升降而可將晶舟217於處理室201內外搬入與搬出。晶舟升降機115構成為可將晶舟217及晶舟217所收容的晶圓200於處理室201內外搬送的搬送裝置(搬送機構、搬送系統)。
作為基板支持具用的晶舟217構成為使複數片、例如25~200片晶圓200以水平姿勢且在中心相互對齊之狀態下於鉛直方向上隔開間隔而排列。晶舟217例如包含石英、SiC等耐熱性材料。於晶舟217的下部,由例如石英、SiC等耐熱性材料構成的絕熱板218依水平姿勢而多段(未圖示)支持。藉由此構成,來自加熱器207的熱不易傳遞至密封蓋219側。但,本實施形態並不僅侷限於上述形態。例如亦可在晶舟217的下部不設置絕熱板218,而是設置包含石英、SiC等耐熱性材料之筒狀構件的絕熱筒。
如圖2所示,在內管204內設置作為溫度檢測器用的溫度感測器263,根據溫度感測器263所檢測到的溫度資訊,調整加熱器207的通電量,藉此,處理室201內的溫度便成為所需溫度分佈。溫度感測器263係與噴嘴410,420,430同樣地構成為L形,且沿內管204的內壁設置。
如圖3所示,作為控制部(控制手段)之控制器121構成為具備中央處理單元(CPU,Central Processing Unit)121a、隨機存取記憶體(RAM,Random Access Memory)121b、記憶裝置121c、及輸入/輸出(I/O,Input/Output)埠121d的電腦。RAM121b、記憶裝置121c、I/O埠121d構成為能經由內部匯流排與CPU121a進行數據交換。於控制器121連接有例如構成為觸控板等之輸入輸出裝置122。
記憶裝置121c例如包含快閃記憶體、硬式磁碟機(HDD,Hard Disk Drive)等。於記憶裝置121c內可讀出地儲存控制基板處理裝置之動作的控制程式、記載下述半導體裝置製造方法的順序與條件等之製程配方等。製程配方係以能夠使控制器121執行下述半導體裝置製造方法的各步驟(各程序),進而獲得既定結果的方式組合,作為程式發揮功能。以下,亦將該製程配方、控制程式等亦統合簡稱為「程式」。本說明書中當使用「程式」此術語時,可能僅包含製程配方單體、可能僅包含控制程式單體、或可能包含製程配方與控制程式之組合。RAM121b構成為記憶體區域(工作區),其暫時保存由CPU121a讀出之程式、數據等。
I/O埠121d連接於上述MFC312,322,332,512,522,532、閥314,324,334,514,524,534、壓力感測器245、APC閥243、真空泵246、加熱器207、溫度感測器263、旋轉機構267、及晶舟升降機115等。
CPU121a構成為自記憶裝置121c讀出控制程式並執行,並且根據來自輸入輸出裝置122之操作指令的輸入等自記憶裝置121c讀出配方。CPU121a構成為按照所讀出之配方之內容,控制利用MFC312,322,332,512,522,532進行之各種氣體之流量調整動作、閥314,324,334,514,524,534的開閉動作、APC閥243的開閉動作、及根據壓力感測器245之APC閥243之壓力調整動作、根據溫度感測器263進行的加熱器207之溫度調整動作、真空泵246的啟動與停止、利用旋轉機構267進行之晶舟217之旋轉與旋轉速度調節動作、由晶舟升降機115進行的晶舟217之升降動作、晶舟217的晶圓200收容動作等進行控制。
控制器121可藉由將儲存於外部記憶裝置(例如:磁帶、軟碟、硬碟等磁碟;CD、DVD等光碟;MO等光磁碟;USB記憶體、記憶卡等半導體記憶體)123的上述程式安裝於電腦而構成。記憶裝置121c、外部記憶裝置123構成為可讀取電腦的記錄媒體。以下,亦將該等統合簡稱為「記錄媒體」。本說明書中使用「記錄媒體」此術語時,可能包含僅有記憶裝置121c單體的情況、可能包含僅有外部記憶裝置123單體的情況時、或者可能包含該等二者的情況。另外,對電腦的程式提供亦可不使用外部記憶裝置123而使用例如網際網路、專用線路等通訊手段執行。
(2)基板處理步驟 半導體裝置(device)之製造步驟的一步驟,係針對在形成有絕緣膜、且已形成氧化膜的晶圓200上,形成TiN膜的步驟之一例,使用圖4進行說明。形成TiN膜的步驟係使用上述基板處理裝置10的處理爐202實施。在處理爐202內至少進行1次後述成膜步驟,而在處理室201內形成TiN膜。以下說明中,構成基板處理裝置10的各部位動作係利用控制器121進行控制。利用本步驟製造的製品晶圓,係例如當作半導體裝置使用的淺溝渠隔離(STI),在Si基板上所形成的溝中形成SiO2 膜,並在SiO2 膜上埋藏TiN膜。另外,TiN膜亦可使用為閘電極。
本實施形態的基板處理步驟(半導體裝置之製造步驟),係包括有:(a)將已形成屬於氧化膜的矽氧化(SiO2 )膜之晶圓200,搬入已形成含金屬膜(TiN膜)的處理室201內之步驟;(b)朝處理室201內,供應含第14族元素與H之氣體的矽烷系氣體、或含氧(O)氣體之O2 氣體中至少其中一者的步驟;以及(c)在晶圓200上,形成含金屬膜(TiN膜)的步驟;其中,在(b)步驟之後才進行(c)步驟。
(b)步驟中,在處理爐202內的處理室201內之壁上、與當作絕熱板218用的測試基板等之上,形成含第14族元素之膜或氧化膜。
(c)步驟中,對晶圓200交錯重複進行:供應含金屬氣體之TiCl4 氣體的步驟、以及供應反應氣體之NH3 氣體的步驟,而在晶圓200上形成含金屬膜之TiN膜。
此處,在晶圓上形成TiN膜時,在處理室201內壁、測試基板等之上亦會形成核形成膜。而,若在處理室201內壁等處所形成膜的累積膜厚變厚,便會異常成長為較大之結晶粒,導致膜剝離發生,成為微粒產生的肇因。本實施形態中,將已形成氧化膜的晶圓200搬入已形成TiN膜的處理室201內,並於進行在處理室201內形成TiN膜的步驟之前,便進行後述處理步驟之供應含第14族元素與H之氣體的步驟、或供應含O氣體的步驟。藉此,在處理室201內壁、測試基板等處所形成的TiN膜結晶粒會被截斷,便可抑制膜剝離發生。即,對在處理室201內壁、測試基板等處所形成的TiN膜表面進行氮化矽鈦(TiSiN)化或氧化(氮氧化鈦(TiNO)化、氧化鈦(TiO)化),而形成將結晶粒截斷的結晶粒截斷膜。即,終止在處理室201內壁、測試基板等處所形成之TiN膜的核形成膜成長,並平坦化,便可抑制膜剝離發生。另外,在進行處理步驟時,因為在處理室201內搬入已形成SiO2 膜的晶圓,因而不會對晶圓200構成影響。即,可選擇性地僅將在處理室201內壁、測試基板等處所形成之TiN膜的結晶粒進行截斷,便可僅在處理室201內壁、測試基板等處所形成的TiN膜表面上形成結晶粒截斷膜。
本說明書中使用「晶圓」此術語時,可能指「晶圓本身」,或者指「晶圓與形成於其表面之既定之層或膜之積層體」。本說明書中,使用「晶圓表面」此術語時,可能指「晶圓本身的表面」,或者指形成於「晶圓上之既定之層(或膜)等之表面」之情況。本說明書中,使用「基板」此術語時亦與使用「晶圓」此術語時同義。
(晶圓搬入) 若將複數片已形成氧化膜的晶圓200裝填(晶圓補充)於晶舟217,便如圖1所示,支持複數片晶圓200的晶舟217藉由晶舟升降機115被提升並被搬入至已形成TiN膜的處理室201內(晶舟裝載)。於此狀態下,密封蓋219成為經由O形環220而將反應管203的下端開口封閉之狀態。
(壓力調整及溫度調整) 以使處理室201內,即晶圓200所存在的空間成為所需壓力(真空度)的方式,利用真空泵246進行真空排氣。此時,處理室201內的壓力係利用壓力感測器245測定,根據該測得之壓力資訊對APC閥243進行反饋控制(壓力調整)。至少在對晶圓200的處理結束之前的期間內,真空泵246均維持經常動作狀態。又,依處理室201內成為所需溫度的方式,利用加熱器207進行加熱。此時,依處理室201內成為所需溫度分佈的方式,根據由溫度感測器263所檢測到的溫度資訊,回饋控制著對加熱器207的通電量(溫度調整)。至少在對晶圓200的處理結束之前的期間內,利用加熱器207對處理室201內加熱均持續進行。
[處理步驟](SiH4 氣體供應) 打開閥324,朝氣體供應管320內流入含第14族元素與H之氣體的矽烷系氣體SiH4 氣體。SiH4 氣體係利用MFC322進行流量調整,再從噴嘴420的氣體供應孔420a供應至處理室201內,然後從排氣管231被排氣。此時,對晶圓200供應SiH4 氣體。此時亦同時打開閥524,朝氣體供應管520內流入N2 氣體等惰性氣體。在氣體供應管520內流動的N2 氣體係利用MFC522進行流量調整,並與SiH4 氣體一起供應至處理室201內,再從排氣管231被排氣。此時,關閉閥514,534,停止從噴嘴410,430的N2 氣體供應。
此時,將APC閥243全開(full open)。利用MFC322控制的SiH4 氣體供應流量,係例如0.1~10slm之範圍內的流量,例如設定成為2slm的流量。由MFC522控制的N2 氣體供應流量係設為例如0.1~20slm範圍內的流量。另外,將本步驟中處理室201內之壓力設定為較後述成膜步驟中的處理室201內之壓力低。又,將本步驟所供應的氣體流量設定為較後述成膜步驟中所供應氣體的流量少。藉此,處理室201內全體便可遍佈SiH4 氣體,便可在不會對成為製品晶圓的晶圓200造成影響之情況下,僅選擇性地對在處理室201內壁等處所形成的TiN膜進行處理。
此時,加熱器207的溫度係設定為晶圓200的溫度固定保持於例如350~500℃範圍內的溫度。另外,將本步驟的溫度設定為較後述成膜步驟時的溫度高。本步驟的溫度較佳係設在500℃以下。理由係若本步驟的溫度較500℃高,潛伏期便縮短,導致會在晶圓200上形成Si膜的緣故所致。
此時,流入於處理室201內的氣體係SiH4 氣體。藉由SiH4 氣體的供應,便在處理室201內壁等處的TiN膜之表面上,形成結晶層截斷膜的氮化矽鈦(TiSiN)膜,使TiN膜表面呈平坦化。SiH4 氣體的供應時間係在晶圓200上不會形成Si膜的時間(潛伏期)內,例如3~5分鐘左右。
[沖洗步驟](去除殘留氣體) 從SiH4 氣體開始供應起經3~5分鐘後便關閉閥324,停止SiH4 氣體的供應。此時,排氣管231的APC閥243保持開啟狀態,利用真空泵246對處理室201內進行真空排氣,而將在處理室201內殘留的未反應或已參與TiSiN膜形成後的SiH4 氣體,從處理室201內排除。此時,閥524保持開啟狀態,打開閥514,534,開始N2 氣體朝處理室201內的供應。N2 氣體係作為沖洗氣體而發揮作用,可提高將在處理室201內殘留的未反應或已參與TiSiN膜形成後的SiH4 氣體,從處理室201內排除的效果。
[成膜步驟](TiCl4 氣體供應、第1步驟) 打開閥314,朝氣體供應管310內流入原料氣體之TiCl4 氣體。TiCl4 氣體係利用MFC312進行流量調整,從噴嘴410的氣體供應孔410a供應至處理室201內,再從排氣管231被排氣。此時,對晶圓200供應TiCl4 氣體。此時,亦同時打開閥514,朝氣體供應管510內流入N2 氣體等惰性氣體。在氣體供應管510內流動的N2 氣體係利用MFC512進行流量調整,並與TiCl4 氣體一起供應至處理室201內,再從排氣管231被排氣。此時,為防止TiCl4 氣體侵入噴嘴420,430內,便打開閥524,534,朝氣體供應管520,530內流入N2 氣體。N2 氣體係經由氣體供應管320,330、噴嘴420,430,供應給處理室201內,再從排氣管231被排氣。
此時,調整APC閥243,將處理室201內的壓力設為例如1~3990Pa之範圍內的壓力,例如1000Pa。利用MFC312控制的TiCl4 氣體供應流量係設為例如0.1~2.0slm之範圍內的流量。利用MFC512,522,532控制的N2 氣體供應流量,分別設為例如0.1~20slm範圍內的流量。另外,將本步驟處理室201內的壓力設為較上述處理步驟時的處理室201內壓力高。又,將本步驟所供應的氣體流量設定為較上述處理步驟中所供應氣體的流量多。此時,加熱器207的溫度係設定為晶圓200溫度成為例如300~500℃之範圍內的溫度,例如成為475℃的溫度。
此時,流入處理室201內的氣體僅有TiCl4 氣體與N2 氣體。藉由TiCl4 氣體的供應,在已形成氧化膜的晶圓200(表面的底層膜)上形成含Ti層。含Ti層係可為含Cl的Ti層、亦可為TiCl4 的吸附層、亦可為含有該等二者。
(去除殘留氣體、第2步驟) 從TiCl4 氣體開始供應起經既定時間、例如0.01~10秒後,關閉閥314,停止TiCl4 氣體的供應。此時,排氣管231的APC閥243維持開啟狀態,利用真空泵246對處理室201內進行真空排氣,而將在處理室201內殘留的未反應或經參與含Ti層形成後的TiCl4 氣體,從處理室201內排除。此時,閥514,524,534保持開啟狀態,維持N2 氣體朝處理室201內的供應。N2 氣體係具有沖洗氣體的作用,可提高將在處理室201內殘留的未反應或經參與含Ti層形成後的TiCl4 氣體,從處理室201內排除的效果。
(NH3 氣體供應、第3步驟) 經去除處理室201內的殘留氣體後,打開閥334,朝氣體供應管330內流入作為反應氣體之NH3 氣體。NH3 氣體利用MFC332進行流量調整,並從噴嘴430的氣體供應孔430a供應給處理室201內,再被從排氣管231排氣。此時,對晶圓200供應NH3 氣體。與此同時,打開閥534,朝氣體供應管530內流入N2 氣體。在氣體供應管530內流通的N2 氣體利用MFC532進行流量調整。N2 氣體係與NH3 氣體一起供應至處理室201內,再被從排氣管231排氣。此時,為防止NH3 氣體侵入噴嘴410,420內,便打開閥514,524,朝氣體供應管510,520內流入N2 氣體。N2 氣體係經由氣體供應管310,320、噴嘴410,420供應至處理室201內,再從排氣管231被排氣。
此時,調整APC閥243,將處理室201內的壓力設為例如1~3990Pa之範圍內的壓力,例如1000Pa。利用MFC332控制的NH3 氣體供應流量係設為例如0.1~30slm之範圍內的流量。利用MFC512,522,532控制的N2 氣體供應流量,分別設為例如0.1~30slm之範圍內的流量。NH3 氣體對晶圓200的供應時間係設為例如0.01~30秒之範圍內的時間。此時加熱器207的溫度係設定為與TiCl4 氣體供應步驟同樣的溫度。
此時,流入處理室201內的氣體僅有NH3 氣體與N2 氣體。NH3 氣體係與第1步驟中在晶圓200上所形成之含Ti層之至少其中一部分進行置換反應。於置換反應時,含Ti層中所含的Ti、與NH3 氣體所含的N相鍵結,而在已形成氧化膜的晶圓200上形成TiN層。
(去除殘留氣體、第4步驟) 經形成TiN層後,關閉閥334,停止NH3 氣體的供應。然後,依照與上述去除殘留氣體同樣的處理順序,將處理室201內殘留的未反應或經參與TiN層形成後的NH3 氣體與反應副產物從處理室201內排除。
(既定次數實施) 藉由將依序進行上述第1步驟~第4步驟的循環執行既定次數(n次),在已形成氧化膜的晶圓200上形成既定厚度的TiN膜。
(後沖洗及大氣壓恢復) 分別從氣體供應管510,520,530朝處理室201內供應N2 氣體,再從排氣管231排氣。N2 氣體係作為沖洗氣體而作用,藉此,對處理室201內利用惰性氣體進行沖洗,將殘留於處理室201內的氣體與副產物自處理室201內去除(後沖洗)。其後,將處理室201內的氣體氛圍替換成惰性氣體(惰性氣體替換),使處理室201內的壓力恢復至常壓(回歸大氣壓)。
(晶圓搬出) 其後,利用晶舟升降機115使密封蓋219下降,使反應管203之下端開口。然後,將經處理之晶圓200以被晶舟217支持的狀態自反應管203之下端搬出至反應管203的外部(晶舟卸載)。然後,將經處理之晶圓200自晶舟217中取出(晶圓退出)。
(3)本實施形態所造成的效果 根據本實施形態,在已形成氧化膜的晶圓200上形成既定膜厚(例如250Å)之TiN膜之前,先於處理室201內壁等處的TiN膜表面進行TiSiN化,進行含第14族元素膜之TiSiN膜(結晶粒截斷膜)的形成。TiSiN膜係屬於非晶膜,故藉由TiSiN膜的形成,TiN膜的結晶粒便被截斷,其結果為核形成膜的成長被停止(截斷)。藉此,處理室201內所形成之TiN膜之膜剝離被抑制,而不會作為異物附著於晶圓200上。即,可抑制處理室內(反應管內)導致膜剝離發生的微粒產生。又,因為在將已裝載晶圓200的晶舟217已搬入至處理室201內的狀態下進行處理步驟,因而在晶舟217或搭載於晶舟217之測試基板等處形成的TiN膜之膜剝離亦受抑制,便可提升產量。
(4)變形例 其次,針對上述實施形態的變形例進行詳述。以下實施形態僅就與上述實施形態的不同處進行詳述。
圖5係表示上述實施形態的成膜流程之變形例之圖。 本變形例係就成膜步驟前所進行的處理步驟不同於上述實施形態。具體而言,使用上述基板處理裝置10,取代上述實施形態的處理步驟中之矽烷系氣體SiH4 氣體供應,改為採行從氣體供應管320供應含氧(O)之含氧氣體的O2 氣體供應。
[處理步驟](O2 氣體供應) 打開閥324,朝氣體供應管320內流入含氧氣體之O2 氣體。O2 氣體係利用MFC322進行流量調整,並從噴嘴420的氣體供應孔420a供應至處理室201內,再從排氣管231被排氣。此時,對晶圓200供應O2 氣體。與此同時開啟閥524,朝氣體供應管520內流入N2 氣體等惰性氣體。在氣體供應管520內流通的N2 氣體係利用MFC522進行流量調整,並與O2 氣體一起供應至處理室201內,再從排氣管231被排氣。此時,關閉閥514,534,停止從噴嘴410,430的N2 氣體供應。
此時,將APC閥243全開(full open)。利用MFC322控制的O2 氣體供應流量,係例如0.1~10slm之範圍內的流量,例如設定成為2slm的流量。由MFC522控制的N2 氣體供應流量係設為例如0.1~20slm之範圍內的流量。另外,將本步驟的處理室201內之壓力設定為較後述成膜步驟中的處理室201內之壓力低。又,將本步驟所供應的氣體流量設定為較成膜步驟中所供應氣體的流量少。藉此,處理室201內全體便可遍佈O2 氣體,便可在不會對成為製品晶圓200造成影響之情況下,僅選擇性地對在處理室201內壁等處所形成的TiN膜進行處理。
此時,加熱器207的溫度係設定為晶圓200的溫度固定保持於例如350~600℃之範圍內的溫度。另外,將本步驟的溫度設定為較成膜步驟時的溫度高。因為本步驟的溫度越高則反應性越佳,因而溫度越高越好。另外,就從縮短晶圓200處理時間(提升製造產量)的觀點,將本步驟的溫度設定為接近成膜步驟之溫度的溫度。溫度差越小,越能縮短溫度調整的時間,便可縮短處理時間。
此時,流入處理室201內的氣體係O2 氣體。藉由O2 氣體的供應,處理室201內壁等處的TiN膜之表面被氧化,使氧原子擴散至膜中,便使結晶性出現變化。藉此,在處理室201內壁等處的TiN膜之表面上,形成結晶層截斷膜之氮氧化鈦(TiNO)膜、氧化鈦(TiO)膜,使TiN膜表面平坦化。
另外,此時的壓力較佳為調整為較此種壓力更接近大氣壓的壓力。藉由接近大氣壓,可提升O2 氣體分子與處理對象膜(此處為TiN膜)的接觸機率,而能提升處理對象之膜表面的氧吸附率。即,可提升氧化處理的均勻性。
[沖洗步驟](去除殘留氣體) 從O2 氣體開始供應起經既定時間後便關閉閥324,停止O2 氣體的供應。此時,排氣管231的APC閥243保持開啟狀態,利用真空泵246對處理室201內進行真空排氣,而將在處理室201內殘留的未反應或經參與TiNO膜與TiO膜形成後的O2 氣體,從處理室201內排除。此時,閥524保持開啟狀態,打開閥514,534,開始N2 氣體朝處理室201內的供應。N2 氣體具有沖洗氣體的作用,可提高將在處理室201內殘留的未反應或經參與TiNO膜與TiO膜形成後的O2 氣體,從處理室201內排除的效果。
進而,進行上述成膜步驟,在已形成氧化膜的晶圓200上形成TiN膜。
即,在已形成氧化膜的晶圓200上形成既定膜厚(例如250Å)之TiN膜之前,便藉由供應O2 氣體,而將處理室201內壁等處的TiN膜之表面氧化,形成作為氧化膜之TiNO膜或TiO膜(結晶粒截斷膜)。藉此,TiN膜的結晶粒被截斷,其結果為核形成膜的成長被停止(截斷)。藉此,處理室201內所形成之TiN膜之膜剝離被抑制,而不會作為異物附著於晶圓200上。即,可抑制處理室內導致膜剝離發生之微粒產生。
另外,於上述實施形態與變形例中,針對在已形成含金屬膜之TiN膜的處理室內,於已形成氧化膜的晶圓上,形成含金屬膜之TiN膜的步驟進行說明,惟本發明並不僅侷限於此,即使在已形成含有例如:鎢(W)、鉬(Mo)、銅(Cu)、釕(Ru)、氮化鉬(MoN)等含金屬膜的處理室內,於已形成氧化膜的晶圓上,形成例如:W膜、Mo膜、Cu膜、Ru膜、MoN膜等含金屬膜的情況仍頗適用。
再者,於上述實施形態與變形例中,針對在已形成氧化膜之SiO2 膜的晶圓200上,形成TiN膜的步驟之一例進行說明,惟本發明並不僅侷限於此,在已形成例如:氧化鋁(AlO)膜、氧化鉿(HfO)膜等氧化膜的晶圓200上形成TiN膜的情況仍頗適用。
再者,於上述實施形態及變形例中,作為在晶圓200上形成含金屬膜的步驟,係針對交錯重複進行供應含金屬氣體的步驟、以及供應反應氣體的步驟之例子進行說明,惟本發明並不僅侷限於此,於僅利用含金屬氣體之供應而形成含金屬膜的情況仍頗適用。
再者,上述實施形態,處理步驟係就使用含第14族元素與H之氣體的矽烷系氣體之SiH4 氣體的情況為例進行說明,惟本發明並不僅侷限於此,亦可使用例如:二矽烷(Si2 H6 )、三矽烷(Si3 H8 )等矽烷系氣體。藉此,可在處理室內壁等處形成含第14族元素之膜的TiSiN膜。
再者,於上述實施形態中,處理步驟係就使用含第14族元素與H之氣體的矽烷系氣體之情況為例進行說明,惟本發明並不僅侷限於此,即使含第14族元素與H之氣體係使用鍺烷系氣體的情況仍頗適用。鍺烷系氣體亦可使用含有鍺(Ge)與H之氣體,例如:鍺烷(GeH4 )、二鍺烷(Ge2 H6 )、三鍺烷(Ge3 H8 )等氣體。藉此,可在處理室內壁等處形成含第14族元素膜之TiGeN膜。
另外,於上述變形例中,處理步驟係就使用O2 氣體作為含氧氣體的情況為例進行說明,惟本發明並不僅侷限於此,即便使用例如:O3 氣體、NO氣體、N2 O氣體等含氧氣體的情況仍可適用。
再者,上述實施形態及變形例,針對將已形成氧化膜的晶圓搬入已形成TiN膜的處理室內後(晶舟裝載後),才進行處理步驟的情況進行說明,惟本發明並不僅侷限於此,亦可在將已形成氧化膜的晶圓搬入已形成TiN膜的處理室內之前(晶舟裝載前)進行處理步驟。即,於晶舟217在未搬入處理室201內便進行處理步驟的情況下,仍頗適用。即,於對處理室內進行處理步驟後,才將已形成氧化膜的晶圓搬入處理室內進行成膜步驟的情況下,仍頗適用。又,亦可在成膜步驟後,且已進行晶舟卸載後才進行處理步驟。
再者,於上述實施形態與變形例中,針對於處理步驟後才進行成膜步驟的情況進行說明,惟本發明並不僅侷限於此,亦可每次進行成膜步驟時便進行處理步驟,交錯重複進行成膜步驟與處理步驟。藉此,便可於每次進行成膜步驟時使在處理室201內壁等處所形成之TiN膜的結晶粒截斷。又,亦可經既定次數進行成膜步驟後,才進行處理步驟。
再者,上述實施形態係針對使用一次處理複數片基板的批次式直立式裝置之基板處理裝置來進行成膜的例子進行說明,惟本發明並不僅侷限於此,即便使用一次僅處理1片基板的單片式基板處理裝置進行膜形成的情況,亦頗適用。
形成該等各種薄膜時所使用的製程配方(記載著處理順序、處理條件等的程式),較佳為配合基板處理的內容(所形成薄膜的膜種、組成比、膜質、膜厚、處理順序、處理條件等)再行個別準備(準備複數種)。然後,在開始基板處理時,較佳為配合基板處理的內容,從複數個製程配方中,適當選擇恰當的製程配方。具體而言,將配合基板處理內容個別準備的複數個製程配方,較佳為經由電氣通訊線路或記錄該製程配方的記錄媒體(外部記憶裝置123),預先儲存(安裝)於基板處理裝置所具備的記憶裝置121c內。然後,在開始基板處理時,較佳為由基板處理裝置所具備的CPU121a從記憶裝置121c內所儲存之複數個製程配方中,配合基板處理的內容,適當選擇恰當的製程配方。藉由依此構成,利用1台基板處理裝置便可通用且重現性佳地形成各種膜種、組成比、膜質、膜厚的薄膜。又,可在減輕操作員操作負擔(處理順序、處理條件等輸入負擔等),避免操作失誤情況下,迅速地開始基板處理。
再者,本發明係例如即使變更現有基板處理裝置的製程配方亦可實現。變更製程配方時,亦可將本發明的製程配方經由電氣通訊線路或記錄該製程配方的記錄媒體安裝於現有的基板處理裝置中,或者亦可操作現有基板處理裝置的輸入輸出裝置,將原本的製程配方本身變更為本發明之製程配方。
以上,針對本發明之各種典型實施形態進行說明,惟本發明並不僅侷限於該等實施形態,亦可適當組合使用。
(5)實施例 首先,使用上述基板處理裝置10,藉由在未進行上述基板處理步驟的圖4、圖5中之處理步驟的情況下,在尚未形成TiN膜的處理室201內,於測試基板上形成膜厚250Å的TiN膜,針對測試基板上所形成的TiN膜表面,利用原子力顯微鏡(Atomic Force Microscopy)進行觀測。如圖6所示,測試基板上所形成之TiN膜表面的均方根粗糙度(Rms)係1.62nm,最大高低差(Rmax)係25.7nm。然後,在已形成TiN膜的處理室201內,搬入已形成膜厚250Å之TiN膜的測試基板,進行後述比較例、實施例1及實施例2,分別針對在測試基板上已形成TiN膜的表面,使用原子力顯微鏡進行觀測。
於比較例中,使用上述基板處理裝置10,直接將已形成膜厚250Å之TiN膜的測試基板,搬入已形成TiN膜的處理室201內,在未進行上述圖4、圖5所示處理步驟之情況下,在已形成TiN膜的測試基板上,更進一步形成250Å的TiN膜,針對TiN膜的表面使用原子力顯微鏡進行觀測。
於實施例1中,使用上述基板處理裝置10,直接將已形成膜厚250Å之TiN膜的測試基板,搬入已形成TiN膜的處理室201內,依照上述圖4所示之成膜流程(在成膜步驟前供應SiH4 氣體作為處理步驟),在已形成TiN膜的測試基板上,更進一步形成250Å的TiN膜,針對TiN膜的表面使用原子力顯微鏡進行觀測。
於實施例2中,使用上述基板處理裝置10,直接將已形成膜厚250Å之TiN膜的測試基板,搬入已形成TiN膜的處理室201內,依照上述圖5所示之成膜流程(在成膜步驟前供應O2 氣體作為處理步驟),而在已形成TiN膜的測試基板上,更進一步形成250Å的TiN膜,針對TiN膜的表面使用原子力顯微鏡進行觀測。
如圖6所示,比較例的測試基板上之TiN膜表面之均方根粗糙度(Rms)係13.6nm、最大高低差(Rmax)係85.5nm。又,實施例1的測試基板上之TiN膜表面之均方根粗糙度(Rms)係2.16nm、最大高低差(Rmax)係22.9nm。又,實施例2的測試基板上之TiN膜表面之均方根粗糙度(Rms)係3.28nm、最大高低差(Rmax)係32.3nm。
根據比較例、實施例1及實施例2的TiN膜表面之評價結果,相較於在成膜步驟前便進行處理步驟的實施例1與實施例2,可確認到比較例的TiN膜表面之均方根粗糙度與最大高低差均變大,TiN膜的成長速率較快速。
即,當在已形成TiN膜的處理室201內進行成膜步驟的情況,藉由在進行成膜步驟前進行處理步驟,相較於未進行處理步驟的情況,可確認到TiN膜表面的均方根粗糙度與最大高低差均變小,能抑制TiN膜的成長。即,確認到藉由在進行成膜步驟之前便進行處理步驟,便可抑制在處理室201內壁與測試基板等處所形成之核形成膜的成長。
再者,針對在已形成SiO2 膜的晶圓上於未進行上述處理步驟之狀態下形成TiN膜的情況、經進行O2 氣體供應作為處理步驟後再形成TiN膜的情況、經進行SiH4 氣體供應3分鐘作為處理步驟後才進行TiN膜的情況、經進行SiH4 氣體供應5分鐘作為處理步驟後才形成TiN膜的情況、經進行SiH4 氣體供應7分鐘作為處理步驟後才形成TiN膜的情況,使用二次離子質譜(SIMS)分別針對各情況的TiN膜中之深度方向的Si分佈進行評價。
於任一情況下TiN膜深度方向的Si分佈均沒有變化。即,確認到晶圓的TiN膜深度方向,並不具有因處理步驟而使組成變化等影響,而是選擇性地對處理室201內壁等處進行處理步驟。所以,確認到在不致對製品晶圓造成影響之情況下,可選擇性地僅對在測試基板與處理室201內壁等處成膜的TiN膜進行處理。
10:基板處理裝置 115:晶舟升降機 121:控制器 121a:CPU 121b:RAM 121c:記憶裝置 121d:I/O埠 122:輸入輸出裝置 123:外部記憶裝置 200:晶圓(基板) 201:處理室 201a:預備室 202:處理爐 203:外管 204:內管 204a:排氣孔 206:排氣路徑 207:加熱器 209:歧管 217:晶舟 218:絕熱板 219:密封蓋 220a,220b:O形環 231:排氣管 243:APC閥 245:壓力感測器 246:真空泵 255:旋轉軸 263:溫度感測器 267:旋轉機構 310,320,330,510,520,530:氣體供應管 312,322,332,512,522,532:質量流量控制器(MFC) 314,324,334,514,524,534:閥 410,420,430:噴嘴 410a,420a,430a:氣體供應孔
圖1係本發明一實施形態的基板處理裝置中之直立式處理爐的概略縱剖圖。 圖2係圖1中的A-A線概略橫剖圖。 圖3係本發明一實施形態的基板處理裝置中之控制器的概略構成圖,即控制器的控制系統方塊圖。 圖4係本發明一實施形態的成膜流程圖。 圖5係本發明一實施形態的成膜流程之變形例之圖。 圖6係比較例與實施例中,在測試基板上所形成之TiN膜的表面粗糙度之比較圖。

Claims (20)

  1. 一種半導體裝置之製造方法,係包括有: (a)將已形成氧化膜的基板搬入已形成含金屬膜之處理室內的步驟; (b)朝上述處理室內,供應含有第14族元素與氫的氣體、或含氧氣體中之至少一者的步驟;以及 (c)在(b)之後於上述基板上形成上述含金屬膜的步驟。
  2. 如請求項1之半導體裝置之製造方法,其中,(b)係供應上述含第14族元素與氫之氣體,而在上述處理室的壁上形成含第14族元素之膜。
  3. 如請求項1之半導體裝置之製造方法,其中,上述含第14族元素與氫的氣體係SiH4 、Si2 H6 、Si3 H8 中之至少任一者。
  4. 如請求項2之半導體裝置之製造方法,其中,上述含第14族元素與氫的氣體係SiH4 、Si2 H6 、Si3 H8 中之至少任一者。
  5. 如請求項1之半導體裝置之製造方法,其中,上述含第14族元素與氫的氣體係GeH4 、Ge2 H6 、Ge3 H8 中之至少任一者。
  6. 如請求項1之半導體裝置之製造方法,其中,(b)係供應含氧氣體,而將在上述處理室的壁上所形成之上述含金屬膜改質為金屬氧化膜。
  7. 如請求項1之半導體裝置之製造方法,其中,(b)中之上述處理室內的壓力係較(c)中之上述處理室內的壓力低; (b)中所供應之氣體的流量係較(c)中所供應之氣體的流量少。
  8. 如請求項2之半導體裝置之製造方法,其中,(b)中之上述處理室內的壓力係較(c)中之上述處理室內的壓力低; (b)中所供應之氣體的流量係較(c)中所供應之氣體的流量少。
  9. 如請求項3之半導體裝置之製造方法,其中,(b)中之上述處理室內的壓力係較(c)中之上述處理室內的壓力低; (b)中所供應之氣體的流量係較(c)中所供應之氣體的流量少。
  10. 如請求項1之半導體裝置之製造方法,其中,(b)中之上述處理室內的溫度係較(c)中之上述處理室內的溫度高。
  11. 如請求項1之半導體裝置之製造方法,其中,在(b)之後才進行(a)與(c)。
  12. 如請求項1之半導體裝置之製造方法,其中,交錯重複進行(b)與(c)。
  13. 如請求項11之半導體裝置之製造方法,其中,交錯重複進行(b)與(c)。
  14. 如請求項1之半導體裝置之製造方法,其中,包括有: (d)對上述基板供應含金屬氣體的步驟;以及 (e)供應反應氣體的步驟;且 (c)係交錯重複進行(d)與(e),而在上述基板上形成含金屬膜。
  15. 如請求項11之半導體裝置之製造方法,其中,包括有: (d)對上述基板供應含金屬氣體的步驟;以及 (e)供應反應氣體的步驟;且 (c)係交錯重複進行(d)與(e),而在上述基板上形成含金屬膜。
  16. 如請求項12之半導體裝置之製造方法,其中,包括有: (d)對上述基板供應含金屬氣體的步驟;以及 (e)供應反應氣體的步驟;且 (c)係交錯重複進行(d)與(e),而在上述基板上形成含金屬膜。
  17. 如請求項1之半導體裝置之製造方法,其中,包括有(f):於(a)與(b)之間,依上述處理室內成為所需壓力的方式進行排氣,且依上述處理室內成為所需溫度分佈的方式進行加熱的步驟。
  18. 如請求項1之半導體裝置之製造方法,其中,(c)中所形成的上述含金屬膜係氮化鈦膜。
  19. 一種程式,係利用電腦使上述基板處理裝置執行下述程序: (a)將已形成氧化膜的基板,搬入基板處理裝置中已形成含金屬膜之處理室內的程序; (b)朝上述處理室內供應含有第14族元素與氫的氣體、或含氧氣體中之至少其中一者的程序; (c)在(b)之後,於上述基板上形成上述含金屬膜的程序。
  20. 一種基板處理裝置,係具備有: 處理室,其已形成含金屬膜; 搬送系統,其係將基板搬入上述處理室內; 氣體供應系統,其係朝上述處理室內,供應含有第14族元素與氫的氣體、或含氧氣體中之至少其中一者、或含金屬氣體; 排氣系統,其係對上述處理室內進行排氣;以及 控制部,其構成為可依下述方式對上述搬送系統、上述氣體供應系統及上述排氣系統進行控制:(a)將已形成氧化膜的基板搬入上述處理室內;(b)朝上述處理室內供應含有第14族元素與氫的氣體或含氧氣體後;(c)在上述基板上形成上述含金屬膜。
TW110103155A 2020-01-31 2021-01-28 基板處理方法、半導體裝置之製造方法、程式及基板處理裝置 TWI788771B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2020-014478 2020-01-31
JP2020014478A JP7101204B2 (ja) 2020-01-31 2020-01-31 半導体装置の製造方法、プログラム、基板処理装置及び基板処理方法

Publications (2)

Publication Number Publication Date
TW202136564A true TW202136564A (zh) 2021-10-01
TWI788771B TWI788771B (zh) 2023-01-01

Family

ID=77025311

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110103155A TWI788771B (zh) 2020-01-31 2021-01-28 基板處理方法、半導體裝置之製造方法、程式及基板處理裝置

Country Status (5)

Country Link
US (2) US20210242023A1 (zh)
JP (1) JP7101204B2 (zh)
KR (1) KR20210098345A (zh)
CN (1) CN113206001A (zh)
TW (1) TWI788771B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7236922B2 (ja) * 2019-04-26 2023-03-10 東京エレクトロン株式会社 熱処理装置、熱処理方法及び成膜方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100479283B1 (ko) * 2000-11-17 2005-03-28 동경 엘렉트론 주식회사 금속 막 형성 방법 및 반도체 제조 장치
JP2002217133A (ja) * 2000-12-25 2002-08-02 Applied Materials Inc バリアメタル膜の形成方法
JP2003221671A (ja) * 2002-01-30 2003-08-08 Tokyo Electron Ltd ガス処理方法
US6833322B2 (en) * 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
JP4720266B2 (ja) * 2005-04-08 2011-07-13 東京エレクトロン株式会社 成膜方法、成膜装置及びコンピュータプログラム
KR100794661B1 (ko) * 2006-08-18 2008-01-14 삼성전자주식회사 기판 처리 장치 및 그 장치의 세정 방법
JP2009249680A (ja) * 2008-04-04 2009-10-29 Seiko Epson Corp 成膜方法及び成膜装置
JP4647682B2 (ja) * 2008-11-12 2011-03-09 パナソニック株式会社 半導体装置及びその製造方法
JP2011066263A (ja) 2009-09-18 2011-03-31 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
US9533332B2 (en) * 2011-10-06 2017-01-03 Applied Materials, Inc. Methods for in-situ chamber clean utilized in an etching processing chamber
US9012277B2 (en) * 2012-01-09 2015-04-21 Globalfoundries Inc. In situ doping and diffusionless annealing of embedded stressor regions in PMOS and NMOS devices
JP2014075491A (ja) * 2012-10-04 2014-04-24 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
US11387232B2 (en) * 2017-03-23 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
JP6597740B2 (ja) * 2017-08-30 2019-10-30 東京エレクトロン株式会社 成膜方法及び成膜装置
CN207116483U (zh) 2017-09-06 2018-03-16 京东方科技集团股份有限公司 一种阵列基板及显示装置

Also Published As

Publication number Publication date
KR20210098345A (ko) 2021-08-10
JP2021121009A (ja) 2021-08-19
TWI788771B (zh) 2023-01-01
US20210242023A1 (en) 2021-08-05
JP7101204B2 (ja) 2022-07-14
CN113206001A (zh) 2021-08-03
US20240055259A1 (en) 2024-02-15

Similar Documents

Publication Publication Date Title
TWI693301B (zh) 半導體裝置之製造方法、基板處理裝置及記錄媒體
WO2020016914A1 (ja) 半導体装置の製造方法、基板処理装置及びプログラム
KR102660213B1 (ko) 반도체 장치의 제조 방법, 프로그램, 기판 처리 장치 및 기판 처리 방법
US11152215B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus and non-transitory computer-readable recording medium
US20240055259A1 (en) Method of manufacturing semiconductor device, non-transitory computer-readable recording medium and substrate processing apparatus
TWI790469B (zh) 基板處理方法、半導體裝置之製造方法、程式及基板處理裝置
TW202246563A (zh) 基板處理裝置、基板處理方法、半導體裝置之製造方法及程式
KR20230050451A (ko) 기판 처리 방법, 반도체 장치의 제조 방법, 프로그램 및 기판 처리 장치
TWI792478B (zh) 半導體裝置的製造方法,程式,基板處理裝置及基板處理方法
JP6639691B2 (ja) 半導体装置の製造方法、プログラムおよび基板処理装置
JP7273168B2 (ja) 基板処理方法、半導体装置の製造方法、プログラム及び基板処理装置
TWI830089B (zh) 基板處理方法、半導體裝置之製造方法、程式及基板處理裝置
TWI848304B (zh) 基板處理方法、基板處理裝置、程式及半導體裝置的製造方法
JP7539480B2 (ja) 基板処理方法、プログラム、基板処理装置及び半導体装置の製造方法
WO2023042386A1 (ja) 半導体装置の製造方法、基板処理装置、プログラム及びコーティング方法
JP7179962B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP2023023351A (ja) 半導体装置の製造方法、基板処理装置、プログラム及び基板処理方法
TW202217964A (zh) 半導體裝置之製造方法、記錄媒體及基板處理裝置
KR20230136556A (ko) 기판 처리 방법, 반도체 장치의 제조 방법, 프로그램 및 기판 처리 장치