TW202135208A - 經接合晶圓的重疊計量 - Google Patents

經接合晶圓的重疊計量 Download PDF

Info

Publication number
TW202135208A
TW202135208A TW110103404A TW110103404A TW202135208A TW 202135208 A TW202135208 A TW 202135208A TW 110103404 A TW110103404 A TW 110103404A TW 110103404 A TW110103404 A TW 110103404A TW 202135208 A TW202135208 A TW 202135208A
Authority
TW
Taiwan
Prior art keywords
light
wafer
measurement
illumination
target
Prior art date
Application number
TW110103404A
Other languages
English (en)
Inventor
桑卡 克里許南
大衛 Y 王
維爾 喬漢斯 D 迪
Original Assignee
美商科磊股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商科磊股份有限公司 filed Critical 美商科磊股份有限公司
Publication of TW202135208A publication Critical patent/TW202135208A/zh

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/25Colour; Spectral properties, i.e. comparison of effect of material on the light at two or more different wavelengths or wavelength bands
    • G01N21/27Colour; Spectral properties, i.e. comparison of effect of material on the light at two or more different wavelengths or wavelength bands using photo-electric detection ; circuits for computing concentration
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8806Specially adapted optical and illumination features
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8012Aligning
    • H01L2224/80121Active alignment, i.e. by apparatus steering, e.g. optical alignment using marks or sensors
    • H01L2224/80122Active alignment, i.e. by apparatus steering, e.g. optical alignment using marks or sensors by detecting inherent features of, or outside, the semiconductor or solid-state body
    • H01L2224/80125Bonding areas on the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Pathology (AREA)
  • Chemical & Material Sciences (AREA)
  • Immunology (AREA)
  • General Health & Medical Sciences (AREA)
  • Biochemistry (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)

Abstract

本發明揭示一種用於特性化由一第一晶圓及在一介面處接合之一第二晶圓形成之在該介面附近具有一計量目標之一樣本之計量系統,其可包含一計量工具及一控制器。該計量工具可包含一或多個照明源及用於將來自該一或多個照明源之照明引導至該計量目標之一照明子系統、一偵測器及用於收集來自該樣本之光之一集光子系統。所收集來自該樣本之該光可包含來自該計量目標之光及來自該第一晶圓之一頂表面之光,且該集光子系統可將來自該計量目標之該光引導至該偵測器。該控制器可執行程式指令,從而引起該一或多個處理器基於自該偵測器接收之資料產生與該樣本相關聯之一或多個參數之估計。

Description

經接合晶圓的重疊計量
本發明大體上係關於重疊計量且更特定言之,係關於經接合晶圓的重疊計量。
對於半導體裝置之實體密度之日益增加之需求已導致日益複雜之三維設計。達成三維設計之一個方法係在兩個單獨晶圓上製造結構且在介面附近將其等與結構接合在一起。此技術可促進複雜結構之整合,此係因為兩個晶圓可經單獨製造且在一後續程序中加以接合。
例如,一經接合晶圓方法可促進高密度三維記憶體裝置及對應控制電路之製造。三維記憶體裝置通常包含其中記憶體胞元之層經堆疊且藉由垂直通道連接之一垂直記憶體結構。使用傳統單晶圓製造技術,用於垂直記憶體結構之控制電路可製造在垂直記憶體結構下方或旁邊。然而,兩個方法具有實質缺點:將控制電路放置於垂直記憶體結構下方以複雜性及成本為代價達成高密度,而鄰近垂直記憶體結構放置控制電路達成低製造複雜性且以密度為代價。相比之下,一經接合晶圓製造技術可實現在一個晶圓上製造垂直記憶體結構且在另一晶圓上製造控制電路,其中藉由將兩個晶圓接合在一起而將垂直記憶體結構及控制電路整合在一起。經接合晶圓製造技術可應用至廣泛多種半導體裝置。
無關於應用,經接合晶圓製造技術需要兩個晶圓之相對對準或重疊之嚴格控制。因此,可期望提供用於準確地量測經接合晶圓之重疊之系統及方法。
根據本發明之一或多項闡釋性實施例,揭示一種計量系統。在一項闡釋性實施例中,該系統包含一計量工具。在另一闡釋性實施例中,該計量工具包含一或多個照明源。在另一闡釋性實施例中,該計量工具包含用於以一或多個選定入射角將來自該一或多個照明源之照明引導至一樣本上之一計量目標之一照明子系統,其中該樣本由一第一晶圓及在一介面處接合至該第一晶圓之一第二晶圓形成,且其中該計量目標定位於該介面處。在另一闡釋性實施例中,來自該一或多個照明源之該照明傳播通過該第一晶圓以到達該計量目標。在另一闡釋性實施例中,該計量工具包含用於收集來自該樣本之光之一集光子系統,其中所收集來自該樣本之該光包含來自該計量目標之光及來自該第一晶圓之一頂表面之光,且其中該集光子系統將來自該計量目標之該光引導至該偵測器。在另一闡釋性實施例中,該系統包含通信地耦合至該集光子系統之一控制器。在另一闡釋性實施例中,該控制器基於自該偵測器接收之資料產生與該樣本相關聯之一或多個參數之估計。
根據本發明之一或多項闡釋性實施例,揭示一種計量方法。在一項闡釋性實施例中,該方法包含使用來自一或多個照明源之照明以一或多個選定入射角照明一樣本上之一計量目標,其中該樣本由一第一晶圓及在一介面處接合至該第一晶圓之一第二晶圓形成,且其中該計量目標定位於該介面處。在另一闡釋性實施例中,來自該一或多個照明源之該照明傳播通過該第一晶圓以到達該計量目標。在另一闡釋性實施例中,該方法包含回應於來自該一或多個照明源之該照明收集來自該樣本之光,其中所收集來自該樣本之該光包含來自該計量目標之光及來自該第一晶圓之一頂表面之光,且其中將來自該計量目標之該光引導至偵測器。在另一闡釋性實施例中,該方法包含基於自該偵測器接收之資料產生與該樣本相關聯之一或多個參數之估計。
根據本發明之一或多項闡釋性實施例,揭示一種計量系統。在一項闡釋性實施例中,該系統包含一或多個照明源。在另一闡釋性實施例中,該系統包含一或多個偵測器。在另一闡釋性實施例中,該系統包含用於使用一橢偏儀照明子系統以一第一組一或多個選定入射角將來自該一或多個照明源之照明引導至一樣本上之一計量目標之一橢偏儀,其中該樣本由一第一晶圓及在一介面處接合至該第一晶圓之一第二晶圓形成,且其中該計量目標定位於該介面處。在另一闡釋性實施例中,來自該一或多個照明源之該照明傳播通過該第一晶圓以到達該計量目標。在另一闡釋性實施例中,該橢偏儀進一步使用一橢偏儀集光子系統將自該計量目標反射之光引導至該一或多個偵測器之至少一者以產生橢偏量測信號。在另一闡釋性實施例中,該系統包含用於使用一反射計照明子系統以一第二組一或多個選定入射角將來自該一或多個照明源之照明引導至該計量目標之一反射計。在另一闡釋性實施例中,該反射計進一步經組態以使用一反射計集光子系統將自該計量目標反射之光引導至該一或多個偵測器之至少一者以產生反射量測信號。在另一闡釋性實施例中,該系統包含通信地耦合至該橢偏儀及該反射計之一控制器。在另一闡釋性實施例中,該控制器基於該等橢偏量測信號及該等反射量測信號產生與該樣本相關聯之一或多個參數之估計。
應理解,前文概述及下文詳細描述兩者僅係例示性及說明性的且未必限制如主張之本發明。併入本說明書中且構成本說明書之一部分之隨附圖式繪示本發明之實施例且與概述一起用於解釋本發明之原理。
相關申請案之交叉參考
本申請案根據35 U.S.C. § 119(e)規定主張2020年1月30日申請之美國臨時申請案第62/967,957號及2020年6月9日申請之美國臨時申請案第63/036,834號之權利,該兩個案之全文以引用的方式併入本文中。
現將詳細參考在隨附圖式中繪示之所揭示標的物。已關於某些實施例及其等之特定特徵特別展示且描述本發明。將本文中闡述之實施例視為闡釋性而非限制性。一般技術者將容易瞭解,可做出形式及細節上之各種改變及修改而不脫離本發明之精神及範疇。
本發明之實施例係關於使用橢偏量測及/或反射量測來量測經接合晶圓之間之配準誤差(例如,重疊量測)。用於量測一經接合晶圓樣本之配準誤差之一重疊計量目標可形成於兩個經接合晶圓之間之一介面處,其中重疊目標包含在各晶圓之一或多個層上在介面附近之週期性結構。在一項實施例中,藉由使光傳播通過晶圓之一者以到達介面且收集透過晶圓傳播回之自介面反射之光而詢問介面處之一重疊計量目標。
如貫穿本發明使用,術語晶圓旨在廣泛地指代具有適用於與另一材料接合之一平坦表面之一材料。在此方面,術語晶圓及樣本件可互換使用。術語樣本及經接合晶圓樣本亦可互換使用。此外,一晶圓或樣本件可由包含半導體或非半導體材料(諸如(但不限於)單晶矽、砷化鎵及磷化銦)之任何材料形成。一晶圓或樣本件可包含一或多個層。例如,此等層可包含(但不限於)一抗蝕劑(包含一光阻劑)、一介電材料、一導電材料及一半導電材料。許多不同類型之此等層在此項技術中已知,且如本文中使用之術語樣本旨在涵蓋其上可形成全部類型之此等層之一樣本。形成於一樣本上之一或多個層可經圖案化或未經圖案化。例如,一樣本可包含各具有可重複圖案化特徵之複數個晶粒。此等材料層之形成及處理最終可導致成品裝置。許多不同類型之裝置可形成於一經接合晶圓樣本上,且如本文中使用之術語樣本旨在涵蓋在其上製造此項技術中已知之任何類型之裝置之一樣本。此外,為了本發明之目的,術語樣本及晶圓應被解釋為可互換的。
本文中經審慎考慮,在兩個經接合晶圓之間之一介面處之一重疊目標可提出重疊量測之若干挑戰。例如,一光學量測技術將需要光傳播通過構成晶圓(本文中稱為頂部及底部晶圓)之一者或兩者。因此,光之波長可受晶圓及任何其他經沈積層之吸收光譜限制。半導體晶圓通常吸收紫外及可見光使得重疊量測可限於可以最小(或至少可接受)損耗傳播通過樣本之紅外波長。另外,使用任何波長之光之量測可導致在各種表面(例如,頂部及底部晶圓之表面)處之可將雜訊引入至量測之鏡面反射及/或散射。
本文中經進一步審慎考慮,使用偏軸光對一經接合晶圓樣本之照明(例如,以相對於一表面法線之傾斜角之照明)可導致自樣本中之不同深度處之不同介面或表面反射之光之一空間分離。因此,可將來自兩個晶圓之介面處之重疊目標之光與相關聯於來自其他介面或表面之反射之光分離或以其他方式隔離。
在一項實施例中,隔離來自介面處之重疊目標之光以增加重疊量測之準確度及/或靈敏度。例如,一計量系統之集光光學器件可包含用於將自介面處之一重疊目標反射之光與自其他表面(包含(但不限於)經接合晶圓表面之頂表面或底表面)反射之光分離之一或多個柱面透鏡。
本文中經進一步審慎考慮,重疊量測可係基於任何類型之橢偏量測或反射量測技術,包含(但不限於)具有一或多個照明角之光譜橢偏量測或反射量測、單波長橢偏量測或反射量測、角解析橢偏量測或反射量測或基於穆勒(Mueller)矩陣之技術。
現參考圖1至圖7,揭示根據本發明之一或多項實施例之用於一經接合晶圓樣本之重疊量測之系統及方法。
圖1A係繪示根據本發明之一或多項實施例之一半導體裝置製造系統100之一概念視圖。在一項實施例中,系統100包含經組態以特性化一經接合晶圓樣本104之一或多個性質之一計量工具102。在另一實施例中,系統100包含通信地耦合至計量工具102之一控制器106。在另一實施例中,控制器106包含經組態以執行維持於一記憶體媒體110或記憶體上之程式指令之一或多個處理器108。一控制器106之一或多個處理器108可包含此項技術中已知之任何處理元件。在此意義上,一或多個處理器108可包含經組態以執行演算法及/或指令之任何微處理器類型裝置。此外,記憶體媒體110可包含此項技術中已知之適用於儲存可由相關聯之一或多個處理器108執行之程式指令之任何儲存媒體。例如,記憶體媒體110可包含一非暫時性記憶體媒體。作為一額外實例,記憶體媒體110可包含(但不限於)一唯讀記憶體(ROM)、一隨機存取記憶體(RAM)、一磁性或光學記憶體裝置(例如,磁碟)、一磁帶、一固態硬碟及類似者。應進一步注意,記憶體媒體110可與一或多個處理器108一起容置於一共同控制器外殼中。
在此方面,控制器106之一或多個處理器108可執行貫穿本發明描述之各種程序步驟之任何者。例如,控制器106之一或多個處理器108可自計量工具102 (例如,自計量工具102內之一偵測器)接收資料且產生與經接合晶圓樣本104相關聯之一或多個參數之估計(例如,量測)。一或多個參數之估計可包含一經接合晶圓樣本104或其之一部分之任何參數或性質之估計。例如,處理器108可產生一經接合晶圓樣本104之兩個晶圓之間之重疊或重疊誤差之估計。在另一例項中,處理器108可接收、產生及/或實施一經接合晶圓樣本104中之多層膜堆疊之一電磁分析器(EMA)分析以產生膜堆疊之一或多個層之性質之估計,諸如(但不限於)厚度、組合物或折射率。
圖1B係根據本發明之一或多項實施例之提供傾斜入射角之一計量工具102之一概念視圖。例如,圖1B中繪示之計量工具102可包含此項技術中已知之利用適用於提供與一經接合晶圓樣本104上之計量目標相關聯之計量信號之傾斜入射角之任何類型之光譜橢偏量測計量系統。
在一項實施例中,計量工具102包含用於產生照明(例如,一或多個照明光束114)之至少一個照明源112。一照明光束114可包含對於經接合晶圓樣本104之一或多個晶圓透明之光之一或多個選定波長。例如,照明源112可提供(但不需要提供)具有在近似150 nm至近似2,800 nm之範圍中之波長之一照明光束114。在此方面,照明源112可產生具有在任何光譜範圍中之波長(包含(但不限於)真空紫外波長、極紫外波長、可見波長或紅外波長)之照明。例如,照明源112可提供跨越可見波長及紅外波長(諸如(但不限於) 750 nm至2,800 nm)之一照明光束。藉由另一實例,照明源112可提供跨越紫外波長(諸如(但不限於) 150 nm至300 nm)之一照明光束。藉由另一實例,照明源112可提供跨越紫外波長及可見波長(諸如(但不限於) 150 nm至900 nm)之一照明光束。此外,計量工具102可包含用於在(若干)任何光譜範圍中提供照明之任何數目個照明源112。在此方面,照明源112。
在另一實施例中,照明源112提供一可調諧照明源(例如,一或多個可調諧雷射及類似者)。藉由另一實例,照明源112可包含耦合至一可調諧濾波器之一寬頻照明源。
照明源112可包含(但不限於)一或多個窄頻雷射源、一或多個寬頻雷射源、一或多個超連續雷射源、一或多個白光雷射源及類似者。在此方面,照明源112可提供具有高相干性(例如,高空間相干性及/或時間相干性)之一照明光束114。在另一實施例中,照明源112包含一燈源。例如,照明源112可包含(但不限於)一雷射維持電漿(LSP)源、一弧光燈、一放電燈、一無電極燈及類似者。在此方面,照明源112可提供具有低相干性(例如,低空間相干性及/或時間相干性)之一照明光束114。
照明源112可進一步提供具有任何時間輪廓之一照明光束114。例如,照明光束114可具有一連續時間輪廓、一經調變時間輪廓、一脈衝時間輪廓及類似者。
在另一實施例中,計量工具102包含用於將照明(例如,一或多個照明光束114)自照明源112引導至經接合晶圓樣本104之一照明子系統114 (例如,一照明路徑)及用於收集自樣本104發出之輻射(例如,光)之一集光子系統118 (例如,集光路徑)。照明子系統116可包含適用於修改及/或調節照明之一或多個光束調節組件120。例如,一或多個光束調節組件120可包含(但不限於)一照明孔徑光闌、一照明場光闌、一或多個偏光器、一或多個補償器、一或多個濾波器、一或多個光束分離器、一或多個擴散器、一或多個均質器、一或多個變跡器、一或多個光束塑形器、一或多個鏡或一或多個透鏡。
在另一實施例中,照明子系統116可利用一聚焦總成122以將來自一或多個照明源112之照明聚焦至安置於一樣本載物台124上之經接合晶圓樣本104上。例如,聚焦總成122可包含具有一非零光學功率之一或多個光學元件。在另一實施例中,集光子系統118可包含用於收集來自經接合晶圓樣本104輻射之一集光總成126。例如,集光總成126可包含具有一非零光學功率之一或多個光學元件。
在另一實施例中,計量工具102包含經組態以透過集光子系統118擷取自經接合晶圓樣本104發出之光(例如,樣本光130)之一偵測器128。例如,一偵測器128可接收(例如,經由鏡面反射、漫反射及類似者)自經接合晶圓樣本104反射或散射之輻射。藉由另一實例,一偵測器128可接收由經接合晶圓樣本104產生之輻射(例如,與照明光束114之吸收相關聯之發光及類似者)。藉由另一實例,一偵測器128可自經接合晶圓樣本104接收輻射之一或多個繞射級(例如,0級繞射、±1級繞射、±2級繞射及類似者)。
偵測器128可包含此項技術中已知之適用於量測自經接合晶圓樣本104接收之照明之任何類型之光學偵測器。例如,一偵測器128可包含(但不限於)一光電二極體陣列(PDA)、一CCD偵測器、一CMOS偵測器、一TDI偵測器、一光電倍增管(PMT)、一崩潰光電二極體(APD)及類似者。此外,偵測器128可包含具有任何幾何形狀之任何類型之感測器,包含(但不限於)一平面感測器或一線感測器。在另一實施例中,一偵測器128可包含適用於識別自經接合晶圓樣本104發出之輻射之波長之一光譜偵測器。
針對涉及光譜資料之收集之量測技術(例如,光譜反射量測、光譜橢偏量測或類似者),可期望在一所關注光譜範圍內產生連續光譜資料。例如,計量工具102可包含用於將來自計量目標之光空間上分散至一或多個偵測器128上以擷取一空間量測之一色散元件(例如,一稜鏡、一光柵或類似者)。然而,本文中應認知,一特定偵測器128之靈敏度可依據波長而變化。因此,偵測器128可需要校準以考量靈敏度依據波長之變動。
除校準之外或代替校準,系統100可包含經組態以提供跨波長之一選定範圍之一連續光譜量測之一或多個偵測器128,該等波長係使用一或多個色散元件(諸如(但不限於)光柵或稜鏡)跨一或多個偵測器128空間上分佈(例如,作為波長之一選定空間分佈)。在一項實施例中,偵測器128包含具有對於組裝至一單一感測器封裝中之不同光譜區域之最佳化靈敏度之一「混合」感測器(例如,對於紅外波長之一混合InGaAs感測器)。在此方面,混合感測器可產生涵蓋波長之一大範圍之光譜資料之一單一連續量測。作為一非限制性實例,此一混合感測器可產生涵蓋波長之一選定範圍(諸如(但不限於)自950 nm至2800 nm之波長)之光譜資料之一連續量測。在另一實施例中,偵測器128可由在一晶片上以使得一連續光譜可自晶片導出之一方式組裝之兩個或更多個感測器形成。在此方面,兩個或更多個感測器可沿著波長之空間分佈定向。此外,情況可係可需要校準偵測器128之任何組態以便考量偵測器128 (或其部分)之靈敏度跨波長跨偵測器128之空間分佈依據波長之任何變動。例如,偵測器128可包含具有跨波長之選定空間分佈之經校準靈敏度之一單一感測器。藉由另一實例,偵測器128可包含具有跨波長之選定空間分佈之經校準靈敏度之兩個或更多個感測器。
在另一實施例中,計量工具102可包含用於促進藉由計量工具102之多個計量量測之多個偵測器128。在此方面,圖1B中描繪之計量工具102可執行多個同時計量量測。
集光子系統118可進一步包含用於引導及/或修改由集光總成126收集之照明之任何數目個集光光束調節元件132,包含(但不限於)一收集孔徑光闌、一收集場光闌、一或多個鏡、一或多個透鏡、一或多個濾波器、一或多個偏光器或一或多個補償器。
本文中經審慎考慮,經組態為一光譜橢偏儀之一計量工具102可以任何選定入射角(AOI)且以自0至360度之任何選定方位角照明經接合晶圓樣本104。此外,光譜橢偏儀可以入射角及方位角之各種組合提供一系列量測。在一項實施例中,計量工具102經組態以依相對於一經接合晶圓樣本104之一表面法線大於近似35度之一或多個入射角照明經接合晶圓樣本104上之計量目標。
圖1C係繪示根據本發明之一或多項實施例之提供近法向入射角之一計量工具102之一概念視圖。例如,圖1C中繪示之計量工具102可包含此項技術中已知之利用適用於提供與一經接合晶圓樣本104上之計量目標相關聯之計量信號之近法向入射角之任何類型之光譜反射量測計量系統。
在一項實施例中,計量工具102包含經定向使得一物鏡136可同時將來自一或多個照明源112之照明(例如,一或多個照明光束114)引導至經接合晶圓樣本104且收集來自經接合晶圓樣本104反射之輻射之一光束分離器134。
本文中經審慎考慮,經組態為一光譜反射計之一計量工具102可以任何選定入射角(AOI)且以自0度至360度之任何選定方位角照明經接合晶圓樣本104。此外,光譜橢偏儀可以入射角及方位角之各種組合提供一系列量測。在一項實施例中,計量工具102經組態以依相對於一經接合晶圓樣本104之一表面法線在自近似5度至40度之範圍中之一或多個入射角照明經接合晶圓樣本104上之計量目標。
在一項實施例中,計量工具102經組態以提供指示呈一或多個量測組態(例如,一或多個波長、一或多個入射角、一或多個方位角或類似者)之一計量目標之一或多個光學性質(例如,一或多個色散參數及類似者)之信號。例如,計量工具102可包含(但不限於)一光譜儀、一光譜反射計、一偏光反射計、用於(例如,使用旋轉補償器)量測穆勒矩陣元素之一光譜反射計、一單波長反射計、一角解析反射計(例如,一光束輪廓反射計)、一成像系統、一光瞳成像系統、一光譜成像系統或一散射計。在一項實施例中,計量工具102包含用於基於一經接合晶圓樣本104之一或多個影像之產生量測計量資料之一基於影像之計量工具。
現大體上參考圖1B及圖1C,本文中應注意,計量工具102可使用各種技術促進經接合晶圓樣本104及/或一個以上照明源112 (例如,耦合至一或多個額外偵測器128)之多角度照明。例如,在圖1B之組態中,一或多個光學組件可安裝至繞經接合晶圓樣本104樞轉之一可旋轉臂(未展示),使得照明光束114在經接合晶圓樣本104上之入射角可藉由可旋轉臂之位置控制。藉由另一實例,在圖1C之組態中,照明光束114通過光束分離器134及物鏡136之路徑可經調整以控制照明光束114在經接合晶圓樣本104上之入射角。在此方面,照明光束114可具有通過光束分離器134及物鏡136使得照明光束114在經接合晶圓樣本104上具有一法向入射角之一標稱路徑。此外,可藉由(例如,憑藉可旋轉鏡、一空間光調變器、一自由形式照明源或類似者)修改照明光束114在光束分離器134上之位置及/或角度而控制照明光束114在經接合晶圓樣本104上之入射角。
在一些實施例中,計量工具102經組態以提供用於照明及/或集光之一偏軸幾何形狀。在一項實施例中,計量工具102經組態以使用傾斜照明來照明重疊目標。例如,可基於用於控制照明角之上文技術之任何者調整照明角。藉由另一實例,物鏡136可經組態以提供傾斜照明。例如,物鏡136可包含(但不限於)一史瓦西(Schwarzschild)物鏡。在另一實施例中,計量工具102經組態以依法向入射照明經接合晶圓樣本104且以傾斜角度收集來自重疊目標之光。例如,集光子系統118可具有在一孔徑光闌(或與孔徑光闌共軛之一平面)處或附近之一中心遮擋。
現參考圖2,展示根據本發明之一或多項實施例之在一經接合晶圓樣本104之兩個晶圓之間之一介面204處之一重疊目標202之一輪廓視圖。在一項實施例中,一經接合晶圓樣本104包含一第一晶圓206a (例如,一頂部晶圓)及一第二晶圓206b (例如,一底部晶圓),其中重疊目標202包含定位於兩個晶圓上之目標特徵。例如,圖2中繪示之重疊目標202包含在第一晶圓206a上之一組第一晶圓目標元件208及在第二晶圓206b上之一組第二晶圓目標元件210。在此方面,第一晶圓目標元件208相對於第二晶圓目標元件210之對準指示第一晶圓206a相對於第二晶圓206b之對準及因此經接合晶圓樣本104之重疊。
第一晶圓目標元件208及第二晶圓目標元件210可定位於第一晶圓206a及第二晶圓206b之任何層上。例如,第一晶圓206a及/或第二晶圓206b可包含沈積於具有恆定或變動厚度之一基板上之一或多個材料層(可自其等形成目標元件)。此外,第一晶圓目標元件208及第二晶圓目標元件210可由任何類型之材料(包含(但不限於)一金屬)形成。
圖3A係根據本發明之一或多項實施例之由金屬目標元件形成之一重疊目標202之一輪廓視圖。在圖3A中繪示之實施例中,第一晶圓206a包含沈積於一775 µm厚基板304 (例如,矽晶圓)上之一8 µm SiO2 層302,且第二晶圓206b包含沈積於一775 µm厚基板308 (例如,亦係矽晶圓)上之一2 µm SiO2 層306。重疊目標202接著由在介面204附近嵌入8 µm SiO2 層302及2 µm SiO2 層306中之100 nm厚金屬結構310形成。
在介面204處之重疊目標202可包含此項技術中已知之適用於特性化第一晶圓206a與第二晶圓206b之間之重疊之任何類型之重疊目標。在一項實施例中,重疊目標202包含一臨界尺寸(CD)重疊目標。圖3B係根據本發明之一或多項實施例之一CD重疊目標202之一重疊目標元件(例如,第一晶圓目標元件208或第二晶圓目標元件210)之一輪廓視圖。例如,圖3B中之重疊目標元件藉由尺寸CD1、CD2及CD3特性化。在另一實施例中,重疊目標202包含一基於繞射之重疊(DBO)目標。在此方面,重疊目標202可由週期性分佈元件形成,使得可基於自重疊目標202之一繞射圖案判定重疊。此外,第一晶圓目標元件208及第二晶圓目標元件210可具有相同或不同間距。例如,如圖3A中繪示,第一晶圓目標元件208可以一第一間距312分佈且第二晶圓目標元件210可以一第二間距314分佈。
然而,應理解,圖3A及圖3B中之重疊目標202之圖解僅係為了闡釋性目的提供且不應解譯為限制性。例如,雖然未展示,一經接合晶圓樣本104之一重疊目標202可包含在第一晶圓206a及/或第二晶圓206b之兩個或更多個層上之目標特徵。藉由另一實例,重疊目標202可係此項技術中已知之任何類型之重疊目標,包含(但不限於)一代理目標或一設計規則目標。一設計規則目標可包含按與相關聯於一經製造裝置之裝置特徵類似之一尺度之目標特徵。例如,在一設計規則目標上之目標特徵可具有與裝置特徵類似之尺寸、定向、週期性及/或密度。在此方面,目標特徵及裝置特徵之列印特性可實質上類似。相比之下,一代理目標可具有具備與裝置特徵實質上不同之尺度之目標特徵。在此方面,一代理目標可經設計以適應一特定重疊計量工具或重疊演算法。
再次參考圖2,本文中經審慎考慮,相對於一表面法線以一傾斜角照明一重疊目標202可通常導致沿著不同路徑自樣本發出之反射光。例如,一入射照明光束114可產生與自第一晶圓206a之頂表面214反射之光相關聯之一頂表面反射212 (
Figure 02_image001
)及與藉由介面204處之重疊目標202反射之光相關聯之目標反射光216 (
Figure 02_image003
)。圖4係繪示根據本發明之一或多項實施例之回應於一聚焦照明光束114之頂表面反射212 (
Figure 02_image005
)及目標反射光216 (
Figure 02_image003
)之光束路徑之一經模擬光線圖。在此模擬中,僅為了清晰起見呈現光譜反射且省略自重疊目標202之散射或繞射。
本文中經進一步審慎考慮,目標反射光216 (
Figure 02_image003
)含有與第一晶圓206a與第二晶圓206b之間之重疊之判定相關之資訊。因此,計量工具102可經組態以確保目標反射光216 (
Figure 02_image003
)經引導至偵測器128以實現第一晶圓206a與第二晶圓206b之間之重疊量測。
在一項實施例中,計量工具102 (例如,使用圖1B及圖1C中繪示之組態中之聚焦總成122及/或物鏡136)將照明(例如,至少一個照明光束114)聚焦或以其他方式引導至在第一晶圓206a與第二晶圓206b之間之介面204處之重疊目標202而非頂表面214。此外,計量工具102可在照明子系統116及/或集光子系統118中包含一或多個光學元件以確保目標反射光216 (
Figure 02_image003
)經引導至偵測器128,使得重疊目標202之所得量測可指示第一晶圓206a與第二晶圓206b之間之重疊。
在一些實施例中,計量工具102在照明子系統116及/或集光子系統118中包含光學元件以將目標反射光216 (
Figure 02_image003
)與頂表面反射212 (
Figure 02_image005
)隔離。在此方面,偵測器128僅擷取目標反射光216 (
Figure 02_image003
)。可使用在本發明之精神及範疇內之各種技術將目標反射光216 (
Figure 02_image007
)與頂表面反射212 (
Figure 02_image005
)隔離。
例如,計量工具102可在照明子系統116及/或集光子系統118中包含不對稱光學元件(諸如(但不限於)柱面或非球面元件)以將目標反射光216 (
Figure 02_image003
)與頂表面反射212 (
Figure 02_image005
)隔離。在此方面,目標反射光216 (
Figure 02_image003
)及頂表面反射212 (
Figure 02_image005
)可在不同空間位置處離開經接合晶圓樣本104且可進一步經聚焦或成像至不同位置。圖5係根據本發明之一或多項實施例之在照明子系統116及集光子系統118兩者中包含柱面透鏡502之一計量工具102之一概念視圖。在此組態中,第二晶圓目標元件210可經空間上隔離且經引導至偵測器128。
藉由另一實例,集光子系統118可包含用於收集或以其他方式接收目標反射光216 (
Figure 02_image003
)及頂表面反射212 (
Figure 02_image005
)之單獨光學元件。情況可係目標反射光216 (
Figure 02_image003
)及頂表面反射212 (
Figure 02_image005
)可具有足夠不同使得其等可在空間上分離之光學路徑。因此,目標反射光216 (
Figure 02_image003
)可使用專屬光學元件單獨收集且引導(例如,傳遞)至偵測器128。頂表面反射212 (
Figure 02_image005
)可接著經單獨收集或捨棄(例如,阻擋、重導引或類似者)。在一個例項中,頂表面反射212 (
Figure 02_image005
)可藉由一場光闌(例如,定位於與經接合晶圓樣本104共軛之一平面處之一光闌、一光束擋塊或類似者)阻擋。在另一例項中,頂表面反射212 (
Figure 02_image005
)可經單獨收集且引導至一偵測器128。在此方面,頂表面反射212 (
Figure 02_image005
)可自身經監測或用於計量。此外,集光子系統118可包含用於接收目標反射光216 (
Figure 02_image003
)及/或頂表面反射212 (
Figure 02_image005
)之自由空間或光纖耦合光學元件之任何組合。
藉由另一實例,集光子系統118可包含用於將目標反射光216 (
Figure 02_image003
)及頂表面反射212 (
Figure 02_image005
)沿著一共同(例如,同置)光學路徑對準之一或多個光學元件。在此方面,偵測器128可擷取目標反射光216 (
Figure 02_image003
)及頂表面反射212 (
Figure 02_image005
)兩者。雖然目標反射光216 (
Figure 02_image003
)及頂表面反射212 (
Figure 02_image005
)可通常如圖2及圖4中繪示般具有不同路徑,但情況可係光學路徑之間之差異(例如,光自經接合晶圓樣本104發出之角度之間之差異)足夠小使得將目標反射光216 (
Figure 02_image003
)與頂表面反射212 (
Figure 02_image005
)分離不切實際。在此情況中,目標反射光216 (
Figure 02_image012
)及頂表面反射212 (
Figure 02_image005
)可在偵測器128上在空間上重疊。此外,集光子系統118可包含用於將目標反射光216 (
Figure 02_image003
)及頂表面反射212 (
Figure 02_image005
)沿著一共同光學路徑對準之任何類型之光學元件,包含(但不限於)一或多個稜鏡或一或多個鏡。
若目標反射光216 (
Figure 02_image003
)及頂表面反射212 (
Figure 02_image005
)在偵測器128上同置,則可(但不需要)在演算法上隔離目標反射光216 (
Figure 02_image012
)及頂表面反射212 (
Figure 02_image005
)之貢獻。例如,可基於空間及/或光譜特性之差異在演算法上將目標反射光216 (
Figure 02_image003
)與頂表面反射212 (
Figure 02_image005
)隔離。特定言之,目標反射光216 (
Figure 02_image003
)可基於與重疊目標202之互動及透過第一晶圓206a之傳播而與頂表面反射212 (
Figure 02_image005
)不同。
圖6係根據本發明之一或多項實施例之對應於依據使用傾斜照明產生之波長而變化之自重疊目標202反射之光(例如,目標反射光216 (
Figure 02_image003
))及自一矽接合晶圓樣本之頂表面反射之光(例如,頂表面反射212 (
Figure 02_image005
))之量之經量測信號之一曲線圖。此資料繪示單獨量測目標反射光216 (
Figure 02_image003
)及頂表面反射212 (
Figure 02_image005
)之可行性。例如,圖6繪示針對高於近似1100 nm之波長(對應於透過矽之透射之截止波長)之目標反射光216 (
Figure 02_image003
)之開始。此外,目標反射光216 (
Figure 02_image003
)包含不同於頂表面反射212 (
Figure 02_image005
)之指示第一晶圓206a與第二晶圓206b之間之重疊之各種光譜特徵。
現參考圖7,更詳細描述用於基於傾斜照明判定第一晶圓206a與第二晶圓206b之間之重疊之技術。
在一般意義上,此項技術中已知之適用於基於在第一晶圓206a與第二晶圓206b之間之介面204處之重疊目標202之傾斜照明判定重疊之任何計量演算法。
一重疊目標202可通常包含放置於半導體晶圓上以供(例如,搭配對準、重疊配準操作及類似者)使用之目標設計。此外,目標可定位於半導體晶圓上之多個位點處。例如,目標可定位於刻劃道內(例如,晶粒之間)及/或定位於晶粒自身中。多個重疊目標202可藉由相同或多個計量工具同時或連續量測,如2009年1月13日發佈之美國專利第7,478,019號中描述,該專利之全文以引用的方式併入本文中。
如本文中先前描述,本發明之實施例可併入此項技術中已知之任何類型之計量工具102,包含(但不限於)具有一或多個照明角之一光譜橢偏儀、用於(例如,使用旋轉補償器)量測穆勒矩陣元素之一光譜橢偏儀、一單波長橢偏儀、一角解析橢偏儀(例如,一光束輪廓橢偏儀)、一光譜反射計、一偏光反射計、用於(例如,使用旋轉補償器)量測穆勒矩陣元素之一光譜反射計、一單波長反射計、一角解析反射計(例如,一光束輪廓反射計)、一成像系統、一光瞳成像系統、一光譜成像系統或一散射計。
因此,計量工具102可量測一重疊目標202之特性,諸如(但不限於)臨界尺寸(CD)、重疊、側壁角、膜厚度或程序相關參數(例如,焦點、劑量及類似者)。目標可包含在性質上為週期性之某些所關注區域,諸如(例如)一記憶體晶粒中之光柵。重疊目標202可進一步擁有各種空間特性且通常由一或多個胞元構成,該一或多個胞元可包含在一或多個層中可已在一或多個微影相異曝光中列印之特徵。重疊目標202或胞元可擁有各種對稱性,諸如雙重或四重旋轉對稱性、反射對稱性。在美國專利第6,985,618號中描述此等計量結構之實例,該案之全文以引用的方式包含於本文中。不同胞元或胞元之組合可屬於相異層或曝光步驟。個別胞元可包括經隔離非週期性特徵或替代地,其等可由一維、二維或三維週期性結構或非週期性結構及週期性結構之組合構成。週期性結構可未經分段或其等可由處於或接近用於列印其等之微影程序之最小設計規則之經精細分段特徵構成。
此外,計量系統100可包含一單一計量工具102或多個計量工具102。在2011年4月26日發佈之美國專利第7,933,026號及2009年1月13日發佈之美國專利第7,478,019號中大體上描述併入多個計量工具102之一計量系統100,該兩個專利之全文以引用的方式併入本文中。在1997年3月4日發佈之美國專利第5,608,526號中大體上描述基於主要反射光學器件之聚焦光束橢偏量測,該專利之全文以引用的方式併入本文中。在1999年1月12日發佈之美國專利第5,859,424號中大體上描述使用變跡器以緩解光學繞射之效應,從而引起照明光點擴散超出由幾何光學器件界定之大小,該專利之全文以引用的方式併入本文中。由2002年8月6日發佈之美國專利第6,429,943號大體上描述具有同時多入射角照明之高數值孔徑工具之使用,該專利之全文以引用的方式併入本文中。
在一系統100具有多個計量工具102之情況中,對應資料可(例如,使用多個偵測器128)同時產生或使用一或多個偵測器128依序產生。例如,計量工具102可包含任何類型之一反射量測工具及任何類型之一橢偏量測工具兩者。因此,計量工具102可產生反射量測資料及橢偏量測資料兩者以判定第一晶圓206a與第二晶圓206b之間之重疊。
另外,所關注參數之量測可涉及數個演算法。例如,一照明光束114與經接合晶圓樣本104上之一計量目標之光學相互作用可(但不限於)使用一電磁(EM)解算器模型化。此外,EM解算器可利用此項技術中已知之任何方法,包含(但不限於)嚴格耦合波分析(RCWA)、有限元素方法分析、矩量分析法、一表面積分方法、一體積積分方法或一有限差分時域分析。另外,經收集資料可使用資料擬合及最佳化技術(包含(但不限於)程式庫、快速降階模型、迴歸、機器學習演算法(諸如神經網路、支援向量機(SVM))、降維演算法(例如,主分量分析(PCA)、獨立分量分析(ICA)、局部線性嵌入(LLE)及類似者)、資料之稀疏表示(例如,傅立葉(Fourier)或小波變換、卡爾曼(Kalman)濾波器、用於促進來自相同或不同工具類型之匹配之演算法及類似者))分析。例如,資料收集及/或擬合可係(但不需要)藉由由KLA Corporation提供之信號回應計量(SRM)軟體產品執行。
可使用一幾何引擎或在一些情況中程序模型化引擎或兩者之一組合模型化(參數化)計量目標。在2020年9月8日發佈之美國專利第10,769,320號中大體上描述程序模型化之使用,該專利之全文以引用的方式併入本文中。一幾何引擎實施於(例如) KLA Corporation之AcuShape軟體產品中。在2017年8月22日發佈之美國專利第9,739,702號中大體上描述對稱目標設計在散射量測重疊計量中之使用,該專利之全文以引用的方式併入本文中。本文中應注意,由控制器執行之運算演算法可(但不需要)透過使用平行化、分佈式運算、負載平衡、多服務支援、運算硬體之設計及實施或動態負載最佳化針對計量應用定製。此外,演算法之各種實施方案可(但不需要)由控制器(例如,透過韌體、軟體或場可程式化閘陣列(FPGA)及類似者)或與計量工具相關聯之一或多個可程式化光學元件執行。在標題為「Integrated use of model-based metrology and a process model」且在2014年6月19日發表之美國專利公開案第2014/0172394號中描述程序模型化之使用,該案之全文以引用的方式併入本文中。
在另一實施例中,藉由一計量工具102產生之原始資料藉由不包含模型化、最佳化及/或擬合(例如,相位特性化或類似者)之演算法分析,其在2017年8月22日發佈之美國專利第9,739,702號、2017年2月28日發佈之美國專利第9,581,430號及2020年3月17日發佈之美國專利第10,591,406號中大體上描述,全部該等專利之全文以引用的方式併入本文中。
計量工具102可進一步量測經接合晶圓樣本104之一或多個層之組合物,量測經接合晶圓樣本104上(或內)之某些缺陷及/或量測曝露至經接合晶圓樣本104之光微影輻射之量。在一些情況中,計量工具102及/或待應用至自計量工具102導出之資料之相關聯量測演算法可經組態用於量測非週期性目標。例如,在2018年3月13日發佈之美國專利第9,915,522號及2016年3月22日發佈之美國專利第9,291,554號中大體上描述計量中之電磁模擬,該兩個專利之全文以引用的方式併入本文中。
圖7包含根據本發明之一或多項實施例之依據測試重疊目標202上之已知重疊誤差(例如,間距偏移)而變化之光譜橢偏量測(SE) α及β值之曲線圖702至708。特定言之,曲線圖702至708繪示在自1 nm至16 nm之範圍中之間距偏移之SE α及β值。
可使用此項技術中已知之任何技術判定第一晶圓206a與第二晶圓206b之間之重疊之一量測。如圖7中繪示,針對各量測條件,光譜信號之強度通常隨著重疊誤差之增加而增加。在一項實施例中,基於經量測信號之強度判定重疊誤差之量值。在圖7中繪示之特定實例中,系統100之靈敏度係近似5 nm至10 nm。在另一實施例中,使用基於模型之演算法(諸如(但不限於)用於提供經量測資料與重疊之間之一關係之一基於RCWA之演算法)判定重疊之量值及/或方向。在另一實施例中,使用一機器學習技術(諸如(但不限於)用於提供經量測資料與重疊之間之一關係之一神經網路技術)判定重疊之量值及/或方向。例如,可量測具有已知重疊量測之一系列樣本以提供一機器學習演算法之訓練資料。因此,在訓練機器學習演算法之後,機器學習演算法可基於具有未知重疊之樣本之量測而提供重疊之一判定。
圖8係繪示根據本發明之一或多項實施例之在一計量方法800中執行之步驟之一流程圖。申請者應注意,本文中在系統100之背景內容中先前描述之實施例及實現技術應解譯為延伸至方法800。然而,應進一步注意,方法800不限於系統100之架構。
在一項實施例中,方法800包含使用來自一或多個照明源之照明以一或多個選定入射角照明一樣本上之一計量目標之一步驟802,其中樣本由一第一晶圓及在一介面處接合至第一晶圓之一第二晶圓形成,且其中計量目標定位於介面處。
在另一實施例中,方法800包含回應於來自一或多個照明源之照明收集來自樣本之光之一步驟804,其中所收集來自樣本之光包含來自計量目標之光及來自第一晶圓之一頂表面之光,且其中將來自計量目標之光引導至偵測器。例如,步驟804可包含將來自計量目標之光與來自樣本之頂部之光隔離。在此方面,僅可擷取來自計量目標之光。藉由另一實例,步驟804可包含將來自計量目標之光與來自樣本之頂部之光對準。此外,可在演算法上隔離來自計量目標之光。例如,可基於已知參數(諸如(但不限於)照明光之波長、一照明角、第一晶圓之一厚度、第一晶圓之一透射率或計量目標之一設計)隔離來自計量目標之光。
在另一實施例中,方法800包含(例如,使用控制器106之處理器108)基於自偵測器接收之資料產生與樣本相關聯之一或多個參數之估計之一步驟806。例如,一或多個參數可包含(但不限於)經接合晶圓樣本104之兩個晶圓之重疊。在此實施例中,可基於反射量測及/或橢偏量測技術之任何組合判定重疊誤差。此外,可(例如,使用RCWA技術或類似者)基於樣本中之光相互作用之模型化判定重疊誤差。在一些例項中,可基於其中來自具有已知偏移之目標之經量測信號用作訓練資料之機器學習演算法判定重疊誤差。
熟習此項技術者將認知,為概念清楚起見,將本文中描述之組件、操作、裝置、物件及伴隨其等之論述用作實例,且經審慎考慮各種組態修改。因此,如本文中所使用,所闡述之特定範例及隨附論述意欲表示其等更一般類別。一般言之,使用任何特定範例意欲表示其類別,且未包含特定組件、操作、裝置及物件不應被視為限制性的。
如本文中所使用,諸如「頂部」、「底部」、「上方」、「下方」、「上」、「向上」、「下」、「下面」及「向下」之方向性術語意欲為描述之目的而提供相對位置,且並不意欲指定一絕對參考系。熟習此項技術者將明白對所描述實施例之各種修改,且本文中定義之一般原理可應用於其他實施例。
關於本文中所使用之實質上任何複數及/或單數術語,熟習此項技術者可根據背景內容及/或應用來將複數轉化成單數及/或將單數轉化成複數。為清楚起見,本文中未明確闡述各種單數/複數排列。
本文中描述之標的物有時繪示其他組件內含有或與其他組件連接之不同組件。應理解,此等所描繪之架構僅僅係例示性,且事實上可實施達成相同功能性之許多其他架構。在一概念意義上,用以達成相同功能性之組件之任何配置有效「相關聯」使得達成所要功能性。因此,在本文中組合以達成一特定功能性之任何兩個組件可被視為彼此「相關聯」使得達成所要功能性而不考慮架構或中間組件。同樣地,如此相關聯之任何兩個組件亦可被視為彼此「連接」或「耦合」以達成所要功能性,且能夠如此相關聯之任何兩個組件亦可被視為彼此「可耦合」以達成所要功能性。可耦合之特定實例包含(但不限於)可實體配合及/或實體互動組件及/或可無線互動及/或無線互動組件及/或邏輯互動及/或可邏輯互動組件。
此外,應理解,本發明由隨附發明申請專利範圍界定。熟習此項技術者將理解,一般言之,本文中所使用之術語且尤其隨附發明申請專利範圍(例如,隨附發明申請專利範圍之主體)中所使用之術語一般意欲為「開放式」術語(例如,術語「包含(including)」應解譯為「包含但不限於」,術語「具有」應解譯為「至少具有」,術語「包括(includes)」應解譯為「包括但不限於」,及類似者)。熟習技術者應進一步瞭解,若想要一引入請求項敘述之一特定數目,則此一意圖將被明確敘述於請求項中,且若缺乏此敘述,則不存在此意圖。例如,作為理解之一輔助,以下隨附發明申請專利範圍可含有使用引導性片語「至少一個」及「一或多個」來引入請求項敘述。然而,此等片語之使用不應被解釋為隱含:由不定冠詞「一(a/an)」引入之一請求項敘述將含有此引入請求項敘述之任何特定請求項限制為僅含有此一敘述之發明,即使相同請求項包含引導性片語「一或多個」或「至少一個」及諸如「一(a/an)」之不定冠詞(例如,「一(a/an)」通常應被解譯為意指「至少一個」或「一或多個」);上述內容對用於引入請求項敘述之定冠詞之使用同樣適用。另外,即使明確敘述一引入請求項敘述之一特定數目,但熟習技術者亦應認知,此敘述通常應被解譯為意指至少該敘述數目(例如,「兩條敘述」之基本敘述(無其他修飾語)通常意指至少兩條敘述或兩條或兩條以上敘述)。此外,在其中使用類似於「A、B及C之至少一者及類似者」之一慣用表述的該等例項中,此一構造一般意指熟習技術者將理解之慣用表述意義(例如,「具有A、B及C之至少一者的一系統」將包含(但不限於)僅具有A、僅具有B、僅具有C、同時具有A及B、同時具有A及C、同時具有B及C及/或同時具有A、B及C之系統,等等)。在其中使用類似於「A、B或C之至少一者及類似者」之一慣用表述的該等例項中,此一構造一般意指熟習技術者將理解之慣用表述意義(例如,「具有A、B或C之至少一者的一系統」將包含(但不限於)僅具有A、僅具有B、僅具有C、同時具有A及B、同時具有A及C、同時具有B及C及/或同時具有A、B及C之系統,等等)。熟習技術者應進一步瞭解,無論在實施方式、發明申請專利範圍或圖式中,呈現兩個或更多個替代項之實際上任何轉折連詞及/或片語通常應被理解為涵蓋以下可能性:包含該等項之一者、該等項之任一者或兩項。例如,片語「A或B」通常將被理解為包含「A」或「B」或「A及B」之可能性。
據信本發明及許多其伴隨優點將藉由前述描述理解,且將明白,可對組件之形式、構造及配置做出多種改變而不脫離所揭示之標的物或不犧牲全部其材料優點。所描述之形式僅僅係解釋性,且以下發明申請專利範圍之意圖係涵蓋且包含此等改變。此外,應理解,本發明由隨附發明申請專利範圍界定。
100:半導體裝置製造系統 102:計量工具 104:經接合晶圓樣本 106:控制器 108:處理器 110:記憶體媒體 112:照明源 114:照明光束 116:照明子系統 118:集光子系統 120:光束調節組件 122:聚焦總成 124:樣本載物台 126:集光總成 128:偵測器 130:樣本光 132:集光光束調節元件 134:光束分離器 136:物鏡 202:重疊目標 204:介面 206a:第一晶圓 206b:第二晶圓 208:第一晶圓目標元件 210:第二晶圓目標元件 212:頂表面反射 214:頂表面 216:目標反射光 302:SiO2層 304:基板 306:SiO2層 308:基板 310:金屬結構 312:第一間距 314:第二間距 502:柱面透鏡 702:曲線圖 704:曲線圖 706:曲線圖 708:曲線圖 800:計量方法 802:步驟 804:步驟 806:步驟 CD1:尺寸 CD2:尺寸 CD3:尺寸
熟習此項技術者藉由參考附圖可更佳理解本發明之多個優點,其中: 圖1A係繪示根據本發明之一或多項實施例之一半導體裝置製造系統之一概念視圖。 圖1B係繪示根據本發明之一或多項實施例之提供傾斜入射角之一計量工具之一概念視圖。 圖1C係繪示根據本發明之一或多項實施例之提供近法向入射角之一計量工具之一概念視圖。 圖2係根據本發明之一或多項實施例之在一經接合晶圓樣本之兩個晶圓之間之一介面處之一重疊目標之一輪廓視圖。 圖3A係根據本發明之一或多項實施例之由金屬目標元件形成之一重疊目標之一輪廓視圖。 圖3B係根據本發明之一或多項實施例之一CD重疊目標之一重疊目標元件之一輪廓視圖。 圖4係繪示根據本發明之一或多項實施例之回應於一經聚焦照明光束之頂表面反射及目標產生光之光束路徑之一經模擬光線圖。 圖5係根據本發明之一或多項實施例之在一照明子系統及一集光子系統兩者中包含柱面透鏡之一計量工具之一概念視圖。 圖6係根據本發明之一或多項實施例之對應於依據使用傾斜照明產生之波長而變化之自重疊目標反射之光及自一矽接合晶圓樣本之頂表面反射之光之量之經量測信號之一曲線圖。 圖7包含根據本發明之一或多項實施例之依據測試重疊目標上之已知重疊誤差而變化之光譜橢偏量測(SE) α及β值之曲線圖。 圖8係繪示根據本發明之一或多項實施例之在一計量方法中執行之步驟之一流程圖。
102:計量工具
104:經接合晶圓樣本
106:控制器
108:處理器
110:記憶體媒體
112:照明源
114:照明光束
116:照明子系統
118:集光子系統
120:光束調節組件
122:聚焦總成
124:樣本載物台
126:集光總成
128:偵測器
130:樣本光
132:集光光束調節元件

Claims (39)

  1. 一種計量系統,其包括: 一計量工具,其包括: 一或多個照明源; 一照明子系統,其經組態以依一或多個選定入射角將來自該一或多個照明源之照明引導至一樣本上之一計量目標,其中該樣本係由一第一晶圓及在一介面處接合至該第一晶圓之一第二晶圓形成,其中該計量目標定位於該介面處,其中來自該一或多個照明源之該照明傳播通過該第一晶圓以到達該計量目標; 一偵測器; 一集光子系統,其經組態以收集來自該樣本之光,其中所收集來自該樣本之該光包含來自該計量目標之光及來自該第一晶圓之一頂表面之光,其中該集光子系統經組態以將來自該計量目標之該光引導至該偵測器;及 一控制器,其通信地耦合至該集光子系統,其中該控制器包含一或多個處理器,該一或多個處理器經組態以執行程式指令,從而引起該一或多個處理器基於自該偵測器接收之資料產生與該樣本相關聯之一或多個參數之估計。
  2. 如請求項1之計量系統,其中該照明子系統進一步經組態以依一或多個選定方位角將來自該照明源之該照明引導至該計量目標。
  3. 如請求項2之計量系統,其中該一或多個選定方位角包含在自0度至360度之範圍中之方位角。
  4. 如請求項1之計量系統,其中基於自該偵測器接收之資料產生與該樣本相關聯之一或多個參數之估計包括: 基於來自該計量目標之反射光產生指示該第一晶圓相對於該第二晶圓之對準之一重疊誤差之一估計。
  5. 如請求項4之計量系統,其中基於來自該計量目標之該反射光產生指示該第一晶圓相對於該第二晶圓之對準之一重疊誤差之一估計包括: 使用基於來自該計量目標之該反射光之一嚴格耦合波分析技術基於來自該計量目標之該反射光產生指示該第一晶圓相對於該第二晶圓之對準之一重疊誤差之一估計。
  6. 如請求項4之計量系統,其中基於來自該計量目標之該反射光產生指示該第一晶圓相對於該第二晶圓之對準之一重疊誤差之一估計包括: 使用基於來自該計量目標之該反射光之一機器學習技術基於來自該計量目標之該反射光產生指示該第一晶圓相對於該第二晶圓之對準之一重疊誤差之一估計。
  7. 如請求項1之計量系統,其中來自該一或多個照明源之至少一者之該照明包括: 在自750奈米至2,800奈米之範圍中之波長。
  8. 如請求項1之計量系統,其中來自該一或多個照明源之至少一者之該照明包括: 在自150奈米至300奈米之範圍中之波長。
  9. 如請求項1之計量系統,其中來自該一或多個照明源之至少一者之該照明包括: 在自190奈米至900奈米之範圍中之波長。
  10. 如請求項1之計量系統,其中該計量工具包括: 一光譜橢偏儀。
  11. 如請求項10之計量系統,其中該一或多個選定入射角大於35度。
  12. 如請求項1之計量系統,其中該計量工具包括: 一光譜反射計。
  13. 如請求項12之計量系統,其中該一或多個選定入射角在5度至40度之一範圍中。
  14. 如請求項12之計量系統,其進一步包括: 一物鏡,其中該照明子系統透過該物鏡將來自該一或多個照明源之該照明引導至該計量目標,其中該集光子系統透過該物鏡收集來自該樣本之該光。
  15. 如請求項1之計量系統,其中該一或多個集光光學器件包含用於將來自該計量目標之該光與自該第一晶圓之該頂表面反射之光隔離之一或多個元件。
  16. 如請求項15之計量系統,其中該一或多個集光光學器件包含用於阻擋來自該第一晶圓之該頂表面之該光且使來自該計量目標之該光通過之一場光闌或一光束擋塊之至少一者。
  17. 如請求項15之計量系統,其中該一或多個集光光學器件包含具有一非零光學功率以選擇性地將自該計量目標反射之該光引導至該偵測器之至少一個光學元件。
  18. 如請求項1之計量系統,其中該一或多個處理器進一步經組態以執行程式指令,從而引起該一或多個處理器基於來自該計量目標之該光或來自該第一晶圓之該頂表面之該光之至少一者之一或多個已知特性將來自該計量目標之該光與來自該第一晶圓之該頂表面之該光隔離。
  19. 如請求項18之計量系統,其中來自該計量目標之該光或來自該第一晶圓之該頂表面之該光之至少一者之該一或多個已知特性係基於來自該一或多個照明源之該照明之一波長、來自該一或多個照明源之該照明在該計量目標上之一入射角、來自該一或多個照明源之該照明在該計量目標上之一方位角、該第一晶圓之一透射率或該第一晶圓之一厚度或該計量目標之一設計之至少一者。
  20. 如請求項1之計量系統,其中該一或多個照明源包括: 一或多個雷射,其等提供一或多個窄頻光譜。
  21. 如請求項20之計量系統,其中該照明子系統及該集光子系統形成一窄頻橢偏儀或一窄頻反射計之至少一者。
  22. 如請求項1之計量系統,其中來自該一或多個照明源之該照明包含一寬頻光譜。
  23. 如請求項22之計量系統,其中該一或多個集光光學器件包含用於跨該偵測器提供該寬頻光譜之波長之一選定空間分佈之一色散元件,其中該偵測器包含沿著波長之該空間分佈定向之一或多個感測器,其中該一或多個感測器之各者具有對基於波長之該選定空間分佈之入射波長之一範圍之一選定靈敏度。
  24. 如請求項23之計量系統,其中該偵測器包含具有跨波長之該選定空間分佈之經校準靈敏度之一單一感測器。
  25. 如請求項23之計量系統,其中該偵測器包含具有跨波長之該選定空間分佈之經校準靈敏度之兩個或更多個感測器。
  26. 一種計量方法,其包括: 使用來自一或多個照明源之照明以一或多個選定入射角照明一樣本上之一計量目標,其中該樣本係由一第一晶圓及在一介面處接合至該第一晶圓之一第二晶圓形成,其中該計量目標定位於該介面處,其中來自該一或多個照明源之該照明傳播通過該第一晶圓以到達該計量目標; 回應於來自該一或多個照明源之該照明收集來自該樣本之光,其中所收集來自該樣本之該光包含來自該計量目標之光及來自該第一晶圓之一頂表面之光,其中將來自該計量目標之該光引導至一偵測器;及 使用一或多個處理器基於自該偵測器接收之資料產生與該樣本相關聯之一或多個參數之估計。
  27. 如請求項26之計量方法,其進一步包括: 使用來自該一或多個照明源之該照明以一或多個選定方位角照明該樣本上之該計量目標。
  28. 如請求項26之計量方法,其中與該樣本相關聯之該一或多個參數包含該第一晶圓與該第二晶圓之間之一重疊誤差。
  29. 如請求項26之計量方法,其進一步包括: 將來自該計量目標之該光與來自該第一晶圓之一頂表面之光隔離。
  30. 如請求項29之計量方法,其中將來自該計量目標之該光與自該第一晶圓之一頂表面反射之光隔離包括: 使用一柱面光學元件或一非球面光學元件之至少一者選擇性地將自該計量目標反射之該光引導至該偵測器。
  31. 如請求項29之計量方法,其中將來自該計量目標之該光與自該第一晶圓之一頂表面反射之光隔離包括: 阻擋來自該第一晶圓之該頂表面之該光且使來自該計量目標之該光通過。
  32. 如請求項29之計量方法,其中將來自該計量目標之該光與自該第一晶圓之一頂表面反射之光隔離包括: 使用一或多個處理器基於來自該計量目標之該光或來自該第一晶圓之該頂表面之該光之至少一者之一或多個已知特性將來自該計量目標之該光與來自該第一晶圓之該頂表面之該光隔離。
  33. 如請求項32之計量方法,其中來自該計量目標之該光或來自該晶圓之該頂表面之該光之至少一者之該一或多個已知特性係基於來自該一或多個照明源之該照明之一波長、來自該一或多個照明源之該照明在該計量目標上之一入射角、該第一晶圓之一透射率或該第一晶圓之一厚度或該計量目標之一設計之至少一者。
  34. 一種計量系統,其包括: 一或多個照明源; 一或多個偵測器; 一橢偏儀,其經組態以使用一橢偏儀照明子系統以一第一組一或多個選定入射角將來自該一或多個照明源之照明引導至一樣本上之一計量目標,其中該樣本係由一第一晶圓及在一介面處接合至該第一晶圓之一第二晶圓形成,其中該計量目標定位於該介面處,其中來自該一或多個照明源之該照明傳播通過該第一晶圓以到達該計量目標,其中該橢偏儀進一步經組態以使用一橢偏儀集光子系統將自該計量目標反射之光引導至該一或多個偵測器之至少一者以產生橢偏量測信號; 一反射計,其經組態以使用一反射計照明子系統以一第二組一或多個選定入射角將來自該一或多個照明源之照明引導至該計量目標,該反射計進一步經組態以使用一反射計集光子系統將自該計量目標反射之光引導至該一或多個偵測器之至少一者以產生反射量測信號;及 一控制器,其通信地耦合至該橢偏儀及該反射計,該控制器包含一或多個處理器,該一或多個處理器經組態以執行程式指令,從而引起該一或多個處理器基於該等橢偏量測信號及該等反射量測信號產生與該樣本相關聯之一或多個參數之估計。
  35. 如請求項34之計量系統,其中同時收集該等橢偏量測信號及該等反射量測信號。
  36. 如請求項34之計量系統,其中依序收集該等橢偏量測信號及該等反射量測信號。
  37. 如請求項34之計量系統,其中該橢偏儀及該反射計將來自該一或多個照明源之該照明引導至該樣本之同置部分。
  38. 如請求項34之計量系統,其中該橢偏儀及該反射計將來自該一或多個照明源之該照明引導至該樣本之不同部分。
  39. 如請求項34之計量系統,其中與該樣本相關聯之該一或多個參數包含該第一晶圓與該第二晶圓之間之一重疊誤差。
TW110103404A 2020-01-30 2021-01-29 經接合晶圓的重疊計量 TW202135208A (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US202062967957P 2020-01-30 2020-01-30
US62/967,957 2020-01-30
US202063036834P 2020-06-09 2020-06-09
US63/036,834 2020-06-09
US17/028,878 US11309202B2 (en) 2020-01-30 2020-09-22 Overlay metrology on bonded wafers
US17/028,878 2020-09-22

Publications (1)

Publication Number Publication Date
TW202135208A true TW202135208A (zh) 2021-09-16

Family

ID=77061428

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110103404A TW202135208A (zh) 2020-01-30 2021-01-29 經接合晶圓的重疊計量

Country Status (7)

Country Link
US (1) US11309202B2 (zh)
EP (1) EP4078080A4 (zh)
JP (1) JP2023512258A (zh)
KR (1) KR20220129612A (zh)
CN (1) CN115176125A (zh)
TW (1) TW202135208A (zh)
WO (1) WO2021154617A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11512948B2 (en) * 2020-05-26 2022-11-29 Kla Corporation Imaging system for buried metrology targets
CN115714103B (zh) * 2022-11-25 2023-11-24 拓荆键科(海宁)半导体设备有限公司 用于晶圆键合对准及检测的装置和方法

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US6650422B2 (en) * 2001-03-26 2003-11-18 Advanced Micro Devices, Inc. Scatterometry techniques to ascertain asymmetry profile of features and generate a feedback or feedforward process control data associated therewith
US7046376B2 (en) 2002-07-05 2006-05-16 Therma-Wave, Inc. Overlay targets with isolated, critical-dimension features and apparatus to measure overlay
JP2004273828A (ja) * 2003-03-10 2004-09-30 Nikon Corp 面位置検出方法、面位置検出装置、合焦装置、露光装置及びデバイスの製造方法
US7206125B2 (en) * 2003-11-10 2007-04-17 Therma-Wave, Inc. Infrared blocking filter for broadband Optical metrology
US7564552B2 (en) * 2004-05-14 2009-07-21 Kla-Tencor Technologies Corp. Systems and methods for measurement of a specimen with vacuum ultraviolet light
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
IL194839A0 (en) * 2007-10-25 2009-08-03 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US8178422B2 (en) * 2009-03-31 2012-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of measurement in semiconductor fabrication
US8299584B2 (en) 2010-03-08 2012-10-30 International Business Machines Corporation Alignment of wafers for 3D integration
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US9915522B1 (en) 2013-06-03 2018-03-13 Kla-Tencor Corporation Optimized spatial modeling for optical CD metrology
JP6697560B2 (ja) 2015-12-23 2020-05-20 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法及び装置
JP6682263B2 (ja) * 2015-12-25 2020-04-15 キヤノン株式会社 検出装置、露光装置および物品の製造方法
US10340165B2 (en) * 2016-03-29 2019-07-02 Kla-Tencor Corporation Systems and methods for automated multi-zone detection and modeling
US10444161B2 (en) * 2017-04-05 2019-10-15 Kla-Tencor Corporation Systems and methods for metrology with layer-specific illumination spectra
US10817999B2 (en) * 2017-07-18 2020-10-27 Kla Corporation Image-based overlay metrology and monitoring using through-focus imaging
US10429296B2 (en) * 2017-07-25 2019-10-01 Kla-Tencor Corporation Multilayer film metrology using an effective media approximation
EP3470923A1 (en) 2017-10-10 2019-04-17 ASML Netherlands B.V. Metrology method
US11067389B2 (en) * 2018-03-13 2021-07-20 Kla Corporation Overlay metrology system and method
US11359916B2 (en) * 2019-09-09 2022-06-14 Kla Corporation Darkfield imaging of grating target structures for overlay measurement

Also Published As

Publication number Publication date
WO2021154617A1 (en) 2021-08-05
US11309202B2 (en) 2022-04-19
EP4078080A1 (en) 2022-10-26
KR20220129612A (ko) 2022-09-23
US20210242060A1 (en) 2021-08-05
EP4078080A4 (en) 2024-02-21
JP2023512258A (ja) 2023-03-24
CN115176125A (zh) 2022-10-11

Similar Documents

Publication Publication Date Title
JP7282853B2 (ja) オーバレイ計測システムおよび方法
CN108463877B (zh) 用于扩展的红外线光谱椭偏测量的系统及方法
US9952140B2 (en) Small spot size spectroscopic ellipsometer
KR102137295B1 (ko) 최적화된 시스템 파라미터를 갖는 광 계측 장치 및 방법
CN109690235B (zh) 用于测量高纵横比结构的红外光谱反射计
JP2015509591A (ja) 磁場向上要素を備えたターゲットを使用した光計測
US20160139032A1 (en) Inspection system and method using an off-axis unobscured objective lens
US11043239B2 (en) Magneto-optic Kerr effect metrology systems
JP2019523874A (ja) 同時多角度分光法
JP2023168422A (ja) 高アスペクト比構造の測定のための中赤外分光法及びシステム
KR102381157B1 (ko) 고 반사성 막 스택들 위의 고 흡수성 막 층의 광학적 측정
TW202135208A (zh) 經接合晶圓的重疊計量
WO2019032412A1 (en) BAND MEASUREMENTS PROHIBITED FROM PATTERNED FILM STACKS BY SPECTROSCOPIC METROLOGY