TW202114015A - 多基板處理系統 - Google Patents

多基板處理系統 Download PDF

Info

Publication number
TW202114015A
TW202114015A TW109116658A TW109116658A TW202114015A TW 202114015 A TW202114015 A TW 202114015A TW 109116658 A TW109116658 A TW 109116658A TW 109116658 A TW109116658 A TW 109116658A TW 202114015 A TW202114015 A TW 202114015A
Authority
TW
Taiwan
Prior art keywords
processing
substrate
support
wall
area
Prior art date
Application number
TW109116658A
Other languages
English (en)
Inventor
斯里尼瓦薩勞 葉德拉
基倫古莫妮拉珊卓拉 沙芬戴亞
湯瑪斯 伯瑞羅斯基
巴斯卡 普羅薩德
夏錫坎斯 切那克薩瓦
斯里納斯 索文那哈里
山卡爾 寇德
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202114015A publication Critical patent/TW202114015A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G29/00Rotary conveyors, e.g. rotating discs, arms, star-wheels or cones
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本文所提供之揭示的態樣一般提供包括至少一個處理模組的基板處理系統,至少一個處理模組包括耦接其上的複數個處理站與基板轉移裝置,基板轉移裝置係設置於處理模組的轉移區域內,以用於將複數個基板轉移至複數個處理站中的二或更多者。本文所揭示的方法及設備可以用於在基板上執行真空處理,其中在轉移一或更多個基板的處理期間,在處理模組的轉移區域內轉移一或更多個基板,處理模組的轉移區域係與可單獨隔離的複數個處理站的處理區域的至少一部分直接連通。在一些實施例中,在處理模組內轉移基板的處理期間以及在複數個處理站中之每一者中處理基板時,將基板定位並維持於相同基板支撐構件上。

Description

多基板處理系統
本揭示係關於一種在次大氣壓力環境中處理基板的設備及方法。更特定言之,本揭示係關於真空環境中的基板上的薄膜的沉積、真空環境中的基板上的薄膜的全部或一部分的移除、或真空環境中的基板上的其他處理的執行。
沉積及乾式蝕刻處理係用於在基板上形成層,以及從基板上移除一或更多層的全部或一部分。舉例而言,已知使用濺射處理(亦稱為物理氣相沉積或「PVD」)在基板上(例如,直接在半導體基板上或者在已經形成於其上的膜層上)沉積薄金屬及介電膜。在PVD中,真空腔室托持靶材以及在其上方具有基板的基板支撐件,而靶材係由金屬或介電質構成,並帶負電且暴露於惰性氣體電漿中,而造成電漿形成氣體離子來轟擊靶材並從靶材上濺射材料,而使得該材料的至少一部分沉積於基板上。在半導體元件(例如,積體電路)的製造中,PVD通常用於在半導體基板上或在先前形成於其上的膜層上沉積材料(例如,金屬膜、金屬氧化物、及金屬氮化物)。然後,所沉積的材料可以進一步處理成金屬立柱(稱為觸點或通孔),或者處理成用於與底下的半導體基板上或底下的半導體基板中的主動區域互連的線段。為了金屬氧化物與金屬氮化物的沉積,將氧氣或氮氣添加至惰性電漿氣體,而其中的N或O原子係與所濺射的金屬反應,而導致金屬氧化物或金屬氮化物膜沉積於基板上或其上的膜層上。PVD亦用於沉積包括非金屬層的層(用於定義底下的膜層中的特徵)。舉例而言,PVD處理係用於沉積圖案化膜,然後使用光阻應用及顯影處理、光刻、及蝕刻來圖案化,以允許使用蝕刻劑來蝕刻底下的膜,以移除暴露於圖案化層的開口中的材料,以及沉積抗反射塗佈、用於形成硬遮罩的材料、及其他的有用材料。
在基板上形成薄膜的另一種方法通常稱為化學氣相沉積或「CVD」。在CVD處理中,將基板裝載至真空腔室,以及將具有形成於基板上的薄膜的組成物的一或更多種化學前驅物引導進入真空腔室。基板上或其上的層上的薄膜的沉積係藉由熱反應中之一或更多者來進行,其中基板的溫度足以造成前驅物分解並殘留待沉積的薄膜材料的一或更多個原子,並藉由在基板表面處、在基板表面上方、或二者的化學前驅物彼此之間的反應,在基板表面上形成及留下待沉積的薄膜材料的原子或分子,以作為反應的結果。為了加快反應,甚至引發反應,可以使用電漿或電磁能量來造成材料沉積於藉由利用基板表面的反應所形成的基板上、膜層表面上的表面上、基板上方、或其組合。
通常用於半導體處理中來形成基板中或基板的一或更多個薄膜中的特徵的乾式蝕刻通常係為反應性離子蝕刻處理。此處,在真空腔室中形成由惰性氣體與一或更多種蝕刻氣體所組成的電漿,而圖案化的遮罩層底下的材料係暴露於電漿中的蝕刻反應物,同時基板或基板支撐件受到負偏壓,而造成電漿中的離子實體移除透過遮罩層中的開口而暴露的底下材料。從電漿中的蝕刻氣體同步產生蝕刻自由基,以與透過遮罩層中的開口而暴露的底下材料發生化學相互作用以及進行化學蝕刻。
用於半導體與平板顯示器生產的許多薄膜沉積及蝕刻處理採用附接至群集工具的主框架上的單一基板處理腔室,其中單一基板係裝載進入具有用於在執行處理期間支撐基板的專用硬體的專用真空處理腔室。使用一次能夠拾取及轉移一個晶圓的機器人從專用腔室中裝載及卸載基板所需的時間(通常包括從每一處理腔室中的基板支撐件上夾持及鬆開基板所需的時間)係將固定花費時間增加至處理群集工具中的基板所需的總時間,而降低產量並增加擁有成本(CoO)。
儘管習知的群集工具係設計成適合處理基板或多個基板,但是發明者發現,這種群集工具的機械產量可能受到限制,無法達到所期望的真空等級,降低處理的靈活性,可能具有相對大的佔地面積,相對昂貴的製造,以及具有大量的冗餘部件,及/或具有較高的擁有成本。
因此,需要用於解決上述問題的處理基板的系統及方法。
本文所揭示的基板處理系統包括處理模組、機器人、至少一個處理站、及基板支撐件,處理模組包括底座、周邊壁、及上壁,上壁覆蓋底座並定義其間的進出空間,機器人包括從進出空間內的中心位置延伸的至少一個臂以及設置於進出空間內的中心位置遠側的臂的一端上的端效器,臂可以環繞中心位置樞轉,以將端效器移動通過軌道路徑,至少一個處理站係設置於軌道路徑上,並包括基板支撐升降器與處理容積,基板支撐件可以定位於端效器上,而沿著軌道路徑移動,以及可以定位於基板支撐升降器上,而移動至與處理容積的一部分接合。
本文所提供的本揭示的實施例包括基板處理系統,基板處理系統包含處理模組、二或更多個處理站、中心機器人、及複數個基板支撐件,處理模組包含至少部分定義轉移區域的複數個壁,其中複數個壁包含第一壁與第二壁,第一壁包含圍繞中心軸線的處理站開口的陣列,第二壁包含中心開口,其中第二壁係定位於處理模組的與第一壁相對的一側,二或更多個處理站係定位於第一壁上,其中二或更多個處理站的每一處理站係單獨設置於處理站開口上方,中心機器人經配置以將轉移區域內的基板轉移,複數個基板支撐件中之每一者包含密封表面與主體,主體具有基板接收表面以及設置其中的一或更多個電子元件。二或更多個處理站中之每一者包含源組件、處理套件組件、及基板支撐件致動組件,處理套件組件包含複數個處理區域部件與密封組件,基板支撐件致動組件包含支撐板組件,支撐板組件可以藉由耦接至第二壁的致動器來定位。中心機器人包含複數個支撐臂與致動器,複數個支撐臂在第一端處耦接至中心支撐件,並包括在第二端處的支撐區域,致動器經配置以環繞中心軸線旋轉中心支撐件與複數個支撐臂,其中每一支撐臂的支撐區域可以隨著支撐臂環繞中心軸線旋轉而定位於處理站開口下方。當基板藉由中心機器人在轉移區域中轉移時,基板支撐件係設置於支撐臂中之每一者的支撐區域上,而當藉由致動器將基板定位於二或更多個處理站的處理站內的處理位置時,基板支撐件係設置於支撐板組件上並與支撐臂的支撐區域分離。
本揭示的實施例可以進一步提供基板處理系統,基板處理系統包含處理模組、二或更多個處理站、及結構支撐組件,處理模組包含至少部分定義轉移區域的複數個壁,其中複數個壁包含第一壁與第二壁,第一壁包含第一中心開口以及圍繞第一中心開口的處理站開口的陣列,第二壁包含第二中心開口,其中第二壁係定位於處理模組的與第一壁相對的一側,二或更多個處理站係定位於第一壁上,其中二或更多個處理站的每一處理站係單獨設置於處理站開口上方。二或更多個處理站包含源組件,源組件包含處理表面,處理表面係與處理站的處理區域相鄰,並以相對於水平面的平行關係來定位。結構支撐組件包含具有甜甜圈形狀與安裝表面的支撐元件以及設置於支撐元件與處理模組的第一壁之間的安裝元件的陣列。安裝元件中之每一者包含第一端與第二端,第一端在延伸於處理站開口的陣列的兩個相鄰處理站開口之間的徑向線段上的徑向位置處耦接至第一壁,而第二端耦接至支撐元件的安裝表面。當在轉移區域中產生真空壓力時,結構支撐組件經配置以減少相對於水平面的第一壁的偏轉與處理表面的角度未對準。本文所示的基板處理系統可以進一步包含物理氣相沉積(PVD)靶,而因此可以藉由物理氣相沉積(PVD)靶的表面來定義處理表面。本文所示的基板處理系統可以包括源組件,源組件包含噴淋頭,並藉由噴淋頭的表面定義處理表面。
本揭示的實施例可以進一步提供基板處理系統,基板處理系統包含處理模組、中心機器人、二或更多個處理站、及基板支撐件,處理模組包含至少部分定義轉移區域的複數個壁,其中複數個壁包含第一壁與第二壁,第一壁包含第一中心開口以及圍繞第一中心開口的上處理站開口的陣列,第二壁包含第二中心開口與圍繞第二中心開口的下處理站開口的陣列,其中第二壁係定位於處理模組的與第一壁相對的一側,二或更多個處理站係定位於第一壁上,其中每一處理站係設置於上處理站開口中之一者上方。中心機器人包含中心支撐件、複數個支撐臂、及致動器,中心支撐件係定位於第二中心開口上方以及轉移區域內,複數個支撐臂耦接至中心支撐件並從中心支撐件沿著從中心軸線延伸的徑向方向延伸,致動器經配置以將中心支撐件與複數個支撐臂環繞中心軸線旋轉。二或更多個處理站中之每一者可以包含源組件、處理套件組件、及基板支撐件致動組件,處理套件組件包含複數個處理區域部件與密封組件,基板支撐件致動組件包含耦接至第二壁的致動器。基板支撐件包含具有基板接收表面以及設置其中的一或更多個電子元件的主體,而基板支撐件經配置以藉由使用基板支撐件致動組件的致動器從轉移位置轉移至處理位置,其中轉移位置係定位於複數個支撐臂下方,處理位置係定位於複數個支撐臂上方。
本揭示的實施例可以進一步提供基板處理系統,基板處理系統包含處理模組、中心機器人、二或更多個處理站、及基板支撐件,處理模組包含至少部分定義轉移區域的複數個壁,其中複數個壁包含第一壁與第二壁,第一壁包含第一中心開口以及圍繞第一中心開口的處理站開口的陣列,第二壁包含第二中心開口,其中第二壁係定位於處理模組的與第一壁相對的一側,二或更多個處理站係定位於第一壁上,其中每一處理站係單獨設置於處理站開口上方。中心機器人包含中心支撐件、複數個支撐臂、及致動器,中心支撐件係定位於第二中心開口上方以及轉移區域內,複數個支撐臂耦接至中心支撐件並從中心支撐件沿著從中心軸線延伸的徑向方向延伸,致動器經配置以將中心支撐件與複數個支撐臂環繞中心軸線旋轉。二或更多個處理站中之每一者包含源組件、處理套件組件、及基板支撐件致動組件,處理套件組件包含複數個處理區域部件與密封組件,基板支撐件致動組件包含致動器。基板支撐件包含密封表面以及具有基板接收表面以及設置其中的一或更多個電子元件的主體,其中基板支撐件經配置以藉由基板支撐件致動組件的致動器定位於處理位置,處理位置係定位於複數個支撐臂的垂直上方,而密封表面經配置以在基板支撐件定位於處理位置時接觸密封組件的表面,並造成處理區域與轉移區域流體隔離。
本文所提供之揭示的態樣一般提供包括至少一個處理模組的基板處理系統,至少一個處理模組包括耦接其上的複數個處理站與基板轉移裝置,基板轉移裝置係設置於處理模組的轉移區域內,以用於將複數個基板轉移至複數個處理站中的二或更多者。本文所揭示的方法及設備可以用於在基板上執行真空處理,其中在轉移一或更多個基板的處理期間,在處理模組的轉移區域內轉移一或更多個基板,處理模組的轉移區域係與可單獨隔離的複數個處理站的處理區域的至少一部分直接連通。在一些實施例中,在處理模組內轉移基板的處理期間以及在複數個處理站中之每一者中處理基板時,將基板定位並維持於相同基板支撐構件上。
在本文所提供之揭示的一個態樣,如第2A圖所示的基板處理系統包括大氣壓或環境壓力的基板輸入及輸出操控站(亦稱為前端220)、具有定位於其上的多個處理站260的基板處理模組250、及至少一個中間區段202。基板從前端220或從處理模組250轉移進入中間區段202,或從中間區段202轉移至前端220或處理模組250。儘管本文所提供之揭示一般圖示處理模組(包括六個處理站),由於處理模組250可以替代地包括二或更多個處理站260(例如,四或更多個處理站260(例如,第9A圖至第9B圖)、八或更多個處理站260、十或更多個處理站260、或甚至十二或更多個處理站260),此配置並非意欲限制本文所提供之揭示的範圍。然而,在用於形成下一代裝置(包括多層膜堆疊(例如,晶片上的電感器)、光學膜堆疊、硬遮罩、圖案化及記憶體應用)的處理順序中,認為由於所形成的層的數量及用於形成每一層的類似處理時間,包含處理模組250配置的六或十二個處理站將相對於該領域的更多習知設計改善基板產量、系統覆蓋區、及CoO。
處理系統(例如,第2A圖及第2B圖的處理系統200)係用於在基板S的表面上及/或先前在基板S上所形成或處理的層上形成一或更多個薄膜。第1A圖圖示具有形成其上的複數個薄膜層102及103的基板S的部分101,而第1B圖圖示在基板S的部分121上所形成的延伸於先前所形成的層122上方的複數個膜層。
在第1A圖中,圖示複數個膜層104依序疊層於基板S的部分101上或先前形成於其上的層上。舉例而言,使用第2A圖的處理系統(其中六個處理系統處理站260A-260F可以在基板處理模組250內進出),基板可以依序沿著假想圓252的圓周(第3B圖)移動(假想圓252與處理站260中之每一者的中心位置相交),而使得複數個第一膜型層102與複數個第二膜型層103可以依序沉積其上。每一處理站260A-260F可以獨立地或類似地配置,以讓沉積處理(例如,PVD、CVD、ALD(原子層沉積)或其他類型的沉積處理)或蝕刻處理能夠進行。舉例而言,金屬層102A-102C可以沉積在基板上,並且可以由金屬製成,而反應性金屬層103A-103C可以在基板上沉積,並且可以由反應性金屬(例如,金屬氮化物)製成,其中反應性金屬層103A-103C中的金屬與金屬層102A-102C的金屬係為相同金屬。在此實例中,在處理模組250中執行的基板處理順序期間,處理站260B、260D、260F係用於藉由使用反應性PVD沉積處理在基板的暴露表面上形成反應性金屬層103A-103C(例如,TaN、TiN、AlN、或SiN層),而處理站260A、260C、及260E係用於藉由使用非反應性PVD沉積處理來形成純金屬層102A-102C(例如,Ta、Ti、Al、Co、Si層),而使得處理順序允許在金屬層之後形成反應性金屬層。藉由依序移動及依序處理處理站260A-260F中之所有者的基板,可以形成純金屬/反應性金屬/純金屬/反應性金屬/純金屬/反應性金屬的多層膜堆疊。可替換地,在薄膜層102及103的基底材料可以是不同材料的情況下,在處理站260A、260C、及260E中濺射第一材料類型的濺射靶,以及在處理站260B、260D、及260F中濺射第二類型的靶,以形成第一材料類型與第二材料類型的交替層。此處,舉例而言,可以形成金屬層102A-102C與介電層103A-103C的交替層或金屬層102A-102C與半導體層103A-103C的交替層(例如,鉬與矽的交替層)。同樣地,可以形成相同材料的多層膜,其中所有層102A-102C與103A-103C均係為相同材料,而基板依序移動至每一處理站,而在每一處理站260中都具有相同材料沉積於其上。使用者可以選擇濺射靶材料、處理參數(例如,處理壓力)、及用於在處理站260中形成電漿的氣體的惰性或反應性,以允許處理系統200的使用者或操作者靈活控制形成於其上的膜堆疊內的任何膜的材料及材料性質。已經發現如第1A圖所示的用於形成重複堆疊層配置的基板處理順序,其中當使用本文所揭示的一或更多種系統配置及方法時,已經觀察到堆疊層沉積處理(例如,用於形成薄膜層102及103的處理)具有類似的腔室處理時間、顯著的產量增加、及改善的CoO。在一個實例中,已經發現包括具有小於90秒(例如,5秒與90秒之間)的處理時間的堆疊層沉積處理的基板處理順序與使用本文所描述的系統架構所得到的下基板轉移固定花費時間組合具有相對於當前習知處理系統設計的顯著優勢。
裝載進入處理模組250的基板不需要在每一處理站260A-260F處進行處理。舉例而言,處理站260A-260F中之每一者可以使用相同的濺射靶材料,基板的數量等於裝載進入處理模組250的處理站260的數量,而每一基板係在處理站260中之不同者中進行處理,以用於在其上沉積相同的材料膜層。之後,將這些基板中之所有者從處理模組250移除,以及將相同數量的基板再次裝載進入處理模組250,並且藉由處理站的單一不同者針對這些基板中之每一者進行處理。可替代地,在沿著假想圓的圓周陳列的每一相鄰處理站中執行不同處理。舉例而言,在處理站260A、260C、及260E中執行用於沉積第一類型的膜層的第一沉積處理,以及在處理站260A、260C、及260E中執行用於沉積第二類型的膜層的第二沉積處理。然而,在此情況下,單獨基板僅暴露於二個處理站260,例如第一基板僅暴露於處理站260A及260B,第二基板僅暴露於處理站260C及260D,而第三基板僅暴露於處理站260E及260F。然後,將基板移除。同樣地,系統中的每一基板處理可以在多達所有處理站260中進行處理,以及在每一處理站260處所執行的處理可以與其餘處理站260中之一或所有者相同或不同。
參照第1B圖,圖示從介電層122向內延伸的特徵126。此處,特徵(例如,溝道、觸點、或通孔)已經形成進入並通過介電層122(例如,藉由透過圖案化遮罩(未圖示)來圖案蝕刻介電層122)。在第1B圖中,特徵126係為設置於通孔開口128中的導電通孔,其中通孔開口128向下延伸至先前形成於基板(未圖示)上的底下的導電層121(例如,用於積體電路裝置中的銅層)。為了在通孔開口128中形成特徵126,首先在介電層122的上表面或場域127上方,在通孔開口128的側壁上方,以及在通孔開口128的基底處所暴露的導電層121的部分上形成阻隔層123。阻隔層123可以包括單一材料層,或者堆疊中的二或更多種不同材料。舉例而言,鉭膜層的雙層之後可以使用氮化鉭薄膜層,並且可以在第2A圖的處理站260A-260F中之一或更多者中形成雙層的每一子層。然後,晶種層124(例如,銅的薄層)係形成於先前沉積的阻隔層123上方。晶種層124係用於在與處理系統200分離的銅電鍍工具中促進其上的銅層125的電鍍。此處,使用處理系統200,可以在處理站260A、260B處將鉭雙層從鉭靶濺射至相同基板上,然後可以藉由惰性氣體(氮氣)電漿中的鉭靶的反應性濺射將氮化鉭層沉積於鉭層上,以在處理站260C、260D中形成氮化鉭層,以及藉由在處理站260E、260F處的惰性氣體電漿中濺射銅靶來在氮化鉭層上方形成銅晶種層。可替代地,鉭層可以在處理站260A或260D中沉積於基板上,氮化鉭層可以在處理站260B或260E中沉積於基板上,而銅晶種層可以在處理站260C或260F中沉積於基板上。在此配置中,在處理站260A-260C中依序處理第一基板,以及在處理站260D-260F依序處理第二基板。將在下文進一步討論,如第1B圖所示,認為當使用本文所揭示的系統設計及方法中之一或更多者時,用於利用處理順序的一組分組來沉積材料的基板處理順序將實現顯著產量增加。 處理系統配置實例
再次參照第2A圖,處理系統200一般包括處理模組250、耦接於處理模組250與前端220之間的中間區段202、及系統控制器299。如第2A圖所示,中間區段202包括一對裝載閘腔室230A、230B以及一對中間機器人腔室280A、280B。裝載閘腔室230A、230B中之每一者分別單獨透過各別第一閥225A、225B在其一側處連接至前端220,以及透過各別第二閥235A、235B連接至中間機器人腔室280A、280B。在操作期間,前端220中的前端機器人(未圖示)將基板移動至裝載閘腔室230A或230B,或從裝載閘腔室230A、230B移除基板。然後,連接至裝載閘腔室230A、230B的一個相關聯者的相關聯中間機器人腔室280A、280B中之一者中的中間機器人285A、285B將基板從裝載閘腔室230A或裝載閘腔室230B移動進入對應的中間機器人腔室280A、280B。在一個態樣中,中間站202亦包括連接至中間機器人腔室280的預清潔/除氣腔室292(例如,連接至中間機器人腔室280A的預清潔/除氣腔室292A以及連接至中間機器人腔室280B的預清潔/除氣腔室292B)。從前端220裝載進入裝載腔室腔室230A、230B的基板藉由相關聯中間機器人285A或285B從裝載閘腔室230A或230B移動進入預清潔/除氣腔室292A或292B。在預清潔/除氣腔室292A、292B中,基板經加熱以揮發任何所吸收的濕氣或其他可揮發的材料,並進行電漿蝕刻處理,藉此移除殘留其上的污染物。此後,藉由適當的相關聯中間機器人285A或285B將基板移動返回對應的中間機器人腔室280A或280B,然後在基板處理模組250中的處理站260處(此處為處理站260A或260F)移動至基板支撐件672(第4A圖及第4B圖)上。在一些實施例中,如第4A圖及第4B圖所示,一旦將基板S放置於基板支撐件672上,則一直保留於其上,直到完成處理模組250中的所有處理。
此處,裝載閘腔室230A與裝載閘腔室230B中之每一者係連接至真空泵(未圖示)(例如,粗抽泵),其輸出係連接至排氣管(未圖示),以將裝載閘腔室230A、230B內的壓力減少至約10-3 torr的量級的次大氣壓力。每一裝載閘腔室230A或230B可以連接至專用的真空泵,或者連接至與處理系統200內的一或更多個部件共享的真空泵,或者連接至真空泵之外的其他屋型排氣,以降低其中的壓力。在每一情況下,閥(未圖示)可以設置於裝載閘腔室230A、230B排氣至泵或屋型排氣,以在第一閥225A或225B分別打開且裝載閘腔室230A、230B的內部暴露於大氣或環境壓力的條件時,將連接至真空泵或屋型排氣的裝載閘腔室230A、230B的泵送出口與裝載閘腔室230A、230B的內部容積隔離或基本上隔離。
在基板已經處理之後(例如,在預清潔/除氣腔室292B中),中間機器人285B從預清潔/除氣腔室292B移除基板。設置於中間機器人腔室280B與處理模組250之間的處理腔室閥244B被打開以暴露形成於處理模組250的壁中的開口504B(第3A圖及第4A圖),而中間機器人285B通過開口504B將基板移動至處理模組250的處理站260F,其中接收基板以用於在處理模組250的處理站中之一或更多者內進行處理。利用相同方式,基板可以從前端220通過裝載閘腔室230A移動至預清潔/除氣腔室292A,然後通過處理腔室閥244A(第2A圖)與處理模組250的壁中的開口504A移動至處理模組250,而在處理站260A處接收。可替代地,可以消除處理腔室閥244A、244B,而中間機器人腔室280A、280B係與處理模組250的內部進行直接不間斷的流體連通。
裝載閘腔室230A、230B與中間機器人腔室280A、280B中之每一者經配置以從前端220將基板傳遞進入處理模組250,以及從處理模組250傳遞進入前端220。因此,相對於第一中間機器人腔室280A,為了移除定位於處理模組250的處理站260A上的基板,將處理腔室閥244A打開,而中間機器人285A從處理站260A移除基板,並移動通過連接於中間機器人腔室280與裝載閘腔室230A之間的打開的第二閥235A,以將基板放置於裝載閘腔室230A中。將用於移動基板的中間機器人285A的端效器從裝載閘腔室230A縮回,將第二閥235A關閉,而裝載閘腔室230A的內部容積可選擇地與所連接的真空泵隔離。然後,將連接至裝載閘腔室230A的第一閥225A打開,前端220機器人拾取裝載閘腔室230A中的基板,並移動至位於前端220的側壁內或連接至前端220的側壁的儲存位置(例如,卡匣或FOUP 210)。利用類似方式,可以使用中間機器人腔室280B、中間機器人285B、裝載閘腔室230B、及相關聯閥235B及225B來將基板從處理站260F位置移動至前端220。在基板從處理模組250移動至前端220期間,不同的基板可以位於移動至前端220的基板所傳遞通過的連接至中間機器人腔室280A、280B的預清潔/除氣腔室292A、292B內。因為藉由閥所附接的每一預清潔/除氣腔室292A、292B與中間機器人腔室280A、280B隔離,所以不同基板可以從處理模組250通過至前端220,而不會影響各別預清潔/除氣腔室292A、292B中的針對基板的處理。
系統控制器299控制處理系統200中所發現的自動部件的活動與操作參數。一般而言,藉由使用系統控制器299所發送的命令來使用本文所揭示的各種自動裝置執行大部分通過處理系統的基板的移動。系統控制器299係為用於控制處理系統200中所發現的一或更多個部件的通用電腦。系統控制器299通常設計為促進本文所揭示的處理順序中之一或更多者的控制及自動化,並且通常包括中央處理器(CPU)(未圖示)、記憶體(未圖示)、及支援電路(或I/O)(未圖示)。可以將軟體指令及資料編碼並儲存於記憶體(例如,非暫態電腦可讀取媒體)內,以指示CPU。系統控制器內的處理單元可讀取的程式(或電腦指令)確定在處理系統中所可以執行的任務。舉例而言,非暫態電腦可讀取媒體包括程式,該程式在藉由處理單元執行時經配置以執行本文所述的一或更多種方法。較佳地,程式包括用於執行與監測、執行、及控制基板的移動、支撐、及/或定位相關的任務的代碼,以及所執行的各種處理配方任務及各種處理模組處理配方步驟。
參照第2B圖,圖示處理系統200A的替代建構,其中轉移腔室240係安插於裝載閘腔室230A、230B與一或更多個處理模組250之間。儘管並非意欲限制本揭示的範圍,但第2B圖所示的處理系統200A並未包括分離的中間機器人腔室280A、280B或預清潔/除氣腔室292A、292B。此處,藉由使用具有位於其中的轉移腔室機器人242的中間轉移腔室240,多個處理模組250可以連接至單一前端220。此處,平面圖中的轉移腔室240係為大致矩形,並包括大致平坦的四個立柱壁246,分別通過第二閥235A、235B及開口244A、244B,四個立柱壁246中之三者附接至處理模組250,而第四者連接至裝載閘腔室230A、230B。此處,在前端機器人將基板放置進入裝載閘腔室230A、230B中之一者之後,當分別將第二閥235A、235B關閉時,然後分別將第一閥225A、225B關閉,將第二閥235A、235B打開,轉移腔室機器人242(第2B圖中示意性圖示)從裝載閘腔室230A或230B將基板取出,並通過處理系統閥248放置進入處理模組250的第一處理站260A中之一者,處理系統閥248可以選擇性打開,以在工作站260A處將基板移入及移出處理模組,並且可以選擇性關閉,以將轉移腔室240的內部容積與處理模組250的內部容積(例如,轉移區域401)隔離。
由於處理系統200A內沒有中間機器人腔室280A、280B及/或預清潔/除氣腔室292A、292B(例如,第2A圖的處理系統200),基板的預清潔係發生於處理模組250中之一或更多者內(例如,處理站260A處),以允許在膜層沉積其上之前執行預清潔處理。 處理模組配置
參照第2A圖、第3A圖至第3B圖、第4A圖至第4B圖、第5圖及第8圖,圖示處理模組250的內部區域內的部件的進一步細節。如第4A圖及第4B圖所示,可移除的中心外罩690係延伸於處理模組250的上壁616中的中心開口713(第2A圖、第3B圖、及第8圖)上方。中心外罩690係為可移除,以允許進入處理模組250的內部(轉移區域401),以服務中心轉移機器人245。至少在第3A圖及第4A圖至第4B圖的處理模組250的情況下,二個基板轉移開口504A、504B從圓周壁619的外表面向內延伸並進入處理模組250的轉移區域401。轉移開口504A、504B允許中間機器人285A、285B或中心轉移機器人245將定位於處理模組250的外部的基板轉移至定位於中心轉移機器人245的支撐臂308上的基板支撐件672上的位置。可替代地,轉移開口504A、504B允許中間機器人285A、285B或中心轉移機器人245從定位於中心轉移機器人245的支撐臂308上的基板支撐件672移除基板。
在第4A圖及第4B圖中,圖示第2A圖及第3A圖至第3B圖的處理站260F,其中開口504B在處理站260F處朝向處理模組250打開。在此實例中,開口504A的位置係對應於與處理站260A相鄰的位置。處理模組250經配置以包括中心轉移機器人245(第3A圖、第3B圖),複數個支撐臂308從中心轉移機器人245沿著徑向延伸。在一些實施例中,如第5A圖所示,支撐臂308的數量係等於處理模組250的處理站260的數量。然而,中心轉移機器人245的支撐臂308的數量可以小於或大於處理模組250的處理站260的數量。在一個實施例中,支撐臂308的數量係大於處理站260的數量,以允許更多基板一次通過轉移區域來轉移,及/或允許支撐臂308中之一些者支撐附加的硬體部件(例如,用於執行PVD黏貼操作以從PVD靶的表面移除污染的黏貼碟(未圖示))。通常在相同基板處理站260中所執行的二個基板PVD沉積處理之間的處理站260中執行PVD黏貼操作。
處理站260係陳列為相等且彼此沿著以中心軸線253(亦即,平行於Z方向)為中心的假想圓252(第3B圖)週向間隔開,而使得假想圓252的中心係與中心軸線253重合。舉例而言,在處理站260F係為PVD類型的處理站260的情況下,PVD靶的中心係與假想圓252的一部分重疊,而其餘處理站260A-260E的靶的中心係沿著假想圓252相等且彼此週向間隔開。沿著二個相鄰處理站260的中心之間的假想圓252測量的週向間隔可以在約700mm至約1000mm之間(例如,800mm與900mm之間)。在一些實施例中,沿著二個相鄰處理站260的中心之間的假想圓252測量的週向間隔可以大於處理系統內所處理的基板的直徑的約0.5,並且小於處理系統內所處理的基板的直徑的約3(例如,約1至約2的基板直徑之間(例如,系統可以經配置以處理150mm、200mm、300mm、450mm、或甚至大於450mm的基板直徑))。 中心轉移機器人
參照第3A圖至第3B圖、第4A圖至第4B圖、及第5A圖至第5B圖,中心轉移機器人245係為包括中心支撐件305的旋轉料架型機器人組件501,支撐臂308的近端561藉由例如螺紋緊固件(未圖示)來固定至中心支撐件305。藉由定位於處理模組250下方的旋轉料架馬達457(第4A圖至第4B圖及第8圖)來旋轉中心支撐件305,而中心支撐件305可以包括耦接至下壁618(第4A圖)的步進馬達或伺服馬達。旋轉料架馬達457可以包括耦接至中心支撐件305並與中心軸線253重合的驅動軸457A,以隨著旋轉料架馬達457的驅動軸旋轉而造成中心支撐件305與支撐臂308中之每一者旋轉通過環繞中心軸線253的圓弧中心。隨著藉由旋轉料架馬達457旋轉,支撐臂308與基板支撐件672所傳遞通過的旋轉容積的最上表面通常在本文中指稱為平行於第4A圖中的XY平面的轉移平面。中心支撐件305與支撐臂308中之每一者係定位於藉由真空泵454單獨排空的轉移區域401內,真空泵454可以是渦輪泵、低溫泵、粗抽泵、或能夠維持處理模組250的轉移區域401內的所期望壓力的其他有用的裝置。中心支撐件305通常係定位於下單體720的下壁618中所形成的中心開口723(第8圖)上方。如下文將進一步討論,轉移區域401與處理站260的處理區域460可以單獨隔離,而使得處理站260中所執行的處理可以在與轉移區域401不同的真空壓力下以及使用各種不同的處理氣體來控制及執行,而不會汙染轉移區域401或其他相鄰定位處理站260。
在一些實施例中,支撐臂308經配置以支撐基板支撐件672,基板支撐件672經配置以支撐處理站260的處理區域中所處理的基板。將定位於基板支撐件672(定位於支撐臂308上)上的基板定位,而使得基板的中心係定位於假想圓252的一部分上方,並在基板放置的公差限制內。同樣地,基板支撐件所放置的支撐臂308中之每一者的區域或支撐部分560(第4A圖)亦對準假想圓252(第3B圖及第5A圖),以允許當中心支撐件305環繞中心軸線253旋轉時,隨著支撐部分560圍繞中心軸線253繞動,支撐部分560的中心穿過假想圓252。
參照第5B圖,圖示用於在第2A圖及第2B圖的處理站260A-260F之間轉移基板支撐件672的中心轉移機器人的一個配置。此處,中心支撐件305包括位於中心的貫通開口500,貫通開口500圍繞中心軸線253的中心,而定位於處理模組250下方的旋轉料架馬達457的驅動軸457A(第4A圖)連接進入貫通開口500,以造成環繞中心軸線253的中心支撐件305的旋轉。每一支撐臂308包括定位於支撐部分560與近端561之間的延伸臂部分506。延伸臂部分506具有至少一個(本文為二個)重量減少及熱傳導減少的切除區域510,切除區域510係延伸為通常平行於從中心軸線253延伸的半徑的任一側。在一些配置中,延伸臂部分506終止於C形端部區域508處(如平面圖所見),並形成支撐部分560的一部分。在一些配置中,C形端部區域508包括間隔開小於C形端部區域508所部分圍繞的貫通開口518的直徑520的距離的相對端514、516。在佈局上通常為圓形的基板支撐件672的周邊凸緣670(第4A圖)具有內部直徑及外部直徑,以及經配置以在處理站260之間的基板支撐件672的移動期間停靠於支撐部分560的頂部上,以及在提升基板支撐件672之前停靠於處理站260處。
參照第4A圖至第4B圖,在一些實施例中,支撐臂308包括設置於支撐臂308的上表面以及支撐部分560內的複數個電觸點453(第4B圖)。當基板支撐件672係支撐於支撐臂308上時,電觸點453係用於將電功率提供至基板支撐件672的主體643(第6圖)內所形成的一或更多個電子元件。基板支撐件672內所形成的一或更多個電子元件可以包括耦接至基板支撐件672的下表面上所形成的二或更多個電觸點673(第4A圖)的電阻式加熱元件642(第6圖)及/或單獨耦接至基板支撐件672的下表面上所形成的二或更多個附加電觸點673的一或更多個夾持電極641(第6圖)。如第4A圖至第4B圖示意性圖示,電觸點453係藉由使用滑環456電耦接至一或更多個功率源(例如,DC夾持功率供應器458及/或加熱器功率供應器459),滑環456適於允許在藉由旋轉料架馬達457旋轉支撐臂308時電連接至電觸點453。多個導體或導線455係用於將一或更多個功率源連接至電觸點453。導體或導線455被引導通過定位於處理模組250的轉移區域401內的馬達軸457A、中心支撐件305、及支撐臂308。舉例而言,透過每一支撐臂308提供耦接至功率供應器458的三個導線以及耦接至功率供應器459的二個導線,而使得其中每一者可以單獨連接至電觸點453。因此,當基板支撐件672定位於支撐部分560上時,基板支撐件672的電觸點673係電耦接至支撐臂308的電觸點453,而使得當基板S與基板支撐件672在轉移區域401內轉移時,基板可以保持夾持至基板支撐件672,並且可以維持所期望的溫度。允許在轉移處理期間夾持及加熱基板S的能力係允許在轉移處理期間藉由旋轉料架馬達457所實現的更大的旋轉速度,而不會失去基板,並允許基板的溫度在每一處理站260中所執行的處理之間維持一致。
第5C圖係為包括替代機器人建構的中心轉移機器人245的平面圖,包括替代機器人建構係為包括二個端效器530、532的雙臂機器人540。包括雙臂機器人540的中心轉移機器人245可以用於處理模組250中所執行的基板處理順序不包括或需要基板依序沿著任一方向的沿著假想圓252所延伸的路徑轉移的情況。在此處理模組250配置中,基板支撐件672不需要可以在橫向平面(亦即,XY平面)中移動,而使得每一基板支撐672維持在處理站260下方的XY平面中的一個位置,以及在處理期間,在橫向固定的基板支撐件672之間藉由雙臂機器人540轉移基板。
在一些實施例中,雙臂機器人540的二個端效器530、532可以獨立操作,以及從中心軸線505延伸並環繞中心軸線505呈圓弧形擺動,中心軸線505係沿著Z方向(例如,垂直於第5C圖的平面)延伸,並且通常與處理模組250的中心軸線253重合。每一端效器530、532可操作地耦接至中心輪轂536,中心輪轂536係由上可旋轉輪轂與下可旋轉輪轂(未圖示)所組成,其中每一者可以環繞中心軸線505獨立旋轉。端效器530包括第一叉537a與第一臂538。第一輪轂臂542在其第一端處耦接至中心輪轂536,以及在第一腕連接544處的端效器536a的末端處耦接至第一臂538,藉此第一臂可以環繞第一腕軸線Ω1樞轉,以允許第一端效器530環繞第一腕軸線Ω1旋轉。同樣地,第一腕連接544以及第一腕軸線Ω1可以藉由第一輪轂臂環繞中心軸線505的圓弧移動而環繞中心軸線505繞動。端效器532包括第二叉537b與第二臂546。第二輪轂臂548在其第一端處耦接至上可旋轉輪轂,以及在第二腕連接550處的第二端效器532的末端處耦接至第二臂546,藉此第二臂546可以環繞第二腕軸線Ω2樞轉,以允許第二端效器532環繞第二腕軸線Ω2旋轉。同樣地,第二腕連接550以及第二腕軸線Ω2可以藉由第二輪轂臂548環繞中心軸線505的圓弧形移動而環繞中心軸線505繞動。此外,隨著第一及第二端效器530、532可操作地分別透過上可旋轉輪轂與下可旋轉輪轂連接至中心輪轂536,端效器530、532的叉5367a、537b可以沿著垂直方向彼此重疊,以例如允許叉537a或537b中之一者從基板支撐件672將基板接收及縮回,一旦已經移除第一基板,則叉537a或537b中之另一者將處理模組250的不同機板向內移動,以將基板放置於基板支撐件672上。
當臂(第一臂538與第一輪轂臂542,或第二臂546與第二輪轂臂548)共同對準時(亦即,當一起形成直線路徑時),第一及第二端效器530、532的叉537a、537b中之每一者可以從中心軸線505延伸最大距離。在臂的此定向上,第一及第二叉537a或537b中之一者係在裝載或卸載位置處,以相對於基板支撐件672接收或留下基板。從此位置開始,藉由環繞中心軸線505的上或下輪轂以及環繞對應第一腕軸線Ω1或第二腕軸線Ω2的第一或第二臂538、546中之一者的圓弧形移動,對應叉537a或537b朝向中心輪轂536縮回。藉由定位處理模組250中的雙臂機器人540以及定位中心軸線253的位置處的中心軸線505,叉537a、537b可操作以在處理站260A-F之任一者處進出任一基板支撐件672,並且彼此獨立。因此,利用雙臂機器人540的結構的機器人,基板可以從處理站260A-F中之任一者移動至處理站260A-260F中之任一其他者,而不需要沿著假想圓252經過任何中間處理站260A-260F。 處理站配置
第4A圖至第4B圖及第6圖包括處理站260F與處理模組250的部分的橫截面圖,並且意欲大致圖示可以定位於處理模組250內的各種部件與處理站的屬性。由於如上所述的處理模組250內的一或更多個處理站260可以適於執行CVD、PECVD、ALD、PEALD、蝕刻、熱處理(例如,RTP、退火、冷卻、熱管理控制)及/或其他有用的半導體或平面顯示面板基板的處理步驟,儘管這些圖式中所示的處理站260F的配置適於執行PVD沉積處理,此處理站配置並非意欲限制本文所提供的揭示的範圍。在一些實施例中,處理模組250經配置以在至少一個處理站260中執行PVD處理,並且在至少一個其他處理站260中執行至少一種其他類型的處理(例如,CVD、PECVD、ALD、PEALD、蝕刻、或熱處理)。然而,相較於利用利用其他沉積及蝕刻處理的其他處理模組配置,由於通常藉由PVD處理所實現的處理的交叉污染的機率減少(例如,殘餘氣體的交叉污染的機率減少)以及較高的沉積速率,認為主要包括或僅包括經配置以執行PVD沉積處理的處理站的處理模組250在許多半導體元件形成應用中更有利。
處理站260一般包括源組件470、處理套件組件480、及基板支撐件致動組件490,當一起使用時,能夠在處理區域460的處理站260內執行所期望的處理。在本文所提供的揭示的各種實施例中,處理站260中之每一者內的處理區域460經配置以與處理模組250的轉移區域401單獨隔離,並且因此基本上防止電磁能量、蒸氣、氣體、或來自相鄰處理站或與轉移區域401內所執行的基板或處理的具有不利影響的其他不期望的污染物。當與轉移區域401隔離時,在處理站260內執行基板處理步驟期間,通常藉由源組件470的一或更多個處理表面、處理套件組件480內的一或更多個處理區域部件685、及基板支撐件672包圍處理區域460。
如上所討論以及如第4A圖所示,處理站260F的源組件470經配置以執行PVD沉積處理。在此配置中,源組件470包括靶472、磁控管組件471、源組件壁473、蓋474、及濺射功率供應器475。在此配置中,PVD靶472的處理表面472A一般定義處理區域460的上部部分的至少一部分。磁控管組件471包括磁控管區域479,其中在處理期間藉由使用磁控管旋轉馬達476來旋轉磁控管471A。通常藉由從流體再循環裝置(未圖示)遞送至磁控管區域479的冷卻流體(例如,DI水)來冷卻靶472與磁控管組件471。磁控管471A包括複數個磁體471B,複數個磁體471B經配置以產生延伸於靶472的處理表面472A下方的磁場,以促進在PVD沉積處理期間的處理區域460中所執行的濺射處理。
在處理站260的替代配置(適於執行CVD、PECVD、ALD、PEALD、蝕刻、或熱處理)中,源組件470通常包括不同的硬體部件。在一個實例中,適於執行CVD沉積處理、PECVD沉積處理、或蝕刻處理的處理站的源組件470包括氣體分佈板或噴淋頭,氣體分佈板或噴淋頭經配置以在處理期間將前驅物氣體或將蝕刻氣體遞送進入處理區域460並跨越設置於處理站260內的基板的表面。一般而言,噴淋頭或氣體分配板包括具有形成其中的複數個孔洞(例如,>100個孔洞)的金屬、石英、或陶瓷板,以限制而因此允許從噴淋頭的上游側流動至噴淋頭的下游側的氣體的均勻分佈,噴淋頭在處理期間係定位成與處理站260的處理區域460相鄰。氣體(例如,前驅物氣體或蝕刻氣體)係藉由通常設置於處理系統200的外側的前驅物氣體源(未圖示)遞送至噴淋頭的上游側,並通過噴淋頭。在源組件470的此配置中,定義處理區域460的至少一部分的一或更多個處理表面係為氣體分佈板或噴淋頭的下部表面(例如,接觸處理區域的表面)。在此配置中,不使用磁控管組件471及靶,而可以利用經配置以偏壓氣體分配板的RF功率供應器來代替濺射功率供應器475。
基板支撐件致動組件490包括台座升降組件491與台座組件492。台座升降組件491包括升降致動器組件768與耦接至處理模組250的下壁618的升降安裝組件766。升降致動器組件768可以包括步進或伺服馬達致動導螺桿組件、線性馬達組件、氣動氣缸致動組件、或其他習知機械線性致動機構。在操作期間,升降致動器組件768與升降安裝組件766經配置以藉由使用升降致動器組件768內的一或更多個機械致動器(例如,伺服馬達、步進馬達、線性馬達)將台座組件492定位於轉移位置(第4A圖)與處理位置(第4B圖),轉移位置垂直(Z方向)定位於支撐臂308(亦即,轉移平面)下方,處理位置垂直位於支撐臂308上方。升降致動器組件768係耦接至台座軸492A,台座軸492A係藉由耦接至下壁618的軸承(未圖示)支撐,而隨著藉由升降致動器組件768平移以導引台座軸492A。波紋管組件(未圖示)係用於形成台座492A的外部直徑與下壁618的一部分之間的密封,而在正常操作期間維持轉移區域401內藉由使用真空泵454所建立的真空環境。認為,相較於將多個基板定位於單一支撐結構而不允許單獨進行對準及調整的習知設計,使用單獨及專用的台座升降組件491(經配置以將基板S與基板支撐件672精確定位於每一處理站260中的所期望處理位置中,並且亦可以單獨且期望地與處理站260內的源組件470內的部件(例如,靶472)對準)具有顯著優點。與將基板S定位於源組件470的部件及對準源組件470的部件相關的重要性及問題的實例係參照第7A圖及第7B圖在下文進一步討論。
台座組件492包括耦接至板支撐件493的支撐板組件494,板支撐件493耦接至台座軸492A。專用於每一處理站260的支撐板組件494係耦接至台座升降組件491的升降致動器組件768,並藉此致動。台座組件492包括加熱器功率源498、靜電卡盤功率源499、及背側氣體源497。
在一些實施例中,支撐板組件494包括設置於支撐板494A的上表面上的複數個電觸點496(第4A圖)。加熱器功率源498與靜電卡盤功率源499中之每一者係電耦接至電觸點496中之二或更多者。當基板支撐件672藉由支撐板494A從支撐臂308的支撐部分560提升時,電觸點496係用於將電功率提供至基板支撐件672內所形成的一或更多個電元件。電觸點496經配置以與基板支撐件672的下表面上所形成的電觸點673配合。在一些實施例中,基板支撐件672的下表面上所形成的單獨一組電觸點673經配置以與支撐板494A的電觸點496配合。在一個實施例中,單獨一組電觸點673係與電觸點673實體分離,電觸點673經配置以與支撐臂308的電觸點453配合。在此配置中,基板支撐件672包括單獨二組電觸點,其中每一者適於建立與嵌入於基板支撐件672內的電元件的類似電連接(例如,電阻式加熱元件、夾持電極)。當基板支撐件672定位於處理位置時(第4B圖),設置於基板支撐件672內的電阻式加熱元件係耦接至二或更多個電觸點673,二或更多個電觸點673係與耦接至加熱器功率源498的輸出的支撐板494A的二或更多個電觸點496電連通。設置於基板支撐件672內的一或更多個夾持電極係耦接至與支撐板494A的二或更多個電觸點496電連通的二或更多個電觸點673。在一個實例中,透過台座軸492A提供耦接至加熱器功率源498的輸出的三個導線以及耦接至靜電卡盤功率源499的二個導線,而可以單獨連接至各別的配合電觸點496。在一些實施例中,當基板支撐件672定位於處理站260內的處理位置時,至少部分由於允許基板支撐件672的重量的一部分造成電觸點673的表面承載抵靠電觸點496中之每一者的配合表面,因此在電觸點496與各別的配合電觸點673之間形成可靠的可分離電連接。因此,當在處理期間定位於支撐板494A時,允許藉由基板支撐件672將基板夾持及加熱。
在一些實施例中,支撐板組件494包括可分離背側氣體連接495,可分離背側氣體連接495經配置以與圍繞基板支撐件672的背側中所形成的背側氣體埠671而形成的背側氣體接收表面配合。背側氣體連接495係耦接至背側氣體源497,經配置以將背側氣體(例如,N2 、He、Ar)遞送至與基板支撐件672所形成的氣體通路連接的基板支撐件672所形成的背側氣體埠671,以及遞送至在處理期間定位於基板支撐件672的基板接收表面上的基板與基板支撐件672之間所形成的空間。因此,可分離背側氣體連接495經配置以在基板支撐件672定位於支撐板494A上時可以重複密封連接至基板支撐件672的背側氣體接收表面,以及在支撐板494A位於轉移位置(亦即,在支撐臂308下方)時與基板支撐件672分開。在一些實施例中,可分離背側氣體連接495包括機械加工的金屬或柔性密封表面,而經配置以與基板支撐件672的背側氣體接收表面的拋光配合表面配合,以在基板支撐件672定位於處理站260內的處理位置時形成可重複的氣密密封,至少部分藉由承載可分離背側氣體連接495的表面的基板支撐件672的重量的一部分來形成可重複的氣密密封。因此,背側氣體連接495包括密封表面,密封表面經配置以與設置於基板支撐件672的表面上的背側氣體接收表面一起形成基本上液密的密封,其中可分離背側氣體連接495經配置以耦接至背側氣體源497(第4A圖至第4B圖)。
如第4A圖至第4B圖及第6圖所示,處理套件組件480通常包括複數個處理區部件685與密封組件485定位於處理模組250的腔室上壁616的的上處理站開口734上方及/或定位於處理模組250的腔室上壁616的的上處理站開口734內。在第4A圖至第4B圖及第6圖所揭示的處理站260配置實例中,處理區域部件685包括底板481、處理區域屏蔽件482、隔離環483、站壁484、覆蓋環486、沉積環488、及內屏蔽件489,而一起至少部分定義處理站260的處理區域460。基板481經配置以支撐處理區域屏蔽件482、隔離環483、站壁484、密封組件485、覆蓋環486、沉積環488、及內屏蔽件489,並允許這些部件作為一個組件定位於處理模組250的上壁616上所形成的站開口713以及從處理模組250的上壁616上所形成的站開口713移除。隔離環483係由介電材料製成,並經配置以支撐靶472以及定位於站壁484上,站壁484係定位於底板481上。當藉由濺射功率供應器475偏壓時,隔離環483係用於將靶472與接地的站壁484電隔離。
處理套件組件480亦包括複數個密封元件1001(例如,O形圈),以用於防止大氣在正常處理期間進入處理區域460。此外,源組件470經配置以藉由使用密封元件1001而與處理套件組件480的的一部分一起形成密封,而處理套件組件480經配置以類似地藉由使用密封元件1001與腔室上壁616的上表面一起形成密封,以允許處理區域460在處理期間與外部環境隔離。
站壁484包括第一埠484A,第一埠484A耦接至真空泵265,並經配置以在處理期間透過屏蔽件489的上部部分、靶472的下表面、及隔離環483與站壁484的一部分之間所形成的周向間隙將處理區域460排空。站壁484亦包括第二埠484B,第二埠484B係耦接至氣體源699,並經配置以在處理期間透過周向充氣部484C將一或更多種處理氣體(例如,Ar、N2 )遞送至處理區域460。
處理區域屏蔽件482係定位於站壁484的下部部分。處理區域屏蔽件482通常用於收集從靶472濺射的沉積物,包圍處理區域460的一部分,以及在一些配置中,如第6圖所示支撐密封組件485。在此配置中,處理區域屏蔽件482適於在所支撐的站壁484的表面484D處形成密封,並且類似地在密封組件485的下板485B的表面485D與處理區域屏蔽件482的下表面482A之間形成密封。可以藉由使用O形環(未圖示)、焊接、或其他習知密封方法來形成處理區域屏蔽件482與站壁484及下板485B的部分之間所形成的密封中之每一者。
在一些實施例中,密封組件485包括上板485A、下板485B、及設置於上板485A與下板485B之間的柔性構件485C。在一些實施例中,如第6圖所示,柔性構件485C包括可撓波紋管組件可撓波紋管組件經配置以沿著至少一個方向(例如,垂直方向(亦即,Z方向))是柔性的,並且經配置以防止在處理期間讓氣體通過其中。可撓波紋管組件可以是在相對端密封焊接至上板485A與下板485B的不銹鋼或鉻鎳鐵合金波紋管組件。
在處理期間,當將基板與基板支撐件672定位於源組件470下方的處理位置時,如第4B圖及第6圖所示,基板支撐件672的一部分或所附接的部件都是本文所指稱的基板支撐件672的「密封部分」,並適於與密封組件485的一部分一起形成「密封」,以將處理區域460與轉移區域410基本上流體隔離。因此,在第4A圖至第4B圖及第6圖所揭示的處理站260配置實例中,基板支撐件672、靶472、密封組件485、及複數個處理區域部件685(包括處理區域屏蔽件482、站壁484、及隔離環483)基本上包圍並定義處理區域460。在一些實施例中,基板支撐件672的密封部分與密封組件485的上板485A之間所形成的「密封」係在密封區域487處形成,密封區域487係藉由基板支撐件672的密封部分的表面與密封組件485的部分的表面之間的實體接觸而形成。在一些較低溫度的應用中,藉由使用定位於基板支撐件672的密封部分的表面與密封組件485的部分的表面之間的界面處的接帶密封、U形杯密封、或O形環(未圖示)來形成密封。在一些較高溫度(例如,在高於200℃的溫度下)的應用中,藉由基板支撐件672的密封部分與密封組件485的部分之間的界面處所形成的金屬對金屬或金屬對陶瓷的接觸來形成密封。在一些實施例中,密封組件485的可撓波紋管組件經配置以隨著藉由使用基板支撐件致動組件490中的升降致動器組件768來放置基板支撐件672的密封部分以接觸密封組件485的部分的表面而沿著垂直方向延伸。可撓波紋管組件的柔性允許基板支撐件672的密封部分的表面與密封組件485的部分的表面之間的任何未對準或平坦度差異被容納,而可以在許多循環中在密封區域487處形成可靠及可重複的密封。如第4A圖至第4D圖及第6圖所示,基板支撐件672的密封部分、密封組件485的密封部分、基板的處理表面、及源組件470的下表面(例如,靶472的下表面)的基本上平行定向/對準亦允許形成可重複的可靠密封,同時亦允許在處理期間容易地形成及/或維持基板的處理表面與源組件470的下表面之間的角度對準,這將在下文結合第7A圖至第7B圖及第8圖進一步討論。此外,如第4A圖至第4D圖及第6圖所示,基板支撐件672的密封部分、密封組件485的密封部分、基板的處理表面、源組件470的下表面(例如,靶472的下表面)、及基板轉移平面都是基本上平行的關係。相較於習知設計,因為降低處理模組250及系統的所需尺寸,減少系統中的所需部件的數量(例如,沒有狹縫閥)、降低系統複雜性,以及降低總系統成本,所以藉由在處理及基板轉移處理期間維持至少基板支撐件672的密封部分、密封組件485的密封部分、及基板轉移平面之間的基本上平行的關係以及打開轉移區域401容積配置來提供顯著優點。
然而,在一些替代實施例中,密封組件485簡單包含定位於基板支撐件672的密封表面與處理區域屏蔽件482的下表面482A之間的界面處的接帶密封、U形杯密封、或O形環(未圖示),以在基板支撐件672定位於處理位置時在其間形成密封。在此配置中,基板支撐件672的形成密封表面的部分的直徑係大於處理區域屏蔽件482的內部直徑,而當基板支撐件在處理步驟期間定位於處理位置時,可以在密封表面與下表面482A之間形成密封。
在第一處理站260中執行基板處理步驟之後,將基板S與基板支撐件672降低,而位於支撐臂308上。然後,中心轉移機器人245環繞延伸通過中心支撐件305的中心軸線253來旋轉中心支撐件305,以將支撐臂308、基板S、及基板支撐件672以圓弧擺動,以將基板支撐件672與基板S索引至第二處理站260下方的位置,其中藉由台座升降組件491(專用於第二處理站260)將基板S在相同的基板支撐件672上再次提升至處理位置。在基板S上完成處理之後,然後將基板S與基板支撐件672放回支撐臂308的端部上,並轉移至下一個處理站260。然後,可以重複多次將基板S與基板支撐件672升高,處理基板S,將基板S與基板支撐件672降低,以及轉移基板支撐件672與基板S的處理週期。
在處理模組250內的基板S與基板支撐件672轉移順序期間,處理站260中之每一者的處理區域460與轉移區域401直接連通。此結構設計由於不需要習知設計中所發現的用於將每一處理站與轉移區域隔離的專用狹縫閥而降低系統成本,並由於減少轉移基板所需的步驟數量亦減少基板轉移固定花費時間(亦即,增加產量),此結構設計亦允許處理區域460與轉移區域401之間的壓力進行平衡,以及允許所期望的基準壓力更容易及迅速實現於處理模組250中。本文所揭示的系統設計亦藉由消除習知處理系統設計中所需的對於單獨處理腔室結構(例如,分開的焊接隔間)與支撐硬體(例如,獨立的支撐框架,狹縫閥等)的需求來降低複雜性及成本。此外,因為藉由依據系統控制器299(第2A圖)所發送的命令,定位於每一處理站260處的基板支撐件致動組件490控制基板支撐件672的移動及定位,而可以單獨及選擇性隔離每一處理站260的處理區域460,此設計及轉移順序亦提供附加優點。舉例而言,可能需要藉由將基板S與基板支撐672定位於這些處理站內的處理位置來單獨處理處理站260A及260B中的基板,而由於這些位置處的基板支撐件672保持在轉移位置中,處理站260C、260D、260E、及260F保持為非隔離狀態,而因此允許這些處理站處的處理區域460與轉移區域401之間的流體連通。 替代處理站配置
第4C圖至第4D圖係為根據一個實施例的包括處理站260F的替代配置版本的處理模組250的示意性橫截面圖。在此配置中,處理模組250包括替代配置的中心轉移機器人245,中心轉移機器人245包括複數個支撐臂309,複數個支撐臂309經配置以將一或更多個基板轉移並放置於支撐卡盤組件590的基板支撐表面591A上。基板支撐表面591A係形成於附接至台座升降組件491的支撐卡盤組件590上。
第4C圖圖示定位於基板接收位置或基板轉移位置的支撐卡盤組件590。第4D圖圖示定位於基板處理位置的支撐卡盤組件590。第4C圖至第4D圖所示的支撐卡盤組件590配置係圖示支撐卡盤組件590的基板支撐元件591保持附接至台座升降組件491部件的設計,而專用於單一處理站260,並且僅限於垂直移動基板(例如,在基板接收位置與處理位置之間移動基板)。
支撐卡盤組件590包括支撐板594,支撐板594經配置以支撐並保持基板支撐元件591以及耦接至台座軸592A。支撐卡盤組件590包括加熱器功率源498、靜電卡盤功率源499、及背側氣體源497。加熱器功率源498及/或靜電卡盤功率源499中之每一者係電耦接至基板支撐元件591內所形成的一或更多個電子元件。在此配置中,基板支撐元件591的主體包括嵌入其中的一或更多個電阻式加熱元件。電阻式加熱元件係設置於基板支撐元件591的主體內,以及與加熱器功率源498的輸出連接電連通。設置於基板支撐元件591的主體內的一或更多個夾持電極係與夾持功率供應器499電連通。在一個實例中,透過台座軸592A提供耦接至加熱器功率源498的輸出的三個導線以及耦接至靜電卡盤功率源499的二個導線,而可以單獨連接至各別電子元件。
支撐卡盤組件590包括基板支撐元件591中所形成的背側氣體埠595。背側氣體埠595係耦接至背側氣體源497,背側氣體源497經配置以將背側氣體(例如,N2 、He、Ar)遞送至基板支撐件591所形成的氣體通路,以及遞送至處理期間的基板與基板支撐元件591的表面之間所形成的空間。
類似於上文所述,在處理期間,當基板與支撐卡盤組件590係定位於源組件470下方的處理位置(第4D圖)時,支撐卡盤組件590的一部分或所附接的部件包括適於與密封組件485的一部分一起形成「密封」的密封表面596,以將處理區域460與轉移區域410基本上流體隔離。在一些實施例中,藉由密封表面596的表面與密封組件485的一部分的表面之間所建立的實體接觸而在密封區域487內建立密封表面596與密封組件485的上板485A之間所形成的「密封」。類似於上文所述,在一些較低溫度的應用中,藉由使用定位於支撐卡盤組件590的密封表面596與密封組件485的部分的表面之間的界面處的接帶密封、U形杯密封、或O形環(未圖示)來形成密封。此外,在一些較高溫度(例如,在高於200℃的溫度下)的應用中,藉由支撐卡盤組件590的密封表面596的部分與密封組件485的部分之間的界面處所形成的金屬對金屬或金屬對陶瓷的接觸來形成密封。
參照第4C圖,中心轉移機器人245包括複數個支撐臂309,複數個支撐臂309經配置以將一或更多個基板拾取並放置於支撐卡盤組件590的的基板支撐表面591A上。在一個實施例中,中心轉移機器人245包括升降機構(未圖示),經配置以至少將中心支撐件305以及所附接的複數個支撐臂309從轉移位置升高及降低至轉移位置下方的基板放下位置。利用上述支撐臂308類似的方式來安裝、成形、及配置支撐臂309,不同之處在於經配置以在處理站260之間轉移基板(相對於在處理站260之間轉移基板及基板支撐件672)。在一個實施例中,每一支撐臂309包括定位於支撐臂309的底側表面上的複數個基板支撐元件309A,而使得基板支撐元件309A的支撐表面309C上所支撐的基板可以直接定位於基板支撐元件591的支撐表面591A上。相對的基板支撐元件309A的內邊緣309B係定位成間隔開小於基板的最小可能外尺寸的一距離,以確保可以接收並藉由支撐臂309轉移所有可能的基板。基板支撐元件591的上表面與上部部分中的切除區(未圖示)經配置以與基板支撐元件309A的定向配合,而使得在基板設置於支撐表面591A之後基板支撐元件309A並未接觸或干擾基板支撐元件591,並且使得支撐臂可以環繞中心軸線253旋轉,以將支撐臂309移動至不超過支撐卡盤組件590的位置。
類似的機器臂配置或機器臂的端部(例如,支撐臂309的基板支撐元件309A部分)亦可以作為中間機器人285A、285B的端效器的一部分,以將基板拾取及放下至基板支撐元件591的支撐表面591A,或者可替代地拾取及放下至基板支撐件672的主體643的支撐表面674。類似地,如上所述,在一個實施例中,中間機器人285A、285B包括升降機構(未圖示),經配置成至少升高及降低中間機器人285A、285B的端效器(未圖示)至轉移位置與基板放下位置(轉移位置的下方)。基板支撐元件591或基板支撐件672的上表面與上部部分中的一或更多個切除區(未圖示)經配置以與定位於中間機器人285A、285B的端效器(未圖示)的基板支撐元件309A的定向配合,而使得在基板設置其上之後基板支撐元件309A並未接觸或干擾基板支撐元件591或基板支撐件672,而端效器係從不超過支撐卡盤組件590或基板支撐件672的位置縮回。
已發現包括使用具有支撐元件(例如,第4C圖所示的支撐元件309A)的機器人端效器的處理系統200是有用的,因為允許將基板定位於基板支撐件672或支撐卡盤組件590的基板支撐表面上,而不需要使用該領域通常使用的單獨的基板升降組件(例如,升降銷、升降箍、升降致動器),以在基板交換操作期間將基板與基板支撐表面分離。當處理站260的成本及複雜性減少時,使用這種類型的機器人端效器亦不需要在基板支撐件672或支撐卡盤組件590內形成用於允許升降組件部件(例如,升降銷)存取設置於基板接收表面上的基板所需的孔洞,然後亦不需要密封基板支撐件672或支撐卡盤組件590中所形成的孔洞來在處理期間將處理區域460與轉移區域401流體隔離。因此,在本文所述的一或更多個實施例,基板支撐件672或支撐卡盤組件590並未包括用於接受基板升降部件的貫通孔洞(例如,升降銷孔洞),以及在一些情況下,可以僅包括用於在處理期間將背側氣體提供至基板的單一貫通孔洞(例如,背側氣體埠671或背側氣體埠595)。
當第4C圖至第4D圖所示的替代處理站配置包括沒有包括基板支撐件與基板的移動的基板轉移順序,在此情況下,處理模組250仍然包括如上所述的相同的基本轉移區域401與處理區域460的結構配置及優點。舉例而言,藉由依據系統控制器299(第2A圖)所發送的命令,基板支撐件致動組件490在每一處理站260處控制支撐卡盤組件590的移動及定位,而可以單獨及選擇性隔離每一處理站260的處理區域460。 基板處理實例
如上文結合第1A圖及第1B圖所述,每一處理站260A-260F經配置以單獨在基板上執行所期望的處理。在一個實例中,在處理模組250內的複數個處理站中單獨執行沉積處理。單獨執行的沉積處理可以包括隨著依序在處理站260A-260F中進行處理而經由PVD處理依序將層沉積於基板上。在PVD沉積處理或濺射處理期間,藉由功率供應器475將偏壓施加至靶472。由於藉由所施加的偏壓遞送至濺射氣體(氣體源699所提供而轟擊靶的表面)而產生的離子化的氣體原子,施加至靶的偏壓造成靶材料的一部分從靶472面噴出。所噴出或濺射材料的流出包括靶材料的離子化及中性原子通常行進朝向所形成的處理區域460的下部部分,並到達基板S的表面與處理套件組件480的屏蔽件(例如,內屏蔽件489、處理區域屏蔽件482)。從靶472的表面噴出的離子化的靶原子的流出的方向可以藉由將基板支撐件672所形成的電極中之一者接地或施加直流(DC)或射頻(RF)偏壓至基板支撐件672所形成的電極中之一者來改變。因此,在一些實施例中,加熱器功率源498與靜電卡盤功率源499包括DC或RF功率供應器,DC或RF功率供應器經配置以將偏壓施加至設置於基板支撐件672內的一或更多個夾持電極或加熱元件。設置於基板支撐件591內的夾持電極通常定位於設置於基板支撐件672的基板支撐表面處的介電材料的正下方(例如,0.1mm至1mm)。然而,由於無法在處理期間離子化所有的濺射原子以及由於PVD腔室的結構配置,PVD處理係視為視線沉積處理,其中藉由在PVD沉積處理期間相對於基板的表面的靶的形狀與靶482的平行度來影響晶圓內(WIW)沉積均勻性視角。在一個實例中,由於角度未對準,在基板的一個邊緣處相對於基板的相對邊緣的較小的靶到基板的間隔將造成整個基板上的厚度變化。因此,如下文關於第7A圖至第7B圖所討論,相對於當在轉移區域401與處理區域460內並未提供真空壓力時(例如,在維護活動期間所發生),由於外部環境壓力區域403(第4A圖)與轉移區域401及處理區域460之間所建立的壓力差異,腔室上壁616與下壁618的偏轉趨向於造成靶472的表面在處理期間偏轉,而相對於基板支撐件672的表面成角度。由於腔室上壁616與下壁618的較大總跨度需要延伸於XY平面(例如,>3m的直徑)內,以允許基板定位於轉移區域401內以及在處理站260之間轉移,所以處理模組250的尺寸隨著基板尺寸的增加(例如,≥300mm)而增加,而由於處理模組250的尺寸增加,處理站260中之每一者內的靶472的偏轉亦增加。為了最小化腔室上壁616與下壁618的偏轉的影響,結構支撐組件710係用於最小化腔室上壁616與下壁618的偏轉,並改善處理模組250處於真空下(例如,10Torr至10-8 Torr)或處於環境壓力下(例如,760Torr)的處理站260A-260F的源組件470彼此的平行度。
在處理站260中執行PVD處理步驟之後,靶472上的偏壓電壓返回至零,所產生的電漿消失,並且如以上結合第4A圖及第4B圖所示的實施例所討論,將基板S與基板支撐件672降低以回到位於支撐臂308上。
除了沉積處理之外,可以在處理站中附加執行一或更多個靶黏貼處理(例如,從靶的表面上清除氧化物層或反應性濺射所形成的層)及/或腔室清潔處理。在一個實例中,在黏貼處理期間,將黏貼碟(例如,基板尺寸的金屬碟)定位於台座升降組件491,以及藉由台座升降組件491移動進入處理位置,以允許在黏貼碟上(而不是在基板上)執行PVD沉積處理,以清潔靶472的表面。 處理模組結構元件
現在參照第7A圖、第7B圖、及第8圖,圖示處理模組250的進一步的結構與細節。此處,如第7A圖所示,處理模組250包括形成處理模組250的下部部分或基底的下單體720以及密封並支撐於其上的上單體722。在一些實施例中,下單體720與上單體722藉由一些所期望的手段焊接、銅焊、或熔合在一起,以在下單體720與上單體722之間的界面處形成真空密封接頭。在一些實施例中,下單體720具有大致平板狀結構(具有七個側刻面(第2A圖)),並且包括下壁618,下壁618包括設置於中心凹部724(第8圖)內的中心開口723以及複數個下處理站開口725(第8圖中圖示其中二個),中心凹部724係設置於中心區域內,每一下處理站開口係對應於處理站260的位置。複數個台座組件492(第8圖中圖示其中二個)從下壁618延伸通過及向下。包括支撐框架728的下支撐結構727係用於支撐下單體720與上單體722,以及將處理模組250定位於地板(未圖示)上方的所期望垂直位置。
在一些實施例中,上單體722具有大致平板狀結構(具有與下單體720的側刻面匹配的八個側刻面(第2A圖))。包括腔室上壁616的上主要部分711包括設置於中心區域內的中心開口713(第8圖)以及複數個上處理站開口734(第4A-4D圖、第7B圖、及第8圖所示),每一上處理站開口734係對應於處理站260的處理套件組件480與源組件470所定位的位置。已發現在腔室上壁616中形成中心開口713,而使得中心開口713的直徑落入小於約0.5及大於0.3的直徑比率(藉由中心開口的直徑相對於外區域721的內表面721A處的轉移區域401的外延伸的直徑(亦即,腔室上壁616的未支撐長度)的比率所定義)內,以允許使用者可以透過中心開口713進出處理模組250的中心區域,而令人驚訝地不會由於從腔室上壁616的中心移除的材料不利地增加在處理期間(例如,在真空下)的腔室上壁616的偏轉。在此配置中,可移除的中心外罩690延伸於中心開口713上方,但一般並未耦接至腔室上壁616或用於向腔室上壁616提供附加結構支撐。可移除的中心外罩690包括密封件(未圖示),當藉由真空泵454將轉移區域401維持在真空狀態時,密封件用於防止外部環境氣體洩漏至轉移區域401中。下單體720與上單體722的周邊區域721的內表面721A形成轉移區域的外邊緣401。進出開口504A、504B延伸通過內表面721A的一部分以及通過上單體722的壁的一部分(第4A圖至第4D圖)或下單體720的壁的一部分(未圖示)中之任一者。
如上所述,由於環境壓力區域403與轉移區域401及處理區域460之間所產生的壓力差異,腔室上壁616與下壁618的偏轉趨於使得源組件470的一部分(例如,靶472的表面)在處理期間偏轉,而相對於基板支撐件672的表面成角度。為了最小化腔室上壁616與下壁618的偏轉,結構支撐組件710係用於最小化腔室上壁616及/或下壁618的偏轉,並改善處理模組250處於真空下或處於環境壓力下的源組件470的平行度。由於製造限制、成本限制、關於經組裝的上單體722與下單體720的運送有關的限制,腔室上壁616通常具有50毫米(mm)與100mm之間的平均壁厚度(Z方向),而下壁618的平均壁厚度(Z方向)係在75mm與150mm之間。此處,為了幫助確保此平行度,上單體722包括結構支撐組件710,結構支撐組件710包括上支撐元件701與複數個安裝元件702,安裝元件702中之每一者的第一端係耦接至腔室上壁616。在一些實施例中,安裝元件702的第一端係藉由螺栓、焊接、或甚至作為腔室上壁616的一部分而整體形成安裝元件702來耦接至腔室上壁616。安裝元件702的陣列係定位於處理站260中之每一者之間的腔室上壁616上並與之耦接。在一些實施例中,安裝元件的陣列中之每一者的第一端係在徑向位置處耦接至第一壁,徑向位置係沿著延伸於二個相鄰處理站開口之間的徑向方向735定位。在一個實例中,如第7B圖所示,安裝元件中之每一者的徑向位置係沿著延伸於每一對處理站開口之間的徑向方向735定位,並定位於上處理站開口734的內側的徑向位置處(例如,從中心軸線253延伸的較小半徑)。在一些配置中,安裝元件702包括垂直區段714A(第8圖)以及徑向區段714B(第7B圖),徑向區段714B係從中心軸線253沿著徑向方向延伸。
在一些實施例中,上支撐元件701通常包含耦接至安裝元件702中之每一者的第二端的甜甜圈形狀的結構元件,以最小化腔室上壁616的偏轉。如第7A圖及第7B圖所示,在一些配置中,甜甜圈形狀並非完美的甜甜圈形,並且可以包括一或更多個刻面(例如,所示的六個刻面)以及一或更多個平坦安裝表面(例如,頂表面與底表面)。上支撐元件701係藉由螺栓702(亦即,螺釘703)、焊接、或甚至作為上支撐元件701的一部分而整體形成安裝元件702來耦接至安裝元件中之每一者。安裝元件702的垂直區段714A經配置以將上支撐元件701定位成與腔室上壁616的安裝表面(例如,暴露的頂表面)距離約150mm與約450mm之間的一距離808。如第8圖所示,上支撐元件701的橫截面包括橫截面高度806與橫截面寬度807,經配置以將所期望的添加剛度提供至腔室上壁616(至少由於面積的矩慣性),以支撐腔室上壁616以及抵消所施加的真空壓力(例如,~14.7psig)的感應負載,以最小化其偏轉。在一個實例中,由於在處理期間為了維持轉移區域401所施加的真空壓力(例如,在小於1Torr(例如,10-3 Torr與10-8 Torr之間)的壓力下),三米直徑的腔室上壁616接收總共約716000N(161000磅)的力。在一些實施例中,利用與用於形成上單體722與下單體720部件(例如,腔室上壁616與下壁618)的材料相同的材料(例如,鋁材料(例如,6061 Al))來形成上支撐元件701與安裝元件702。在一些實施例中,利用具有大於用於形成上單體722與下單體720部件的材料的彈性模量(E)的材料(例如,不銹鋼材料(例如,304 SST、316 SST))來形成上支撐元件701與安裝元件702,並利用鋁材料形成上單體722與下單體720部件。在一個實例中,上支撐元件701具有約50mm與約125mm之間的橫截面高度806、約75mm與約200mm之間的橫截面寬度807、及中心開口805,中心開口805的內部直徑係為約750mm與約900mm之間。在此配置中,上支撐元件701經配置以承受提供至腔室上壁616的真空感應負載,腔室上壁616包括腔室上壁616中的中心開口713,中心開口713的直徑係小於中心開口805的內部直徑(例如,小於中心開口805的內部直徑的85%,或小於中心開口805的內部直徑的95%)。在一些實施例中,結構支撐組件710與腔室上壁616的結構的組合經配置以將靶472的處理表面472A相對於與XY平面平行的橫向平面801(第8圖)的角度偏轉或角度未對準最小化成約0.1mm與約0.25mm之間的傾斜角度(例如,在約0.02度與0.05度的角度之間),傾斜角度係在跨越以環繞靶472的中心為中心的300mm直徑(例如,行進)上的邊緣對邊緣(例如,上升)所測量。在一些實施例中,結構支撐組件710與腔室上壁616的結構的組合經配置以將靶472的處理表面472A相對於設置於基板支撐件672上的基板S的暴露表面的角度未對準最小化成約0.1mm與約1mm之間的傾斜角度(例如,在約0.02度與0.2度的角度之間),傾斜角度係在跨越基板S的300mm直徑(例如,行進)上的邊緣對邊緣(例如,上升)所測量。儘管並非意欲限制本文所提供之揭示的範圍,但是在一些情況下,由於腔室上壁616的偏轉(例如,第1折曲模式形狀),沿著從中心軸線延伸的徑向方向的處理表面472A與橫向平面801之間的角度未對準可能最大。
儘管在第8圖中未圖示,但是在一些實施例中,第二結構支撐組件710係利用與結構支撐組件710耦接至腔室上壁616類似的方式耦接至下壁618。在一些實施例中,處理模組250可以包括腔室上壁616上的第一結構支撐組件710以及下壁618上的第二結構支撐組件710,以改善處理模組250內的轉移區域401處於真空下或處於環境壓力下的所有處理站260中的源組件470的平行度。 替代處理模組配置實例
相對於上文主要描述的六個處理站配置,第9A圖及第9B圖圖示包括四個處理站的處理模組250的替代配置的實例。因此,第9A圖及第9B圖圖示作為槳機器人處理模組900的進一步的處理模組的概念。結合第4C圖至第4D圖的類似描述,在此配置中,提供四個處理站260,其中每一者具有第2A圖至第8圖的處理站260的相同的一般配置,然而,相對地,四個處理站係位於矩形外殼的四個角落902,而基板支撐件672A-672D並未從一個處理站260移動至另一處理站260。矩形外殼902包括利用類似於如上所述的上單體722與下單體720的方式配置的上主體904與下主體906。第9A圖包括槳機器人處理模組900的上主體部分904與下主體906,而第9B圖僅包括槳機器人處理模組900的下主體906部分。上主體904包括經配置以支撐處理站260A-260D中之每一者的源組件470與處理套件組件480的腔室上壁。下主體906類似地包括經配置以支撐處理站260A-260D中之每一者內的支撐件夾持組件590的下壁。
第一及第二處理腔室閥244A、244B係位於槳機器人處理模組900的四個壁中之一共通者,而使得基板可以使用機器人通過其中裝載並裝載至第一基板支撐件672A上(例如,第2A圖的中間機器人285)。因此,將基板支撐件672A提升至第一處理站260A中的處理位置,並處理基板(例如,藉由沉積濺射膜層)。然後,可以藉由第一槳機器人908A將基板從基板支撐件672A移動至基板支撐件672B,以用於在下一個處理站260B進行處理。可替代地,第一基板可以裝載至第一基板支撐件672A上,然後藉由第一槳機器人908A移動至第二基板支撐件672B(而不在第一基板支撐件672A上進行處理),然後將第二基板裝載至第一基板支撐件672A上,以在其上進行處理。利用類似方式,第二槳機器人908B可以在第四基板支撐件672D與第三基板支撐件672C之間移動二個附加基板。在各別處理站中的第一及第二基板上所進行的處理以及在一些情況下另外在各別處理站中的附加基板上所進行的處理可以同時或基本上同時進行。
每一槳機器人908A、908B包括可旋轉底座910A、910B,從可旋轉底座910A、910B延伸的槳臂912A、912B係端接於槳端效器914A、914B。可旋轉底座910A、B係連接至矩形外殼下方的馬達(未圖示),並且可旋轉以將槳端效器914A、914B定位於各別基板支撐件672A-D中之一者上方。此外,停靠站916A-D係沿著弧形路徑995定位,槳端效器914A,914B擺動通過弧形路徑,而使得基板可以儲存於處理站260處的處理之間的停靠站916A-D處或直接儲存於處理站260之間。
上文已經參照特定實施例來描述本發明。然而,該領域具有通常知識者應理解,在不悖離所附請求項中闡述的本發明的更廣泛精神及範圍的情況下,可以進行各種修改及改變。因此,先前的描述與圖式係視為說明性而非限制性。
101:基板S的部分 102:薄膜層 102A:金屬層 102B:金屬層 102C:金屬層 103:薄膜層 103A:反應性金屬層 103B:反應性金屬層 103C:反應性金屬層 121:基板S的部分 122:層 123:阻隔層 124:晶種層 125:銅層 128:通孔開口 200:處理系統 202:中間區段 220:前端 225A:第一閥 225B:第一閥 230A:裝載閘腔室 230B:裝載閘腔室 235A:第二閥 235B:第二閥 244A:處理腔室閥 244B:處理腔室閥 250:處理模組 252:假想圓 253:中心軸線 260:處理站 260A:處理站 260B:處理站 260C:處理站 260D:處理站 260E:處理站 260F:處理站 280A:中間機器人腔室 280B:中間機器人腔室 285A:中間機器人 285B:中間機器人 292A:預清潔/除氣腔室 292B:預清潔/除氣腔室 299:系統控制器 308:支撐臂 309:支撐臂 309A:基板支撐元件 309B:內邊緣 309C:支撐表面 401:轉移區域 403:外部環境壓力區域 453:電觸點 457:旋轉料架馬達 457A:馬達軸 458:功率供應器 459:功率供應器 460:處理區域 470:源組件 471:磁控管組件 472:靶 473:源組件壁 474:蓋 475:濺射功率供應器 480:處理套件組件 482:處理區域屏蔽件 483:隔離環 484:站壁 486:覆蓋環 488:沉積環 489:內屏蔽件 491:台座升降組件 492:台座組件 495:可分離背側氣體連接 496:電觸點 497:背側氣體源 498:加熱器功率源 499:靜電卡盤功率源 504A:轉移開口 504B:轉移開口 505:中心軸線 530:端效器 532:端效器 536:中心輪轂 537a:第一叉 537b:第二叉 538:第一臂 542:第一輪轂臂 544:第一腕連接 546:第二臂 550:第二腕連接 560:支撐部分 590:支撐卡盤組件 591A:基板支撐表面 616:腔室上壁 618:下壁 672:基板支撐件 672A:基板支撐件 672B:基板支撐件 672C:基板支撐件 672D:基板支撐件 673:電觸點 690:可移除的中心外罩 710:結構支撐組件 713:中心開口 720:下單體 721:外區域 721A:內表面 722:上單體 904:上主體部分 906:下主體 908A:第一槳機器人 908B:第二槳機器人 910A:可旋轉底座 910B:可旋轉底座 912A:槳臂 912B:槳臂 914A:槳端效器 914B:槳端效器 916A:停靠站 916B:停靠站 916C:停靠站 916D:停靠站 1001:密封元件
為使本揭示的上述特徵可詳細地被理解,本揭示(簡短概要如上)的更特定描述可參照實施例而得,該等實施例之一些係繪示於隨附圖式中。然而,應注意,隨附圖式僅圖示示例性實施例,而因此並非視為限制其範圍,並且可以接納其他等效實施例。
第1A圖係為具有藉由使用本文所述的方法及/或設備而形成及/或處理的沉積其上的複數個膜層的基板的部分剖視圖。
第1B圖係為具有利用藉由使用本文所述的方法及/或設備而形成及/或處理的沉積材料層來覆蓋、襯墊、及填充的形成其上的特徵的基板的部分剖面圖。
第2A圖係為根據一或更多個實施例的包括處理模組的處理系統的平面圖,處理模組包括用於處理基板的處理站。
第2B圖係為根據一或更多個實施例的包括複數個處理模組的處理系統的替代版本的平面圖,複數個處理模組中之每一者包括用於處理基板的處理站。
第3A圖係為根據一或更多個實施例的第2A圖的處理模組的等距視圖。
第3B圖係為根據一或更多個實施例的第2A圖的處理模組的平面圖。
第4A圖係為根據一或更多個實施例的第2A圖的處理模組的一部分的部分剖面圖,圖示位於處理模組的處理站下方的轉移位置的基板支撐件。
第4B圖係為根據一或更多個實施例的第2A圖的處理模組的部分橫截面圖,圖示提升進入處理位置而形成密封的基板處理容積的基板支撐件。
第4C圖係為根據一或更多個實施例的第2A圖的處理模組的一部分的部分剖面圖,圖示位於處理模組的處理站下方的轉移位置的基板支撐件的替代配置。
第4D圖係為根據一或更多個實施例的第2A圖的處理模組的部分橫截面圖,圖示提升進入處理位置而形成密封的基板處理容積的第4C圖所示的基板支撐件的替代配置。
第5A圖係為根據一或更多個實施例的用於第2A圖的處理模組中的處理站之間移動基板的機器人的示意性平面圖。
第5B圖係為根據一或更多個實施例的第5A圖的機器人的實施方案的等距視圖。
第5C圖係為根據一或更多個實施例的第5A圖及第5B圖的替代機器人配置的平面圖。
第6圖係為根據一或更多個實施例的第4B圖的處理模組的處理站的部分橫截面圖。
第7A圖係為根據一或更多個實施例的包括用於維持源組件的處理表面以及設置於處理模組內的基板支撐表面的共面的結構支撐組件的處理模組的等距視圖。
第7B圖係為根據一或更多個實施例的設置於第7A圖所示的處理模組的腔室上壁上的結構支撐組件的接近等距視圖。
第8圖係為根據一或更多個實施例的沿著第7A圖所示的剖面線段8-8而形成的處理模組的側剖面圖。
第9A圖係為根據一或更多個實施例的相對於第2A圖的處理模組的替代配置的平面圖。
第9B圖係為根據一或更多個實施例的第9圖的處理模組的下主體部分的平面圖,而圖示其中的槳機器人的運動路徑。
為促進理解,各圖中相同的元件符號儘可能指定相同的元件。預期一個實施例的元件和特徵可有利地併入其他實施例,在此不另外詳述。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
200:處理系統
202:中間區段
220:前端
225A:第一閥
225B:第一閥
230A:裝載閘腔室
230B:裝載閘腔室
235A:第二閥
235B:第二閥
244A:處理腔室閥
244B:處理腔室閥
250:處理模組
252:假想圓
253:中心軸線
260:處理站
260A:處理站
260B:處理站
260C:處理站
260D:處理站
260E:處理站
260F:處理站
280A:中間機器人腔室
280B:中間機器人腔室
285A:中間機器人
285B:中間機器人
292A:預清潔/除氣腔室
292B:預清潔/除氣腔室
299:系統控制器

Claims (30)

  1. 一種基板處理系統,包含: 一處理模組,包含至少部分定義一轉移區域的複數個壁,其中該等複數個壁包含: 一第一壁,包含圍繞一中心軸線的處理站開口的一陣列;以及 一第二壁,包含一中心開口,其中該第二壁係定位於該處理模組的與該第一壁相對的一側; 二或更多個處理站,定位於該第一壁上,其中該二或更多個處理站的每一處理站係單獨設置於處理站開口上方,以及包含: 一源組件; 一處理套件組件,包含複數個處理區域組件與一密封組件;以及 一基板支撐件致動組件,包含一支撐板組件,該支撐板組件可以藉由耦接至該第二壁的一致動器來定位; 一中心機器人,經配置以在該轉移區域內轉移基板,以及包含: 複數個支撐臂,在第一端處耦接至一中心支撐件,以及包括在第二端處的一支撐區域;以及 一致動器,經配置以環繞該中心軸線旋轉該中心支撐件與該等複數個支撐臂, 其中每一支撐臂的該支撐區域可以在該支撐臂環繞該中心軸線旋轉時定位於一處理站開口下方;以及 複數個基板支撐件,該等複數個基板支撐件中之每一者包含一密封表面以及具有一基板接收表面與設置其中的一或更多個電子元件的一主體, 其中 當藉由該中心機器人在該轉移區域轉移基板時,一基板支撐件係設置於該等支撐臂中之每一者的一支撐區域上,以及 當一基板藉由該致動器定位於該二或更多個處理站的一處理站內的一處理位置時,該基板支撐件係設置於一支撐板組件上,並與該支撐臂的該支撐區域分離。
  2. 如請求項1所述的基板處理系統,其中該二或更多個處理站中的該源組件中之至少一者包含一物理氣相沉積(PVD)靶。
  3. 如請求項2所述的基板處理系統,其中該二或更多個處理站中之一者中的一源組件包含一噴淋頭。
  4. 如請求項1所述的基板處理系統,其中該密封表面經配置以在該基板支撐件定位於該處理位置時與該密封組件的一表面形成一密封,其中所形成的該密封經配置以將一處理區域與該轉移區域流體隔離。
  5. 如請求項1所述的基板處理系統,其中該密封組件進一步包含: 一第一板、一第二板、及耦接至該第一板與該第二板的一柔性構件, 其中該第一板的一表面經配置以在該基板支撐件定位於處理位置時接觸該密封表面,而該第二板的一表面係耦接至該等複數個處理區域部件中之一者,並與該等複數個處理區域部件中之一者形成一密封。
  6. 如請求項5所述的基板處理系統,其中當該第一板的該表面接觸該密封表面時,該第一板的該表面基本上平行於該源組件的該下表面。
  7. 如請求項1所述的基板處理系統,其中 每一支撐臂的該支撐區域進一步包含經配置以電耦接至一功率源的複數個支撐臂電觸點, 該基板支撐件進一步包含電耦接至該一或更多個電子元件的複數個基板支撐件電觸點,以及 該等複數個支撐臂電觸點中之每一者經配置以在基板支撐件設置於該等複數個支撐臂的一支撐臂的一支撐區域時,接觸該等基板支撐件電觸點的一不同電觸點。
  8. 如請求項1所述的基板處理系統,其中當該基板支撐件藉由該致動器從該等複數個支撐臂的一支撐臂的該支撐區域轉移至該處理位置時,該等複數個基板支撐件中的一基板支撐件係設置於耦接至該致動器的一支撐板上,其中當該等基板藉由該中心機器人在轉移區域中轉移時,該支撐板係定位於該轉移區域中,以及定位於該等複數個支撐臂下方。
  9. 如請求項1所述的基板處理系統,其中當該基板支撐件藉由該致動器從該等複數個支撐臂的一支撐臂的該支撐區域轉移至該處理位置時,該等複數個基板支撐件中的一基板支撐件係設置於耦接至該致動器的一支撐板上,其中 該基板支撐件進一步包含電耦接至該一或更多個電子元件的複數個基板支撐件電觸點,以及 該支撐板包含複數個支撐板電觸點,該等複數個支撐板電觸點中之每一者經配置以在基板支撐件設置於該支撐板上時,接觸該等基板支撐件電觸點的一不同電觸點。
  10. 如請求項9所述的基板處理系統,進一步包含: 一可分離氣體連接,包含一密封表面,經配置以利用設置於該等複數個基板支撐件的一基板支撐件的一表面上的一接收表面來形成一基本上液密的密封,其中該可分離氣體連接經配置以耦接至一氣體源。
  11. 一種基板處理系統,包含: 一處理模組,包含至少部分定義一轉移區域的複數個壁,其中該等複數個壁包含: 一第一壁,包含一第一中心開口以及圍繞該第一中心開口的處理站開口的一陣列;以及 一第二壁,包含一第二中心開口,其中該第二壁係定位於該處理模組的與該第一壁相對的一側; 二或更多個處理站,定位於該第一壁上,其中該二或更多個處理站的每一處理站係單獨設置於一處理站開口上方,以及包含: 一源組件,包含一處理表面,該處理表面係與該處理站的一處理區域相鄰,並以相對於一水平面的一平行關係來定位; 一結構支撐組件,包含: 一支撐元件,具有一甜甜圈形狀與一安裝表面;以及 安裝元件的一陣列,設置於該支撐元件與該處理模組的該第一壁之間,其中每一者包含: 一第一端,在延伸於處理站開口的該陣列的兩個相鄰處理站開口之間的一徑向線段上的一徑向位置處耦接至該第一壁,以及 一第二端,耦接至該支撐元件的該安裝表面,其中當在該轉移區域中產生一真空壓力時,該結構支撐組件減少相對於該水平面的該第一壁的該偏轉與該處理表面的角度未對準。
  12. 如請求項11所述的基板處理系統,其中該源組件進一步包含一物理氣相沉積(PVD)靶,而該處理表面係藉由該物理氣相沉積(PVD)靶的一表面來定義。
  13. 如請求項11所述的基板處理系統,其中該源組件進一步包含一噴淋頭,而該處理表面係藉由該噴淋頭的一表面來定義。
  14. 如請求項11所述的基板處理系統,其中該處理模組的該等複數個壁包含一鋁材料。
  15. 如請求項14所述的基板處理系統,其中該支撐元件與安裝元件的該陣列包含一鋁材料。
  16. 如請求項14所述的基板處理系統,其中該支撐元件與安裝元件的該陣列包含具有比該鋁材料更高的一彈性模量的一材料。
  17. 如請求項11所述的基板處理系統,其中該支撐元件的一內部直徑係大於該第一中心開口的該直徑。
  18. 如請求項11所述的基板處理系統,其中該支撐元件的該安裝表面係與該第一壁間隔開一第一距離,其中該第一距離基本上等於該第一端與該第二端之間的該距離。
  19. 如請求項11所述的基板處理系統,其中 該二或更多個處理站進一步包含: 一處理套件組件,包含複數個處理區域組件與一密封組件;以及 一基板支撐件致動組件,包含一支撐板組件,該支撐板組件可以藉由耦接至該第二壁的一致動器來定位;以及 該基板處理系統進一步包含: 複數個基板支撐件,該等複數個基板支撐件中之每一者包含一密封表面與一主體,該主體具有一基板接收表面與設置其中的一或更多個電子元件,其中,其中該密封表面經配置以在該基板支撐件定位於一處理位置時與該密封組件的一表面形成一密封,其中所形成的該密封經配置以將處理區域與轉移區域流體隔離。
  20. 如請求項19所述的基板處理系統,其中該基板支撐件的該密封表面基本上平行於該源組件的該處理表面。
  21. 一種基板處理系統,包含: 一處理模組,包含至少部分定義一轉移區域的複數個壁,其中該等複數個壁包含: 一第一壁,包含一第一中心開口以及圍繞該第一中心開口的上處理站開口的一陣列;以及 一第二壁,包含一第二中心開口以及圍繞該第二中心開口的下處理站開口的一陣列,其中該第二壁係定位於該處理模組的與該第一壁相對的一側; 一中心機器人,包含: 一中心支撐件,定位於該第二中心開口上方,以及定位於該轉移區域內; 複數個支撐臂,耦接至該中心支撐件並從該中心支撐件沿著從一中心軸線延伸的一徑向方向延伸;以及 一致動器,經配置以環繞該中心軸線旋轉該中心支撐件與該等複數個支撐臂; 二或更多個處理站,定位於該第一壁上,其中每一處理站係設置於該等上處理站開口中之一者上方,以及包含: 一源組件;以及 一處理套件組件,包含複數個處理區域組件與一密封組件;以及 一基板支撐件致動組件,包含耦接至該第二壁的一致動器;以及 一基板支撐件,包含具有一基板接收表面以及設置其中的一或更多個電子元件的一主體,而該基板支撐件經配置以藉由使用該基板支撐件致動組件的該致動器從一轉移位置轉移至一處理位置,其中該轉移位置係定位於該等複數個支撐臂下方,且該處理位置係定位於該等複數個支撐臂上方。
  22. 如請求項21所述的基板處理系統,其中該二或更多個處理站中的該源組件中之至少一者包含一物理氣相沉積(PVD)靶。
  23. 如請求項22所述的基板處理系統,其中該二或更多個處理站中之一者中的一源組件包含一噴淋頭。
  24. 如請求項21所述的基板處理系統,其中形成於該基板支撐件上的一密封表面經配置以在該基板支撐件定位於該處理位置時與該密封組件的一表面形成一密封,其中所形成的該密封經配置以將一處理區域與該轉移區域流體隔離。
  25. 如請求項21所述的基板處理系統,其中該密封組件進一步包含: 一第一板、一第二板、及耦接至該第一板與該第二板的一柔性構件, 其中該第一板的一表面經配置以在該基板支撐件定位於處理位置時接觸形成於該基板支撐件上的一密封表面,而該第二板的一表面係耦接至該等複數個處理區域部件中之一者,並與該等複數個處理區域部件中之一者形成一密封。
  26. 如請求項25所述的基板處理系統,其中當該第一板的該表面接觸該密封表面時,該第一板的該表面基本上平行於該源組件的該下表面。
  27. 一種基板處理系統,包含: 一處理模組,包含至少部分定義一轉移區域的複數個壁,其中該等複數個壁包含: 一第一壁,包含一第一中心開口以及圍繞該第一中心開口的處理站開口的一陣列;以及 一第二壁,包含一第二中心開口,其中該第二壁係定位於該處理模組的與該第一壁相對的一側; 一中心機器人,包含: 一中心支撐件,定位於該第二中心開口上方,以及定位於該轉移區域內; 複數個支撐臂,耦接至該中心支撐件並從該中心支撐件沿著從一中心軸線延伸的一徑向方向延伸;以及 一致動器,經配置以環繞該中心軸線旋轉該中心支撐件與該等複數個支撐臂; 二或更多個處理站,定位於該第一壁上,其中每一處理站係單獨設置於一處理站開口上方,以及包含: 一源組件;以及 一處理套件組件,包含複數個處理區域組件與一密封組件;以及 一基板支撐件致動組件,包含一致動器;以及 一基板支撐件,包含一密封表面以及具有一基板接收表面與設置其中的一或更多個電子元件的一主體,其中 該基板支撐件經配置以藉由該基板支撐件致動組件的該致動器定位於一處理位置中,該處理位置係定位於該等複數個支撐臂的垂直上方,以及 該密封表面經配置以在該基板支撐件定位於該處理位置時,接觸該密封組件的一表面,並造成一處理區域與該轉移區域流體隔離。
  28. 如請求項27所述的基板處理系統,其中該二或更多個處理站中的該源組件中之至少一者包含一物理氣相沉積(PVD)靶。
  29. 如請求項28所述的基板處理系統,其中該二或更多個處理站中之一者中的一源組件包含一噴淋頭。
  30. 如請求項27所述的基板處理系統,其中該密封組件進一步包含: 一第一板、一第二板、及耦接至該第一板與該第二板的一柔性構件, 其中該第一板的一表面經配置以在該基板支撐件定位於處理位置時接觸該密封表面,而該第二板的一表面係耦接至該等複數個處理區域部件中之一者,並與該等複數個處理區域部件中之一者形成一密封。
TW109116658A 2019-05-31 2020-05-20 多基板處理系統 TW202114015A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/427,642 US10998209B2 (en) 2019-05-31 2019-05-31 Substrate processing platforms including multiple processing chambers
US16/427,642 2019-05-31

Publications (1)

Publication Number Publication Date
TW202114015A true TW202114015A (zh) 2021-04-01

Family

ID=73551424

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109116658A TW202114015A (zh) 2019-05-31 2020-05-20 多基板處理系統

Country Status (3)

Country Link
US (1) US10998209B2 (zh)
TW (1) TW202114015A (zh)
WO (1) WO2020242806A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021180306A (ja) * 2020-05-12 2021-11-18 エーエスエム・アイピー・ホールディング・ベー・フェー 高スループットマルチチャンバ基材処理システム
TW202223991A (zh) * 2020-10-21 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於可流動間隙填充之方法及裝置
US11955355B2 (en) 2021-02-25 2024-04-09 Applied Materials, Inc. Isolated volume seals and method of forming an isolated volume within a processing chamber
US20220275509A1 (en) * 2021-02-26 2022-09-01 Hzo, Inc. Plasma-enhanced chemical vapor deposition coating system
US20230017035A1 (en) * 2021-07-15 2023-01-19 Applied Materials, Inc. Integrated methods for graphene formation
KR20230033101A (ko) * 2021-08-27 2023-03-08 삼성전자주식회사 플라즈마 발생 장치

Family Cites Families (161)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3775225A (en) 1971-07-06 1973-11-27 Gloucester Eng Co Inc Machine for perforating and heat sealing a web including an elongated element with a multiplicity of drivers
JPS5134945A (ja) 1974-09-19 1976-03-25 Toyota Motor Co Ltd Barukumoorudeingukonpaundo no seizoho
JPS55157755A (en) 1979-05-28 1980-12-08 Canon Inc Developing method
ATE208961T1 (de) * 1988-05-24 2001-11-15 Unaxis Balzers Ag Vakuumanlage
US5067218A (en) * 1990-05-21 1991-11-26 Motorola, Inc. Vacuum wafer transport and processing system and method using a plurality of wafer transport arms
US5404894A (en) * 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
US5863170A (en) 1996-04-16 1999-01-26 Gasonics International Modular process system
US6152070A (en) 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6110011A (en) 1997-11-10 2000-08-29 Applied Materials, Inc. Integrated electrodeposition and chemical-mechanical polishing tool
US6162299A (en) 1998-07-10 2000-12-19 Asm America, Inc. Multi-position load lock chamber
FI118342B (fi) 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6440261B1 (en) 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
TW504941B (en) 1999-07-23 2002-10-01 Semiconductor Energy Lab Method of fabricating an EL display device, and apparatus for forming a thin film
US7066703B2 (en) 1999-09-29 2006-06-27 Tokyo Electron Limited Chuck transport method and system
US20030155079A1 (en) 1999-11-15 2003-08-21 Andrew D. Bailey Plasma processing system with dynamic gas distribution control
FI118343B (fi) 1999-12-28 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6576062B2 (en) 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
JP4644926B2 (ja) 2000-10-13 2011-03-09 ソニー株式会社 半導体製造装置および半導体装置の製造方法
US6962471B2 (en) 2000-10-26 2005-11-08 Leica Microsystems Jena Gmbh Substrate conveying module and system made up of substrate conveying module and workstation
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US20020096114A1 (en) * 2001-01-22 2002-07-25 Applied Materials, Inc. Series chamber for substrate processing
US20020144786A1 (en) 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
US7189647B2 (en) 2001-04-05 2007-03-13 Novellus Systems, Inc. Sequential station tool for wet processing of semiconductor wafers
US6852194B2 (en) 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
WO2003023835A1 (en) 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
JP4821074B2 (ja) 2001-08-31 2011-11-24 東京エレクトロン株式会社 処理システム
US6604853B2 (en) 2001-10-11 2003-08-12 Taiwan Semiconductor Manufacturing Co., Ltd Accelerated thermal stress cycle test
US6902624B2 (en) 2001-10-29 2005-06-07 Genus, Inc. Massively parallel atomic layer deposition/chemical vapor deposition system
EP1336985A1 (de) 2002-02-19 2003-08-20 Singulus Technologies AG Zerstäubungskathode und Vorrichtung und Verfahren zum Beschichten eines Substrates mit mehreren Schichten
JP4619116B2 (ja) 2002-06-21 2011-01-26 アプライド マテリアルズ インコーポレイテッド 真空処理システムのための搬送チャンバ
JP4486507B2 (ja) 2003-01-02 2010-06-23 ローマ リンダ ユニヴァーシティ メディカル センター 陽子線治療システムのための構成管理及び読み出しシステム
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
WO2005015613A2 (en) * 2003-08-07 2005-02-17 Sundew Technologies, Llc Perimeter partition-valve with protected seals
DE10350517A1 (de) 2003-10-29 2005-06-09 Sieghard Schiller Gmbh & Co. Kg Wafer-Stocker
US8696298B2 (en) 2003-11-10 2014-04-15 Brooks Automation, Inc. Semiconductor manufacturing process modules
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
EP1684951B1 (en) 2003-11-10 2014-05-07 Brooks Automation, Inc. System for handling workpieces in a vacuum-based semiconductor handling system
US8403613B2 (en) 2003-11-10 2013-03-26 Brooks Automation, Inc. Bypass thermal adjuster for vacuum semiconductor processing
KR100578134B1 (ko) 2003-11-10 2006-05-10 삼성전자주식회사 멀티 챔버 시스템
US8029226B2 (en) 2003-11-10 2011-10-04 Brooks Automation, Inc. Semiconductor manufacturing systems
US10086511B2 (en) * 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US8313277B2 (en) 2003-11-10 2012-11-20 Brooks Automation, Inc. Semiconductor manufacturing process modules
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US7169234B2 (en) 2004-01-30 2007-01-30 Asm America, Inc. Apparatus and methods for preventing rotational slippage between a vertical shaft and a support structure for a semiconductor wafer holder
USD527751S1 (en) 2004-05-28 2006-09-05 Tokyo Electron Limited Transfer-chamber
US7784164B2 (en) 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
TWI298895B (en) 2004-06-02 2008-07-11 Applied Materials Inc Electronic device manufacturing chamber and methods of forming the same
US20060201074A1 (en) 2004-06-02 2006-09-14 Shinichi Kurita Electronic device manufacturing chamber and methods of forming the same
WO2006004718A1 (en) 2004-06-28 2006-01-12 Brooks Automation, Inc. Non productive wafer buffer module for substrate processing apparatus
US20060137609A1 (en) * 2004-09-13 2006-06-29 Puchacz Jerzy P Multi-single wafer processing apparatus
CH697552B1 (de) 2004-11-12 2008-11-28 Oerlikon Trading Ag Vakuumbehandlungsanlage.
US7371022B2 (en) 2004-12-22 2008-05-13 Sokudo Co., Ltd. Developer endpoint detection in a track lithography system
US20060137608A1 (en) 2004-12-28 2006-06-29 Choi Seung W Atomic layer deposition apparatus
US20070020890A1 (en) 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
KR100803726B1 (ko) 2005-08-12 2008-02-15 주식회사 아이피에스 반송챔버의 구조
US7534080B2 (en) 2005-08-26 2009-05-19 Ascentool, Inc. Vacuum processing and transfer system
US7301157B2 (en) 2005-09-28 2007-11-27 Fei Company Cluster tool for microscopic processing of samples
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
JP2009533876A (ja) 2006-04-11 2009-09-17 アプライド マテリアルズ インコーポレイテッド 太陽電池パネルを形成するためのシステム構成及び方法
US20080072821A1 (en) 2006-07-21 2008-03-27 Dalton Jeremic J Small volume symmetric flow single wafer ald apparatus
EP2102889B1 (en) 2006-12-12 2020-10-07 Evatec AG Rf substrate bias with high power impulse magnetron sputtering (hipims)
KR100847888B1 (ko) 2006-12-12 2008-07-23 세메스 주식회사 반도체 소자 제조 장치
WO2008080244A1 (de) 2007-01-02 2008-07-10 Oc Oerlikon Balzers Ag Verfahren zur herstellung einer gerichteten schicht mittels kathodenzerstäubung und vorrichtung zur durchführung des verfahrens
US20080219807A1 (en) 2007-03-05 2008-09-11 Van Der Meulen Peter Semiconductor manufacturing process modules
US20080276867A1 (en) 2007-05-09 2008-11-13 Jason Schaller Transfer chamber with vacuum extension for shutter disks
WO2008149446A1 (ja) 2007-06-07 2008-12-11 Canon Anelva Corporation 半導体製造装置および方法
JP5253511B2 (ja) 2007-10-24 2013-07-31 オーツェー・エリコン・バルザース・アーゲー ワークピース製造方法及び装置
WO2009072081A1 (en) 2007-12-07 2009-06-11 Oc Oerlikon Balzers Ag A method of magnetron sputtering and a method for determining a power modulation compensation function for a power supply applied to a magnetron sputtering source
WO2009071667A1 (en) 2007-12-07 2009-06-11 Oc Oerlikon Balzers Ag Reactive sputtering with hipims
CN102047407B (zh) 2008-03-25 2012-10-10 Oc欧瑞康巴尔斯公司 加工腔
TWI398537B (zh) 2008-04-03 2013-06-11 Oc Oerlikon Balzers Ag 濺鍍設備及用以製造金屬化結構的方法
US20100012036A1 (en) 2008-07-11 2010-01-21 Hugo Silva Isolation for multi-single-wafer processing apparatus
JP5315898B2 (ja) 2008-09-30 2013-10-16 東京エレクトロン株式会社 成膜装置
JP5088284B2 (ja) 2008-09-30 2012-12-05 東京エレクトロン株式会社 真空処理装置
JP5544697B2 (ja) 2008-09-30 2014-07-09 東京エレクトロン株式会社 成膜装置
JP5056735B2 (ja) 2008-12-02 2012-10-24 東京エレクトロン株式会社 成膜装置
US8216380B2 (en) 2009-01-08 2012-07-10 Asm America, Inc. Gap maintenance for opening to process chamber
KR102298893B1 (ko) 2009-03-18 2021-09-08 에바텍 아크티엔게젤샤프트 진공처리 장치
CN102388467B (zh) 2009-03-18 2015-05-13 欧瑞康先进科技股份公司 串联式制造太阳能电池板的方法
EP2425036B8 (en) 2009-04-27 2017-12-20 Evatec AG Reactive sputtering with multiple sputter sources
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
EP2360291A1 (de) 2010-02-24 2011-08-24 Singulus Technologies AG Verfahren und Vorrichtung zum schnellen Heizen und Kühlen eines Substrates und sofort anschließender Beschichtung desselben unter Vakuum
KR101839904B1 (ko) 2010-03-25 2018-03-19 어플라이드 머티어리얼스, 인코포레이티드 다중 기판 프로세싱을 위한 세그먼트화된 기판 로딩
CN105256276B (zh) 2010-06-10 2018-10-26 应用材料公司 具有增强的离子化和rf 功率耦合的低电阻率钨pvd
JP2012028659A (ja) 2010-07-27 2012-02-09 Hitachi High-Technologies Corp 真空処理装置
DE102010046780A1 (de) 2010-09-28 2012-03-29 Singulus Technologies Ag Beschichten von Substraten mit einer Legierung mittels Kathodenzerstäubung
CN103261477B (zh) 2010-12-08 2015-09-30 欧瑞康先进科技股份公司 用于向基底上沉积层的设备和方法
EP2659507B1 (en) 2010-12-29 2022-09-14 Evatec AG Vacuum treatment apparatus
JP6006643B2 (ja) 2011-01-20 2016-10-12 東京エレクトロン株式会社 真空処理装置
JP5870568B2 (ja) 2011-05-12 2016-03-01 東京エレクトロン株式会社 成膜装置、プラズマ処理装置、成膜方法及び記憶媒体
US8728239B2 (en) 2011-07-29 2014-05-20 Asm America, Inc. Methods and apparatus for a gas panel with constant gas flow
JP5780062B2 (ja) 2011-08-30 2015-09-16 東京エレクトロン株式会社 基板処理装置及び成膜装置
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
DE102012103295A1 (de) 2012-01-09 2013-07-11 Aixtron Se Räumlich optimierte Anordnung zum Bearbeiten von Halbleitersubstraten
KR101887072B1 (ko) 2012-06-07 2018-08-09 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
WO2013186749A1 (en) 2012-06-15 2013-12-19 Oc Oerlikon Balzers Ag Method for depositing a group iii nitride semiconductor film
US20140001576A1 (en) 2012-06-27 2014-01-02 Applied Materials, Inc. Lowering tungsten resistivity by replacing titanium nitride with titanium silicon nitride
JP5947138B2 (ja) 2012-07-25 2016-07-06 東京エレクトロン株式会社 成膜装置
KR20140033911A (ko) 2012-09-11 2014-03-19 에이에스엠 아이피 홀딩 비.브이. 증착 장치 및 증착 방법
TWI614360B (zh) 2013-02-08 2018-02-11 瑞士商艾維太克股份有限公司 高功率脈衝磁控濺鍍之濺鍍方法及高功率脈衝磁控濺鍍的濺鍍系統
EP2772934A1 (en) 2013-02-28 2014-09-03 Singulus Technologies AG Method and system for naturally oxidizing a substrate
WO2014163791A1 (en) 2013-03-12 2014-10-09 Applied Materials, Inc Semiconductor device manufacturing platform with single and twinned processing chambers
WO2014143846A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc Multi-position batch load lock apparatus and systems and methods including same
US9281222B2 (en) 2013-03-15 2016-03-08 Applied Materials, Inc. Wafer handling systems and methods
CN111489987A (zh) 2013-03-15 2020-08-04 应用材料公司 基板沉积系统、机械手移送设备及用于电子装置制造的方法
US20160108515A1 (en) 2013-05-23 2016-04-21 Evatec Advanced Technologies Ag Method for filling vias and substrate-via filling vacuum processing system
US9490149B2 (en) 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
DE102013111790A1 (de) 2013-10-25 2015-04-30 Aixtron Se Energie- und materialverbrauchsoptimierter CVD-Reaktor
DE102013113052A1 (de) 2013-11-26 2015-05-28 Aixtron Se Heizeinrichtung für einen CVD-Reaktor
US20170175247A1 (en) 2013-12-04 2017-06-22 Evatec Ag Sputtering source arrangement, sputtering system and method of manufacturing metal-coated plate-shaped substrates
CN104752274B (zh) 2013-12-29 2017-12-19 北京北方华创微电子装备有限公司 工艺腔室以及半导体加工设备
US9916995B2 (en) 2014-02-24 2018-03-13 Lam Research Corporation Compact substrate processing tool with multi-station processing and pre-processing and/or post-processing stations
US9336997B2 (en) 2014-03-17 2016-05-10 Applied Materials, Inc. RF multi-feed structure to improve plasma uniformity
JP6271322B2 (ja) * 2014-03-31 2018-01-31 東京エレクトロン株式会社 基板処理システム
JP6243290B2 (ja) 2014-05-01 2017-12-06 東京エレクトロン株式会社 成膜方法及び成膜装置
JP6225842B2 (ja) 2014-06-16 2017-11-08 東京エレクトロン株式会社 成膜装置、成膜方法、記憶媒体
WO2016003609A1 (en) 2014-07-03 2016-01-07 Applied Materials, Inc. Carousel batch epitaxy system
CN105355581B (zh) 2014-08-19 2018-09-18 北京北方华创微电子装备有限公司 一种反应腔室、半导体加工设备及被加工工件的传输方法
JP6338989B2 (ja) * 2014-09-19 2018-06-06 東京エレクトロン株式会社 基板搬送方法
JP6280487B2 (ja) 2014-10-16 2018-02-14 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP6330623B2 (ja) 2014-10-31 2018-05-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP6330630B2 (ja) 2014-11-13 2018-05-30 東京エレクトロン株式会社 成膜装置
CN105734520B (zh) 2014-12-11 2018-08-24 北京北方华创微电子装备有限公司 工艺腔室
CN107112261A (zh) 2014-12-11 2017-08-29 瑞士艾发科技 尤其用于基体的除气的设备和方法
JP6464765B2 (ja) 2015-01-19 2019-02-06 東京エレクトロン株式会社 熱処理装置、熱処理方法及び記憶媒体
TWI676709B (zh) 2015-01-22 2019-11-11 美商應用材料股份有限公司 使用空間上分開的佈植器腔室進行的對薄膜的原子層沈積
KR102010633B1 (ko) 2015-06-30 2019-08-13 도쿄엘렉트론가부시키가이샤 기판 처리 방법 및 기판 처리 장치
JP6478847B2 (ja) 2015-07-08 2019-03-06 東京エレクトロン株式会社 基板処理装置
US11421321B2 (en) * 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
JP6507953B2 (ja) 2015-09-08 2019-05-08 東京エレクトロン株式会社 基板処理装置及び基板処理方法
WO2017042123A1 (en) 2015-09-08 2017-03-16 Evatec Ag Vacuum processing apparatus and method for vacuum processing substrates
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
CN107022754B (zh) 2016-02-02 2020-06-02 东京毅力科创株式会社 基板处理装置
US9953843B2 (en) 2016-02-05 2018-04-24 Lam Research Corporation Chamber for patterning non-volatile metals
JP6697067B2 (ja) * 2016-02-23 2020-05-20 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびコンピュータ読み取り可能な記録媒体
CN108780766B (zh) 2016-03-08 2022-03-04 瑞士艾发科技 用于衬底脱气的室
WO2017172158A1 (en) * 2016-03-29 2017-10-05 Applied Materials, Inc. Integrated metrology and process system for semiconductor substrate local stress and overlay correction
TWI729101B (zh) 2016-04-02 2021-06-01 美商應用材料股份有限公司 用於旋轉料架基座中的晶圓旋轉的設備及方法
CN107283397B (zh) * 2016-04-13 2021-06-01 泰科电子(上海)有限公司 用于连接器组件的机器人组装系统和组装方法及机器人
KR102372842B1 (ko) * 2016-04-22 2022-03-08 어플라이드 머티어리얼스, 인코포레이티드 Pecvd 오버레이 개선을 위한 방법
US11145495B2 (en) 2016-06-15 2021-10-12 Evatec Ag Vacuum treatment chamber and method of manufacturing a vacuum treated plate-shaped substrate
EP3526810B1 (en) 2016-10-14 2021-11-10 Evatec AG Sputtering source
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
TWI742201B (zh) 2016-12-02 2021-10-11 美商應用材料股份有限公司 整合式原子層沉積工具
JP6777055B2 (ja) 2017-01-11 2020-10-28 東京エレクトロン株式会社 基板処理装置
US11024531B2 (en) * 2017-01-23 2021-06-01 Lam Research Corporation Optimized low energy / high productivity deposition system
CH713453A1 (de) 2017-02-13 2018-08-15 Evatec Ag Verfahren zur Herstellung eines Substrates mit einer bordotierten Oberfläche.
JP6750534B2 (ja) 2017-02-24 2020-09-02 東京エレクトロン株式会社 成膜装置
EP3616222B1 (en) 2017-04-27 2024-03-13 Evatec AG Soft magnetic multilayer deposition apparatus and method of manufacturing
JP6922408B2 (ja) 2017-05-18 2021-08-18 東京エレクトロン株式会社 基板処理装置
US10043693B1 (en) 2017-06-06 2018-08-07 Applied Materials, Inc. Method and apparatus for handling substrates in a processing system having a buffer chamber
JP6789187B2 (ja) 2017-07-07 2020-11-25 東京エレクトロン株式会社 基板反り検出装置及び基板反り検出方法、並びにこれらを用いた基板処理装置及び基板処理方法
CN110892090A (zh) 2017-07-27 2020-03-17 瑞士艾发科技 渗透屏障
JP2019036630A (ja) 2017-08-15 2019-03-07 東京エレクトロン株式会社 成膜装置
WO2019096515A1 (en) 2017-11-15 2019-05-23 Evatec Ag Vacuum treatment apparatus and method of vacuum treating substrates
WO2019096564A1 (en) 2017-11-17 2019-05-23 Evatec Ag Rf power delivery to vacuum plasma processing
TW201934783A (zh) 2017-11-30 2019-09-01 瑞士商艾維太克股份有限公司 蒸鍍室及系統
CN111696882B (zh) 2019-03-12 2024-04-12 北京北方华创微电子装备有限公司 腔室及半导体加工设备

Also Published As

Publication number Publication date
US10998209B2 (en) 2021-05-04
US20200381275A1 (en) 2020-12-03
WO2020242806A1 (en) 2020-12-03

Similar Documents

Publication Publication Date Title
TW202114015A (zh) 多基板處理系統
US20200381276A1 (en) Multisubstrate process system
JP4753224B2 (ja) ガスラインシステム
JP2024055884A (ja) 基板処理モジュールおよびワークピースを移動させる方法
JPWO2011007753A1 (ja) 基板処理装置
KR20230051166A (ko) 페이스팅 프로세스 동안 보호 디스크로 기판 홀더 교체
US20230212735A1 (en) Substrate processing system
JP2023527342A (ja) 高温真空分離処理ミニ環境
US11542592B2 (en) Film forming system and method for forming film on substrate
US11183411B2 (en) Method of pre aligning carrier, wafer and carrier-wafer combination for throughput efficiency
TW202046021A (zh) 具有清潔腔室的對準模組
US20220406640A1 (en) Methodology for substrate to cathode planarity and centering alignment
US20220242706A1 (en) Cathode exchange mechanism to improve preventative maintenance time for cluster system
TWI810678B (zh) 基板處理系統與方法
US20230290656A1 (en) Apparatus for transferring member to be disposed in substrate processing chamber, substrate processing system, and method for transferring member
US20220068690A1 (en) Substrate transfer devices
TW202326899A (zh) 具有平衡rf阻抗的雙極esc
WO2024097538A1 (en) Faraday faceplate
JP2023516065A (ja) 基板処理ツール用の直線配置