TW202107220A - 照明器、微影裝置以及調整曝光輻射的強度均一性的方法 - Google Patents

照明器、微影裝置以及調整曝光輻射的強度均一性的方法 Download PDF

Info

Publication number
TW202107220A
TW202107220A TW109125131A TW109125131A TW202107220A TW 202107220 A TW202107220 A TW 202107220A TW 109125131 A TW109125131 A TW 109125131A TW 109125131 A TW109125131 A TW 109125131A TW 202107220 A TW202107220 A TW 202107220A
Authority
TW
Taiwan
Prior art keywords
exposure radiation
facet
facet mirror
reflected
mirror
Prior art date
Application number
TW109125131A
Other languages
English (en)
Inventor
許哲彰
鄭介任
陳立銳
簡上傑
張晁禎
陳思妤
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202107220A publication Critical patent/TW202107220A/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70075Homogenization of illumination intensity in the mask plane by using an integrator, e.g. fly's eye lens, facet mirror or glass rod, by using a diffusing optical element or by beam deflection
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70091Illumination settings, i.e. intensity distribution in the pupil plane or angular distribution in the field plane; On-axis or off-axis settings, e.g. annular, dipole or quadrupole settings; Partial coherence control, i.e. sigma or numerical aperture [NA]
    • G03F7/70116Off-axis setting using a programmable means, e.g. liquid crystal display [LCD], digital micromirror device [DMD] or pupil facets
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/7015Details of optical elements
    • G03F7/70175Lamphouse reflector arrangements or collector mirrors, i.e. collecting light from solid angle upstream of the light source
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70191Optical correction elements, filters or phase plates for controlling intensity, wavelength, polarisation, phase or the like
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/702Reflective illumination, i.e. reflective optical elements other than folding mirrors, e.g. extreme ultraviolet [EUV] illumination systems
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70916Pollution mitigation, i.e. mitigating effect of contamination or debris, e.g. foil traps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Plasma & Fusion (AREA)
  • Environmental & Geological Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

一種照明器包括:第一琢面鏡面,接收且反射曝光輻射;可調整遮罩元件,安置於第一琢面鏡面上,可調整遮罩元件調整由第一琢面鏡面反射的曝光輻射的強度均一性;以及第二琢面鏡面,接收且反射由第一琢面鏡面反射的曝光輻射。

Description

照明器、微影裝置以及調整曝光輻射的強度均一性的方法
本發明的一些實施例涉及一種具有照明器的微影裝置以及用於調整曝光輻射的強度均一性的方法。
半導體積體電路(semiconductor integrated circuit;IC)產業已經歷指數成長。IC材料以及設計的技術進展已生產數代IC,其中每一代具有比前一代更小且更複雜的電路。在IC演進過程中,功能密度(即,每晶片區域互連裝置的數目)一般會增加,而幾何尺寸(即,可使用製程產生的最小元件(或管線))會減小。這種按比例縮小製程通常藉由提高生產效率以及降低相關成本來提供益處。此類按比例縮小增加了IC處理以及製造的複雜性。
舉例來說,對執行較高解析度微影製程的需求在增長。一種微影技術是極紫外微影(extreme ultraviolet photolithography;EUVL)。EUVL採用在極紫外(extreme ultraviolet;EUV)區中使用波長是約1奈米到100奈米的光的掃描器。一種類型的EUV光源是雷射產生的等離子(laser-produced plasma;LPP)。LPP技術藉由將大功率雷射光束聚焦到小錫液滴靶上以形成高度電離電漿來產生EUV光,所述高度電離電漿發射具有約13.5奈米下的峰值最大發射的EUV輻射。EUV光隨後由集光器收集且由光學元件朝向微影靶基材(例如,晶圓)反射。集光器由於錫污染而劣化。
本發明的實施例是有關於一種照明器,其包括:第一琢面鏡面,接收且反射曝光輻射;可調整遮罩元件,安置於第一琢面鏡面上,可調整遮罩元件調整由第一琢面鏡面反射的曝光輻射的強度均一性;以及第二琢面鏡面,接收且反射由第一琢面鏡面反射的曝光輻射。。
本發明的另一實施例是有關於一種微影裝置,其包括:光源,提供曝光輻射;照明器,接收曝光輻射且包括第一琢面鏡面以及第二琢面鏡面,其中第一琢面鏡面包括能夠調整由第一琢面鏡面反射的曝光輻射的強度均一性的第一遮罩元件;光罩載台,其中在照明器中傳遞的曝光輻射由第一琢面鏡面以及第二琢面鏡面依序反射,由第二琢面鏡面反射的曝光輻射照射到由光罩載台承載的光罩上;投影系統;以及晶圓載台,其中由光罩反射的曝光輻射藉由投影系統來投影到由晶圓載台承載的半導體晶圓上。
本發明的又一實施例是有關於一種方法,其包括:提供包括第一琢面鏡面以及第二琢面鏡面的照明器,其中第一琢面鏡面包括安置在其上的第一可調整遮罩元件;將曝光輻射照射到第一琢面鏡面上;以及藉由第一可調整遮罩元件來調整由第一琢面鏡面反射的曝光輻射的強度均一性。
以下公開內容提供用於實施所提供主題的不同特徵的許多不同的實施例或例子。以下闡述組件、值、操作、材料、排列等的具體例子是為了簡化本發明。當然,這些僅為例子而非旨在進行限制。也涵蓋其他組件、值、操作、材料、排列等。舉例來說,在以下說明中,在第二特徵之上或第二特徵上形成第一特徵可包括其中第一特徵與第二特徵被形成為直接接觸的實施例,且也可包括其中第一特徵與第二特徵之間可形成附加特徵從而使得第一特徵與第二特徵可不直接接觸的實施例。另外,本發明可在各種例子中重複使用參考編號及/或字母。此種重複使用是為了簡明及清晰起見,且自身並不表示所論述的各種實施例及/或配置之間的關係。
此外,為易於說明,本文中可能使用例如“在…之下(beneath)”、“在…下方(below)”、“下部的(lower)”、“在…上方(above)”、“上部的(upper)”等空間相對性用語來闡述圖中所示一個元件或特徵與另一(其他)元件或特徵的關係。除圖中所繪示的取向以外,所述空間相對性用語還旨在囊括元件在使用或操作中的不同取向。設備可具有另外的取向(旋轉90度或處於其他取向),且本文所使用的空間相對性描述語可同樣相應地作出解釋。
本發明涉及一種微影裝置以及用於調整由微影裝置所產生的曝光輻射的強度均一性的方法。更明確地說,本發明涉及的設備以及方法用於減少污染對微影裝置的輻射源中的集光器的影響。輻射源的集光器收集並且反射曝光輻射且有助於總體轉化效率。然而,輻射源的集光器可由於顆粒、離子、輻射的污染以及碎屑沉積而劣化。在一些實施例中,錫碎屑(Sn debris)是集光器的污染源中的一個。本發明的實施例是針對藉由減少碎屑污染對集光器的負面影響來改良微影靶基材(photolithography target substrate)上的內部臨界尺寸(intra critical dimension;CD)的均一性。
圖1是根據本發明的一個實施例的微影裝置的示意圖。如圖1中所繪示,微影裝置10可包括照明器100、光源200、光罩載台(reticle stage)300、投影系統400以及晶圓載台(wafer stage)500。光源200產生曝光輻射ER並且將曝光輻射ER提供到照明器100。照明器100從光源200接收曝光輻射ER且將曝光輻射ER反射到由光罩載台300承載的光罩。朝向光罩載台300傳遞的曝光輻射ER藉由光罩載台300所承載的光罩反射且藉由投影系統400投影到由晶圓載台500承載的微影靶基材上。下文中提供細節。
在本實施例中,光源200是EUV光源。光源200包括集光器210。由光源200產生的曝光輻射ER是在50奈米以下的EUV波長範圍內的具有高能量密度的EUV輻射束。舉例來說,曝光輻射ER的波長小於15奈米或大致等於13.5奈米。因此,光源200可呈用於產生雷射誘發電漿的電漿光源的形式。換句話說,光源200可以是LPP光源。在LPP光源中,二氧化碳雷射光束或其它合適的激發雷射光束可被提供且聚焦到熔融錫(Sn)液滴上以照射以及激發熔融錫(Sn)液滴而在激發區中產生雷射誘發電漿。當熔融錫(Sn)液滴由雷射光束照射以及激發時,產生離子以及EUV輻射。在一些實施例中,光源200可包括液滴產生器以及液滴捕集器。液滴(例如錫液滴)可由液滴產生器提供且由液滴捕集器收集。由液滴產生器提供的液滴(例如錫液滴)可從液滴產生器朝向液滴捕集器傳遞。從液滴產生器朝向液滴捕集器傳遞的液滴當中的一些液滴由雷射光束照射以及激發以產生EUV輻射,且從液滴產生器朝向液滴捕集器傳遞的液滴當中的其它液滴未由雷射光束照射且由液滴捕集器收集。在一些實施例中,光源200可進一步包括傳導磁體以及用於產生電場的離子捕集器,其中在激發區中產生的離子可由傳導磁體與離子捕集器之間產生的電場吸引且由離子捕集器收集。另外,集光器210(其可以是集光器鏡面)聚焦LPP光源的EUV輻射以形成EUV輻射束。在一些實施例中,集光器210包括交替堆疊的多個反射層以及多個透射層。集光器210中的反射層可夾在透射層之間且每一反射層能夠分別反射從激發區發射的EUV輻射束。集光器210中的多個反射層貢獻集光器210的總體反射。在一些實施例中,集光器210包括具有多個堆疊反射層的布拉格(Bragg)反射器。
由光源200產生的曝光輻射ER在中間焦點IF處聚集且穿過中間焦點IF且提供到照明器100。照明器100可至少包括第一琢面鏡面110以及第二琢面鏡面120。在照明器100中,照明器100中傳遞的曝光輻射ER由第一琢面鏡面110以及第二琢面鏡面120依序反射。在一些實施例中,除了第一琢面鏡面110以及第二琢面鏡面120之外,照明器100可包括其它光學元件(例如,反射鏡面)。第一琢面鏡面110包括呈陣列排列的多個第一琢面元件111,且第一琢面元件111將曝光輻射ER反射到第二琢面鏡面120。第二琢面鏡面120包括呈陣列排列的多個第二琢面元件121。在一些實施例中,第一琢面元件111當中的每一第一琢面元件111將曝光輻射ER分別反射到第二琢面元件121當中的至少一個第二琢面元件121。在一些其它實施例中,第一琢面元件111當中的多個第一琢面元件111將曝光輻射ER反射到第二琢面元件121當中的同一第二琢面元件121。
也就是說,基於蠅眼原理(principle of fly’s eye)藉由第一琢面鏡面110(也稱為場琢面鏡面)以及第二琢面鏡面120(也稱為光瞳琢面鏡面)將曝光輻射ER操控到不同空間以及角度分佈。曝光輻射ER藉由包括第一琢面元件111的第一琢面鏡面110分割成多個小的部分。第一琢面元件111中的每一個可以是鏡面。然後,曝光輻射ER藉由包括第二琢面元件121的第二琢面鏡面120均勻地投影在視場上方。第二琢面元件121中的每一個可以是鏡面。第一琢面鏡面110中的第一琢面元件111中的每一個可單獨地旋轉,由此可控制由第一琢面鏡面110反射的曝光輻射ER的強度以及角度分佈。
在圖1中,雖然繪示出第一琢面鏡面110的四個第一琢面元件111以及第二琢面鏡面120的四個第二琢面元件121,但第一琢面鏡面110中所包括的第一琢面元件111以及第二琢面鏡面120中所包括的第二琢面元件121的數目可超過四個。第一琢面元件111以及第二琢面元件121的數目在本發明中不受限制。曝光輻射ER由第一琢面鏡面110的第一琢面元件111反射,以便分割成多個部分。接下來,曝光輻射ER的多個部分分別傳遞到第二琢面鏡面120的第二琢面元件121,且隨後由第二琢面元件121反射以形成輻射束,所述輻射束是由第二琢面鏡面120反射之後的曝光輻射ER且可稱為狹縫圖案。換句話說,第一琢面元件111中的一個將曝光輻射ER的一部分反射到第二琢面元件121中的相應一個。然而,本發明不限於此。另外,多個第一琢面元件111可將曝光輻射ER的多個部分反射到第二琢面鏡面120的同一第二琢面元件121。
由第二琢面鏡面120反射的曝光輻射ER照射到由光罩載台300所承載的光罩310上,且由光罩310反射。光罩310可以是具有預定圖案的多層反射膜,且此預定圖案是欲轉移至半導體晶圓上的光阻層上的圖案。由光罩310反射的曝光輻射ER藉由投影系統400投影到由晶圓載台500承載的半導體晶圓(圖中未示)上形成的光阻層上,使得光罩310的圖案投影且轉移到由晶圓載台500承載的半導體晶圓上的光阻層上。在光罩310的圖案投影且轉移到由晶圓載台500所承載的半導體晶圓上的光阻層上之後,可進一步處理半導體晶圓。舉例來說,可對其上形成有圖案化光阻層的半導體晶圓執行顯影、硬烘烤製程、鍍覆製程、蝕刻製程、其組合或類似製程。藉由解析度(或臨界尺寸)以及臨界尺寸均一性(critical dimension uniformity;CDU)來確定微影裝置10的性能。將解析度/臨界尺寸定義為微影裝置10可轉移到半導體晶圓上形成的光阻層上的最小特徵大小(例如導線的寬度、通孔的直徑)。另外,CDU明顯受到投影到半導體晶圓上的曝光輻射ER的強度均一性影響。
在一些實施例中,第一琢面鏡面110包括能夠調整由第一琢面鏡面110反射的曝光輻射ER的強度均一性的第一遮罩元件112。第一遮罩元件112遮蔽第一琢面鏡面110的第一琢面元件111的部分以調整由第一琢面鏡面110反射的曝光輻射ER的強度均一性。換句話說,第一遮罩元件112部分地遮蔽第一琢面鏡面110以調整由第一琢面鏡面110反射的曝光輻射ER的強度均一性。另外,微影裝置10可進一步包括能夠調整由第二琢面鏡面120反射的曝光輻射ER的強度均一性的第二遮罩元件600。第二遮罩元件600安置於第二琢面鏡面120與光罩載台300之間的光傳遞路徑上。第二遮罩元件600與光罩載台300之間的第一距離D1小於第二遮罩元件600與第二琢面鏡面120之間的第二距離D2。在本實施例中,第二遮罩元件600可以是均一性校正模組。
在長時間使用之後,在光源200中,由於藉由將二氧化碳雷射光束聚焦到熔融錫液滴上以產生電漿來產生EUV輻射,因此錫碎屑沉積在集光器210的一部分上。因此,集光器210受到錫碎屑沉積污染。換句話說,集光器210的一部分由錫碎屑或錫層覆蓋,因此在集光器210的表面上產生污染區。圖2A是繪示根據本發明的一個實施例的乾淨的集光器的示意圖,且圖2B是繪示根據本發明的一個實施例的受污染集光器的示意圖。同時參考圖2A以及圖2B,圖2A中的乾淨的集光器210a與圖2B中的受污染集光器210b之間的差異在於受污染集光器210b具有污染區CA以及非污染區NA。受污染集光器210b的污染區CA由錫碎屑覆蓋。
另外,由於集光器210聚焦EUV輻射以形成曝光輻射ER,集光器210的污染區CA造成由第二琢面鏡面120反射的曝光輻射ER的強度均一性中的不均衡。也就是說,狹縫均一性趨勢上升或改變光形,且觀測到狹縫輪廓的不均衡傾斜。另外,強度均一性中的不均衡過大且不能由第二遮罩元件600校正,使得半導體晶圓上的CDU變得較差。狹縫輪廓的不均衡傾斜過大從而不能由第二遮罩元件600校正,使得狹縫均一性變得較差。因此,內部CDU也變得較差。下文將提供細節。
圖3A是繪示在集光器是清潔情況下的集光器圖像的圖片。圖3B是繪示在集光器是清潔情況下的第二琢面鏡面的光瞳圖像的圖片。圖3C是繪示在集光器是清潔情況下的半導體晶圓上的內部CDU的圖片。圖4A是繪示在集光器受污染的情況下的集光器圖像的圖片。圖4B是繪示在集光器受污染的情況下的第二琢面鏡面的光瞳圖像的圖片。圖4C是繪示在集光器受污染的情況下的半導體晶圓上的內部CDU的圖片。圖3A與圖4A之間的差異在於圖4A中的集光器圖像具有污染區。因為這一污染區,如圖4B中所繪示的第二琢面鏡面120的光瞳圖像中的均一性變得比如圖3B中所繪示的更差。換句話說,在集光器210受污染的情況下的光瞳圖像與在集光器210是清潔情況下的光瞳圖像相比較發生改變,從而造成不均衡狹縫輪廓。因此,如圖4C中所繪示的半導體晶圓上的內部CDU少於圖3C中所繪示的。圖4C的右側上的跡線的尺寸(例如,寬度)明顯大於圖4C的左側上的跡線的尺寸(例如,寬度)。換句話說,圖4C中的跡線的尺寸中的差異比圖3C中的大。
為了改良前述不均衡發射,控制接近第一琢面鏡面110(圖1中所繪示)安置且安裝在第一琢面鏡面110上的第一遮罩元件112以遮蔽第一琢面鏡面110的第一琢面元件111的部分以便調整由第一琢面鏡面110反射的曝光輻射ER的強度均一性。第一琢面元件111的由第一遮罩元件112遮蔽的部分對應於集光器210的非污染區。也就是說,第一遮罩元件112部分地遮蔽來自集光器210的非污染區的曝光輻射ER的一部分以具有相對較低強度。因此,由第一琢面鏡面110反射的整個曝光輻射ER的強度均一性變得均衡。換句話說,由第一琢面鏡面110反射的整個曝光輻射ER具有均衡強度均一性且傳遞到第二琢面鏡面120。因此,由第二琢面鏡面120反射的曝光輻射ER還具有均衡強度均一性。在一些實施例中,第二遮罩元件600可用於調整曝光輻射ER的強度均一性,使得可將從第二琢面鏡面120反射的曝光輻射ER的強度均一性調製為更均衡。在一些替代實施例中,當從第二琢面鏡面120反射且由第一遮罩元件112調製的曝光輻射ER的強度均一性已均衡時,第二遮罩元件600可不用於進一步調整曝光輻射ER的強度均一性。因此,儘管集光器210的污染區,但由第二琢面鏡面120反射的曝光輻射ER的強度均一性變得均衡。也就是說,當集光器210受污染時,在校正之後維持狹縫均一性。
由第二琢面鏡面120反射的曝光輻射ER可形成從照明器100發射的狹縫,且在沿狹縫的寬度的位置處的EUV輻射的強度的均一性是實現半導體晶圓上的高CDU的重要因素。圖5A是說明在集光器是清潔情況以及集光器受污染情況下在EUV輻射強度與狹縫位置之間進行校正之前的關係的曲線圖。圖5B是說明在集光器是清潔情況以及集光器受污染的情況下在EUV輻射強度與狹縫位置之間進行校正之後的關係的曲線圖。如圖5A中所繪示,在集光器210是清潔的與集光器210受污染的兩種情況之間的狹縫的相同位置處的強度中存在明顯差異。如圖5B中所繪示,在集光器210是清潔的與集光器210受污染的兩種情況之間的狹縫的相同位置處的強度差異變得較小。在狹縫的多個位置處,EUV輻射的強度在集光器210是清潔的與集光器210受污染的兩種情況之間並無明顯改變。
因此,隨時間推移,可將半導體晶圓上的CDU維持在高水準,且經過長時間使用,微影裝置10仍可具有良好性能。也就是說,微影裝置10的使用壽命可被延長。狹縫均一性改良是約3%,集光器210的使用壽命可從100 GP延長到200 GP。另外,微影裝置10的可用性因為製程維護(process maintenance;PM)頻率降低而獲得改善。
圖6A是說明根據本發明的一個實施例的在正常狀態下的第一琢面鏡面的示意圖。圖6B是說明在遮蔽狀態下的圖6A中的第一琢面鏡面的示意圖。如圖6A中所繪示,在本實施例中,可應用於圖1中繪示的照明器100的第一琢面鏡面110包括第一琢面元件111、第一遮罩元件112以及基座113。第一琢面元件111以及第一遮罩元件112安置於基座113上。第一琢面元件111呈成陣列排列。換句話說,第一琢面元件111在基座113上排列成多個列。第一琢面元件111將曝光輻射ER反射到如上文所提及的第二琢面鏡面120。
另外,第一遮罩元件112安裝在第一琢面鏡面110的中心區CR及/或周邊區PR上。此處應注意,中心區CR是所有第一琢面元件111安置於其內的區。在本實施例中,第一遮罩元件112可以是包括至少一個第一可調整遮罩元件112a以及至少一個第二可調整遮罩元件112b的可調整遮罩元件。如圖3A中所繪示,第一可調整遮罩元件112a的數目是兩個,且第二可調整遮罩元件112b的數目是一個。第一可調整遮罩元件112a安裝在第一琢面鏡面110的周邊區PR處/所述周邊區PR內。第二可調整遮罩元件112b安裝在第一琢面鏡面110的中心區CR處/所述中心區CR內。在本實施例中,第二可調整遮罩元件112b安置於中心區CR的中間處,且第一可調整遮罩元件112a分別安置於中心區CR的兩個相對側上。然而,本發明不限於此。在其它實施例中,第二可調整遮罩元件112b可安置於中心區CR內的任何位置處,第一可調整遮罩元件112a的數目可大於兩個,且第二可調整遮罩元件112b的數目可大於一個。
在本實施例中,第一可調整遮罩元件112a中的每一個包括多個指形件F1,且第二可調整遮罩元件112b還包括多個指形件F2。指形件F1以及指形件F2中的每一個可具有矩形薄片的形狀,指形件F1的形狀可與或可不與指形件F2的形狀相同。如圖6A中所繪示,在正常狀態中,指形件F1可回縮或收縮到第一可調整遮罩元件112a的主體中,且指形件F2可回縮或收縮到第二可調整遮罩元件112b的主體中。另外,如圖6B中所繪示,在遮蔽狀態中,指形件F1可從第一可調整遮罩元件112a的主體中延伸或抽出到中心區CR,且指形件F2可從第二可調整遮罩元件112b的主體中延伸或抽出到中心區CR。因此,在遮蔽狀態中,指形件F1以及指形件F2延伸以遮罩第一琢面鏡面110的第一琢面元件111的部分。換句話說,在遮蔽狀態中,指形件F1以及指形件F2延伸以覆蓋第一琢面鏡面110的第一琢面元件111中的一些。藉由延伸以及回縮指形件F1以及指形件F2,調整由第一琢面鏡面110反射的曝光輻射ER的強度均一性。
圖7A是繪示根據本發明的一個實施例的第一琢面鏡面的示意圖,圖7B是繪示圖7A中的第一琢面鏡面的第一可調整遮罩元件的示意圖,且圖7C是繪示圖7A中的第一琢面鏡面的第二可調整遮罩元件的示意圖。如圖7A中所繪示,在本實施例中,第二可調整遮罩元件112b的數目是兩個,且兩個第二可調整遮罩元件112b安置於中心區CR的中間處。第一琢面元件111安置於中心區CR中的兩個子區中。一個第一可調整遮罩元件112a以及一個第二可調整遮罩元件112b安置於同一子區的兩個相對側上。如圖7B中所繪示,第一可調整遮罩元件112a可包括具有U形狀的主體以及可調整地安裝在U形主體上的指形件F1。如圖7C中所繪示,第二可調整遮罩元件112b可包括具有薄片形狀的主體以及可調整地安裝在薄片形主體上的指形件F1。所謂的“可調整地安裝”意謂指形件可回縮到主體中且可從主體延伸出來。
基於以上,藉由延伸以及回縮指形件F1以及指形件F2,可調整由第一琢面鏡面110反射的曝光輻射ER的強度均一性。因此,無論集光器210是否是受污染的,由第二琢面鏡面120反射的曝光輻射ER的強度均一性都可以是均衡的。
圖8是描繪根據本發明的一個實施例的調整曝光輻射的強度均一性的方法的流程圖。如圖8中所繪示,在步驟S100中,提供包括第一琢面鏡面110以及第二琢面鏡面120的照明器100。第一琢面鏡面110包括安置在其上的第一遮罩元件112。在步驟S200中,曝光輻射ER照射到第一琢面鏡面110上。從包括集光器210的光源200提供曝光輻射ER。接下來,在步驟S300中,藉由第一遮罩元件112來調整由第一琢面鏡面110反射的曝光輻射ER的強度均一性。由第一琢面鏡面110反射的曝光輻射ER的強度均一性可基於集光器210的污染程度而調整。也就是說,第一遮罩元件112的遮蔽區調整成對應於集光器210的污染區(或非污染區),且第一遮罩元件112調整成根據集光器210的污染區(或非污染區)來部分地遮罩第一琢面鏡面110。在步驟S400中,藉由第二可調整遮罩元件600來調整由第二琢面鏡面120反射的曝光輻射ER的強度均一性。另外,在步驟S500中,將由第二琢面鏡面120反射的曝光輻射ER照射在光罩310上。最後,在步驟S600中,將照射在光罩310上的曝光輻射ER投影到半導體晶圓上的光阻層上以執行微影製程。
在本發明中,由於第一遮罩元件經過控制以調整由第一琢面鏡面反射的曝光輻射的強度均一性,因此儘管集光器具有污染區,由照明器發射的曝光輻射的強度均一性仍可變得均衡,以便維持半導體晶圓上的內部CDU。
根據本發明的一個方面,照明器包括:第一琢面鏡面,接收且反射曝光輻射;可調整遮罩元件,安置於第一琢面鏡面上,可調整遮罩元件調整由第一琢面鏡面反射的曝光輻射的強度均一性;以及第二琢面鏡面,接收且反射由第一琢面鏡面反射的曝光輻射。在本發明的一些實施例中,所述曝光輻射是極紫外線,所述第一琢面鏡面包括呈陣列排列的多個第一琢面元件,且所述第一琢面元件將所述曝光輻射反射到所述第二琢面鏡面。在本發明的一些實施例中,所述第二琢面鏡面包括呈陣列排列的多個第二琢面元件,且所述第一琢面元件當中的每一第一琢面元件將所述曝光輻射分別反射到所述第二琢面元件當中的至少一個第二琢面元件。在本發明的一些實施例中,所述可調整遮罩元件遮蔽所述第一琢面鏡面的所述第一琢面元件的部分。在本發明的一些實施例中,所述可調整遮罩元件部分地遮蔽所述第一琢面鏡面。在本發明的一些實施例中,所述可調整遮罩元件安裝在所述第一琢面鏡面的中心區及/或周邊區上。
根據本發明的另一實施例,微影裝置包括:光源,提供曝光輻射;照明器,接收曝光輻射且包括第一琢面鏡面以及第二琢面鏡面,其中第一琢面鏡面包括能夠調整由第一琢面鏡面反射的曝光輻射的強度均一性的第一遮罩元件;光罩載台,其中在照明器中傳遞的曝光輻射由第一琢面鏡面以及第二琢面鏡面依序反射,由第二琢面鏡面反射的曝光輻射照射到由光罩載台承載的光罩上;投影系統;以及晶圓載台,其中由光罩反射的曝光輻射藉由投影系統來投影到由晶圓載台承載的半導體晶圓上。在本發明的一些實施例中,微影裝置進一步包括能夠調整由所述第二琢面鏡面反射的所述曝光輻射的強度均一性的第二遮罩元件,其中所述第二遮罩元件安置於所述第二琢面鏡面與所述光罩載台之間的光傳遞路徑上。在本發明的一些實施例中,所述第二遮罩元件與所述光罩載台之間的第一距離小於所述第二遮罩元件與所述第二琢面鏡面之間的第二距離。在本發明的一些實施例中,所述曝光輻射是極紫外線,所述第一琢面鏡面包括呈陣列排列的多個第一琢面元件,且所述第一琢面元件將所述曝光輻射反射到所述第二琢面鏡面。在本發明的一些實施例中,所述第二琢面鏡面包括呈陣列排列的多個第二琢面元件,且所述第一琢面元件當中的每一第一琢面元件將所述曝光輻射分別反射到所述第二琢面元件當中的至少一個第二琢面元件。在本發明的一些實施例中,所述第一遮罩元件遮蔽所述第一琢面鏡面的所述第一琢面元件的部分。在本發明的一些實施例中,所述第一遮罩元件部分地遮蔽所述第一琢面鏡面。在本發明的一些實施例中,所述第一遮罩元件安裝在所述第一琢面鏡面的中心區及/或周邊區上。
根據本發明的另一實施例,方法包括:提供包括第一琢面鏡面以及第二琢面鏡面的照明器,其中第一琢面鏡面包括安置在其上的第一可調整遮罩元件;將曝光輻射照射到第一琢面鏡面上;以及藉由第一可調整遮罩元件來調整由第一琢面鏡面反射的曝光輻射的強度均一性。在本發明的一些實施例中,從包括集光器的光源提供所述曝光輻射,且基於所述集光器的污染而調整由所述第一琢面鏡面反射的所述曝光輻射的所述強度均一性。在本發明的一些實施例中,所述曝光輻射是極紫外線,從包括集光器的光源提供所述曝光輻射,且所述第一可調整遮罩元件的覆蓋區調整成對應於所述集光器的非污染區。在本發明的一些實施例中,所述第一可調整遮罩元件根據所述集光器的污染區而調整成部分地遮蔽所述第一琢面鏡面。在本發明的一些實施例中,所述的調整曝光輻射的強度均一性的方法進一步包括:藉由第二可調整遮罩元件來調整由所述第二琢面鏡面反射的所述曝光輻射的強度均一性。在本發明的一些實施例中,所述的調整曝光輻射的強度均一性的方法進一步包括:將由所述第二琢面鏡面反射的所述曝光輻射照射在光罩上;以及將照射在所述光罩上的所述曝光輻射投影到半導體晶圓上的光阻層上以執行微影製程。
前文概述若干實施例或實例的特徵以使本領域的技術人員可更好地理解本發明的各方面。本領域的技術人員應瞭解,他們可輕易地將本發明用作設計或修改用於實現本文中所引入的實施例或實例的相同目的及/或達成相同優點的其它製程以及結構的基礎。本領域的技術人員還應認識到,此類等效構造並不脫離本發明的精神以及範圍,且其可在不脫離本發明的精神以及範圍的情況下在本文中進行各種改變、替代以及更改。
10:微影裝置 100:照明器 110:第一琢面鏡面 111:第一琢面元件 112:第一遮罩元件 112a:第一可調整遮罩元件 112b:第二可調整遮罩元件 113:基座 120:第二琢面鏡面 121:第二琢面元件 200:光源 210:集光器 210a:乾淨的集光器 210b:受污染的集光器 300:光罩載台 310:光罩 400:投影系統 500:晶圓載台 600:第二遮罩元件 CA:污染區 CR:中心區 D1:第一距離 D2:第二距離 ER:曝光輻射 F1、F2:指形件 IF:中間焦點 NA:非污染區 PR:周邊區 S100、S200、S300、S400、S500、S600:步驟
圖1是根據本發明的一個實施例的微影裝置的示意圖。 圖2A是繪示根據本發明的一個實施例的乾淨的集光器的示意圖。 圖2B是繪示根據本發明的一個實施例的受污染的集光器的示意圖。 圖3A是繪示在集光器是清潔情況下的集光器圖像的圖片。 圖3B是繪示在集光器是清潔情況下的第二琢面鏡面的光瞳圖像的圖片。 圖3C是繪示在集光器是清潔情況下的半導體晶圓上的內部CDU的圖片。 圖4A是繪示在集光器受污染的情況下的集光器圖像的圖片。 圖4B是繪示在集光器受污染的情況下的第二琢面鏡面的光瞳圖像的圖片。 圖4C是繪示在集光器受污染的情況下的半導體晶圓上的內部CDU的圖片。 圖5A是說明在集光器是清潔情況下以及集光器受污染的情況下在EUV輻射強度與狹縫位置之間進行校正之前的關係的曲線圖。 圖5B是說明在集光器是清潔情況下以及集光器受污染的情況下在EUV輻射強度與狹縫位置之間進行校正之後的關係的曲線圖。 圖6A是說明根據本發明的一個實施例的在正常狀態下的第一琢面鏡面的示意圖。 圖6B是說明在遮蔽狀態下的圖6A中的第一琢面鏡面的示意圖。 圖7A是繪示根據本發明的一個實施例的第一琢面鏡面的示意圖。 圖7B是繪示圖7A中的第一琢面鏡面的第一可調整遮罩元件的示意圖。 圖7C是繪示圖7A中的第一琢面鏡面的第二可調整遮罩元件的示意圖。 圖8是描繪根據本發明的一個實施例的調整曝光輻射的強度均一性的方法的流程圖。
S100、S200、S300、S400、S500、S600:步驟

Claims (1)

  1. 一種照明器,包括: 第一琢面鏡面,接收且反射曝光輻射; 可調整遮罩元件,安置於所述第一琢面鏡面上,所述可調整遮罩元件調整由所述第一琢面鏡面反射的所述曝光輻射的強度均一性;以及 第二琢面鏡面,接收且反射由所述第一琢面鏡面反射的所述曝光輻射。
TW109125131A 2019-07-31 2020-07-24 照明器、微影裝置以及調整曝光輻射的強度均一性的方法 TW202107220A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962880661P 2019-07-31 2019-07-31
US62/880,661 2019-07-31
US16/891,067 US11360392B2 (en) 2019-07-31 2020-06-03 Photolithography device having illuminator and method for adjusting intensity uniformity
US16/891,067 2020-06-03

Publications (1)

Publication Number Publication Date
TW202107220A true TW202107220A (zh) 2021-02-16

Family

ID=74259585

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109125131A TW202107220A (zh) 2019-07-31 2020-07-24 照明器、微影裝置以及調整曝光輻射的強度均一性的方法

Country Status (3)

Country Link
US (1) US11360392B2 (zh)
CN (1) CN112305871A (zh)
TW (1) TW202107220A (zh)

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6225027B1 (en) * 1998-08-06 2001-05-01 Euv Llc Extreme-UV lithography system
US7333178B2 (en) * 2002-03-18 2008-02-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7990520B2 (en) * 2006-12-18 2011-08-02 Carl Zeiss Smt Gmbh Microlithography illumination systems, components and methods
DE102008001511A1 (de) * 2008-04-30 2009-11-05 Carl Zeiss Smt Ag Beleuchtungsoptik für die EUV-Mikrolithografie sowie Beleuchtungssystem und Projektionsbelichtungsanlage mit einer derartigen Beleuchtungsoptik
DE102010001388A1 (de) * 2010-01-29 2011-08-04 Carl Zeiss SMT GmbH, 73447 Facettenspiegel zum Einsatz in der Mikrolithografie
US10599040B2 (en) * 2017-08-18 2020-03-24 Asml Netherland B.V. Lithographic apparatus and associated method
US10748671B2 (en) * 2018-07-10 2020-08-18 Globalfoundries Inc. Radial lithographic source homogenizer

Also Published As

Publication number Publication date
US11360392B2 (en) 2022-06-14
US20210033982A1 (en) 2021-02-04
CN112305871A (zh) 2021-02-02

Similar Documents

Publication Publication Date Title
TWI569689B (zh) 極紫外光射線源模組、極紫外光微影系統以及極紫外光微影製程方法
TWI616724B (zh) 微影裝置及元件製造方法
EP1617292B1 (en) Light source unit and exposure apparatus having the same
TWI768247B (zh) 產生電磁輻射之設備及方法
JP5223921B2 (ja) 照明光学系、露光装置、及び露光方法
TWI776887B (zh) 用於產生極紫外光光線的方法以及極紫外光光源系統
JP2006128342A (ja) 露光装置、光源装置及びデバイス製造方法
JP4034286B2 (ja) デバイス製造方法、その方法で使用するためのマスク・セット、プログラム可能なパターン形成装置を制御するためのデータ・セット、マスク・パターンを作成する方法、およびコンピュータ・プログラム
US11448971B2 (en) Optical maskless
JP4319642B2 (ja) デバイス製造方法
US10871713B2 (en) Method of controlling reticle masking blade positioning to minimize impact on critical dimension uniformity and device for controlling reticle masking blade positioning
US20200348586A1 (en) Method and apparatus for collecting information used in image-error compensation
JP4966410B2 (ja) リソグラフィ装置およびデバイス製造方法
JP4545854B2 (ja) 投影露光装置
TW202107220A (zh) 照明器、微影裝置以及調整曝光輻射的強度均一性的方法
US11892776B2 (en) Imaging via zeroth order suppression
JP3618856B2 (ja) X線露光装置、及びこれを用いたデバイス生産方法
TWI759885B (zh) 極紫外線微影裝置及其使用方法
JP3376043B2 (ja) 照明装置及びそれを用いた投影露光装置
US20240160110A1 (en) Systems and methods for distributing light delivery
TW202336523A (zh) 用於微影設備的裝置及方法
WO2023285025A1 (en) Systems and methods for tiling a substrate with oddly-shaped patterns
JP2011077422A (ja) 露光システムおよび電子デバイスの製造方法