TWI776887B - 用於產生極紫外光光線的方法以及極紫外光光源系統 - Google Patents

用於產生極紫外光光線的方法以及極紫外光光源系統 Download PDF

Info

Publication number
TWI776887B
TWI776887B TW107114488A TW107114488A TWI776887B TW I776887 B TWI776887 B TW I776887B TW 107114488 A TW107114488 A TW 107114488A TW 107114488 A TW107114488 A TW 107114488A TW I776887 B TWI776887 B TW I776887B
Authority
TW
Taiwan
Prior art keywords
laser beam
euv
modified
collector
euv light
Prior art date
Application number
TW107114488A
Other languages
English (en)
Other versions
TW201842826A (zh
Inventor
張俊霖
葉人豪
傅中其
劉柏村
陳立銳
鄭博中
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201842826A publication Critical patent/TW201842826A/zh
Application granted granted Critical
Publication of TWI776887B publication Critical patent/TWI776887B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70025Production of exposure light, i.e. light sources by lasers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001Production of X-ray radiation generated from plasma
    • H05G2/008Production of X-ray radiation generated from plasma involving an energy-carrying beam in the process of plasma generation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Optics & Photonics (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • X-Ray Techniques (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

一種用於產生極紫外光光線的方法和系統,包括提供具有高斯分佈的一雷射光束。此雷射光束可以從高斯分佈修飾為一環形分佈。經修飾的雷射光束通過收集器中的一孔洞提供,並與移動的液滴目標接觸,以產生一極紫外光波長光線。從照射區域(前焦點)所產生的極紫外光波長光線被提供至收集器反射並匯聚至中介焦點區域(後焦點)作為曝光機的點光源。在一些實施例中,一罩元件亦可用來修飾雷射光束的形狀。

Description

用於產生極紫外光光線的方法以及極紫外光光源系統
本發明係有關於一種半導體製造技術,特別係有關於一種用於產生極紫外光輻射的方法和系統。
電子產業對於更小及更快的電子裝置之需求不斷增加,且電子裝置必須同時具有更加複雜且更精密的功能。因此,半導體產業持續朝向製造低成本、高性能及低功耗的積體電路(integrated circuits,ICs)之趨勢發展。迄今為止,已藉由縮小半導體積體電路尺寸(例如,最小特徵尺寸)實現了大部分的目標,從而改善生產效率並降低相關成本。然而,此微小化也增加了半導體製造製程的複雜度。因此,為了實現半導體積體電路及裝置的持續進展,需要半導體製造製程及技術相應的進步。
僅作為一個例子,半導體微影製程可使用微影模板(例如光罩或標線片)將圖案光學轉移至基板上。這種製程例如可以通過其間的光罩或標線片將放射源投射至具有光感材料塗層的基板來達成。藉由這種微影製程圖案化,最小特徵尺寸被投射的放射源的波長所限制。有鑑於此,極紫外光(extreme ultraviolet,EUV)放射源和微影製程已被採用。
然而,產生用於極紫外光系統之極紫外光(或輻射)為一高耗能且難以控制的製程。舉例而言,在一些極紫外光系統中,利用電漿來產生極紫外光輻射,會有大量的能量浪費。浪費的極紫外光輻射不只在效率上付出不少代價,亦產生了熱能需要散熱。因此,極紫外光產生系統尚未完全滿足各方面的需求。
本揭露一些實施例提供一種用於產生極紫外光輻射的方法,包括由一雷射源提供一雷射光束。所述方法更包括修飾雷射光束的形狀,以產生一經修飾的雷射光束。所述方法還包括使一錫液滴與經修飾的雷射光束接觸(interfaces),以產生一極紫外光光線。此外,所述方法包括利用一收集器反射極紫外光光線。
本揭露一些實施例更提供一種用於產生極紫外光輻射的方法,包括提供具有一高斯分佈的一雷射光束。所述方法更包括將雷射光束從高斯分佈修飾為一環狀分佈。所述方法還包括通過一收集器中的一孔洞提供經修飾的雷射光束。此外,所述方法包括使經修飾的雷射光束與錫液滴接觸,其中此接觸產生一極紫外光波長光線。其中,產生的極紫外光波長光線被提供至收集器之遠離孔洞處。
本揭露一些實施例又提供一種極紫外光光源系統,包括一雷射源、一照射區域(前焦點)、一收集器、及一反射錐面鏡組。雷射源可操作以提供一雷射光束。照射區域(前焦點)可操作以接收用於產生一極紫外光光線的複數個液滴。 收集器可操作以收集並反射來自複數個液滴的極紫外光光線。反射錐面鏡組介於雷射源和收集器之間。
100:極紫外光光源(極紫外光光源系統)
102:雷射源
104:雷射光束
104A:形狀(分佈)
106:光束傳輸及/或聚焦系統
106B:最後聚焦元件
108:極紫外光容器
110:液滴產生器
112:液滴捕捉器
114:收集器
116:照射區域(前焦點)
118:中介焦點區域(後焦點)
120:極紫外光微影系統
124:極紫外光光線
126:光束塑形單元
128:塑形光束
128A:形狀(分佈)
128’:反射雷射光束
130:背向放射的極紫外光光線
202:鏡體
204:液滴
302:鏡體
304:外錐
306:內錐
308:中央開口
310:窗體
402:罩元件
402A:楔
404:圖案化的雷射光束
404A:分佈
406:缺陷
502:旋轉
600:方法
602~610:步驟
700:微影系統
702:放射源
704:照明器
706:光罩平台
708:光罩
710:投影光學元件
712:光瞳相位調制器
714:投影光瞳面
716:半導體基板
718:基板平台
第1圖係表示根據本揭露一些實施例的極紫外光光源系統示意圖(極紫外光光線又稱為極紫外光輻射),其包括一示例性的極紫外光容器。
第2A、2B、2C、2D圖係表示根據本揭露一些實施例的極紫外光光源系統的示例性示意圖,其包括一雷射光束撞擊粒子或液滴,且極紫外光光線由此產生。
第3A、3B圖係表示根據本揭露一些實施例,極紫外光光源系統的光束傳輸及/或聚焦系統之一實施例的示例性示意圖。
第4圖係表示根據本揭露一些實施例,極紫外光光源系統的光束傳輸及/或聚焦系統之另一實施例的示例性示意圖。
第5圖係表示根據本揭露一些實施例,極紫外光光源系統的收集器的示例性示意圖。
第6圖係表示根據本揭露一些實施例,用於產生極紫外光光線及利用極紫外光光線執行一微影製程之方法的流程圖。
第7圖係表示根據本揭露一些實施例的微影系統示意圖。
以下的揭露內容提供許多不同的實施例或範例以實施本案的不同特徵。以下的揭露內容敘述各個構件及其排列方式的特定範例,以簡化說明。當然,這些特定的範例並非用 以限定。例如,若是本揭露書敘述了一第一特徵形成於一第二特徵之上或上方,即表示其可能包含上述第一特徵與上述第二特徵是直接接觸的實施例,亦可能包含了有附加特徵形成於上述第一特徵與上述第二特徵之間,而使上述第一特徵與第二特徵可能未直接接觸的實施例。另外,以下揭露書不同範例可能重複使用相同的參考符號及/或標記。這些重複係為了簡化與清晰的目的,並非用以限定所描述的不同實施例及/或結構之間有特定的關係。
再者,為了方便描述圖式中一元件或特徵與另一(複數)元件或(複數)特徵的關係,可使用空間相關用語,例如「下面」、「下方」、「之下」、「上方」、「之上」及類似的用語等。除了圖式所繪示的方位之外,空間相關用語涵蓋裝置在使用或操作中的不同方位。所述裝置也可被另外定位(旋轉90度或在其他方位上),且同樣可對應地解讀於此所使用的空間相關描述。
因為半導體積體電路(ICs)之最小特徵尺寸不斷縮小,光微影系統以及使用具有短波長之放射源的製程一直備受關注。有鑑於此,極紫外光(EUV)放射源、製程、以及系統(舉例而言,例如參照第7圖所描述的微影系統700)已被採用。然而,為了使用這樣的系統,需產生波長位於極紫外光光譜中的光線(或輻射)。
請參閱第1圖,其係表示一極紫外光光源的示意圖。第1圖中的極紫外光光源100係為可產生極紫外光波長輻射的一示例系統,極紫外光波長輻射可被傳送至例如第7圖描述 的一微影系統。在一些實施例中,極紫外光光源100可包括一雷射產生電漿(laser produced plasma,LPP)極紫外光光源。因此,在一些實施例中(如圖所示),極紫外光光源100可包括產生一雷射光束104之一脈衝雷射源102(舉例而言,例如一二氧化碳雷射(CO2 laser))。雷射源102可為氣體放電二氧化碳雷射源(例如產生波長約為10.6μm的輻射)。在其他實施例中,其他類型的雷射亦可能是合適的。雷射光束104可接著通過光束傳輸及/或聚焦系統106導引至一極紫外光容器108。第1圖之盒體106表示的腔室可包括各種裝置以執行各種功能,包括光束傳輸、光束聚焦、光束放大、及/或其他合適的功能。在一些實施例中,光束運送及/或聚焦系統與極紫外光容器108組合(例如設於腔室中或鄰接)。在一些實施例中,雷射光束可在光束傳輸及/或聚焦系統106和極紫外光容器108之間傳送(例如在真空環境中)。在各種實施例中,極紫外光容器108亦包括一液滴產生器110和一液滴捕捉器112。在某些情況下,液滴產生器110提供液滴(例如錫或錫化合物,之後將進一步描述)至極紫外光容器108中。
另外,極紫外光容器108可包括一或多個光學元件,例如一收集器114。收集器114亦可被稱為一收集板114。在一些實施例中,收集器114可包括一法向入射反射器,例如以一多層反射鏡(multilayer mirror,MLM)實現。舉例而言,收集器114可包括塗布有鉬/矽多層(Mo/Si multilayer)的碳化矽(silicon carbide,SiC)基板。在某些情況下,一或多個隔離層可形成於多層反射鏡的每個界面上,以例如阻擋熱誘發內層擴散 (thermally-induced interlayer diffusion)。在一些例子中,其他基板材料可使用於收集器114,例如鋁、矽、或其他種類的基板材料。收集器114可為橢球形,中央具有孔洞(或開口)以允許雷射光束104穿過並抵達照射區域(前焦點)116。因此,於一些實施例中,雷射光束穿過收集器114的孔洞並照射由液滴產生器110產生的液滴,從而在照射區域(前焦點)116產生一電漿。在一些實施例中,收集器114在照射區域(前焦點)116可具有一第一焦點,且在中介(intermediate)焦點區域118可具有一第二焦點。作為一個例子,於照射區域(前焦點)116產生的電漿會產生極紫外光光線124,其被收集器114收集並經由中介焦點區域(後焦點)118從極紫外光容器108輸出。從那裡,極紫外光光線124可被傳送至一極紫外光微影系統120,用以處理一半導體基板(舉例而言,例如參照第7圖所描述)。
雷射光束104可由雷射源102產生,且可自雷射源102在橫切面上提供高斯分佈(Gaussian distribution)。在一些系統中,具有高斯分佈的雷射光束104進入照射區域(前焦點)116且可入射至一錫滴。然而,高斯分佈光束的此種撞擊並非理想的,包括例如關於錫滴形狀的問題。因此,在本揭露之一些實施例呈現了提供修飾雷射光束104的方法和裝置,例如雷射光束104提供光束在強度分佈中的變化,亦稱為光束的形狀。一些光束塑形裝置和方法可增加收集效率、減少非預期的反射、及/或避免收集器的耗損。
在一些實施例中,從雷射源來的雷射光束藉由塑形前述光束被修飾。前述塑形可在雷射源102和照射區域(前焦 點)116之間執行。在一些實施例中,光束的塑形在光束穿過光束傳輸及/或聚焦系統(舉例而言,例如光束傳輸及/或聚焦系統106)之部分之後、到達照射區域(前焦點)116之前執行。極紫外光光源100包括一示例性的光束塑形單元126。於一些實施例中,光束塑形單元126可位於系統100的任何位置,致使從雷射源102來的光束104比起收集器114或照射區域(前焦點)116,較先進入光束塑形單元126。於一些實施例中,光束塑形單元126可位於系統100中,致使從雷射源102來的光束104比起導引光束至照射區域(前焦點)116的聚焦單元(例如鏡體),較先進入光束塑形單元126。
在一實施例中,雷射塑形單元126可操作來修改或變形光束104,從在橫切面(xy軸)上之高斯分佈(如雷射源102所提供的)變為在橫切面(Xy軸)上之環形(或環狀)半高斯光束(semi-Gaussian beam)。此亦可稱為「甜甜圈」形。在一些實施例中,這種變形藉由一反射錐面鏡組(reflaxicon)的內錐和外錐達成,如後進一步詳述。反射錐面鏡組、或反射錐形鏡(reflective axicon)可為一專門的透鏡種類系統,可使光束變形為另一形狀,如環形。
請參閱第1圖所示的例子,顯示了光束塑形單元126之效果。具有高斯分佈(如形狀(或分佈)104A所示)的雷射光束104被提供至光束塑形單元126。如第3A/3B圖所顯示,形狀104A之橫切面(沿xy軸)為圓形。當光束塑形單元126具有形狀104A之輸入,光束塑形單元126輸出一經修飾的光束,特別係一塑形光束128。塑形光束128具有一環狀、半高斯形狀或分佈 128A(亦稱為「甜甜圈」形)。其後,塑形雷射光束128可被提供至照射區域(前焦點)116。在一些實施例中,當塑形雷射光束128撞擊照射區域(前焦點)116中之液滴/霧的蒸氣時,其在橫切面(xy軸)具有環形半高斯光束作為分佈,如128A所顯示。
在一些實施例中,光束傳輸及/或聚焦系統106包括一罩元件402,其提供用於圖案化入射至照射區域(前焦點)中之液滴/霧的蒸氣之前的雷射光束。罩元件402可阻擋部分的光束,包括例如塑形光束128的偏折部分。罩元件402可包括一光束收集區(beam dump),例如一水冷光束收集區。在一些實施例中,雷射光束偏折進入光束收集區(例如水冷光束收集區),而未偏折部分被提供為塑形光束128A。在一實施例中,罩元件402包括一偏折楔(deflecting wedge)(參見第4圖的402A)。楔402A可與光束收集區一起(in conjunction with)被包含於罩元件402中。舉例而言,楔402A可偏折光束部分至光束收集區(例如水冷光束收集區)。罩元件402和光束塑形單元126可在極紫外光光源100中同時實現。在其他實施例中,罩元件402或光束塑形單元126之一者可被省略。如前所述,雷射光束104進入光束塑形單元126且作為塑形光束128離開。如前所述,在一實施例中,雷射光束104具有高斯分佈形狀104A且塑形雷射光束128具有環形光束128A。更特別的是,塑形雷射光束128可入射至罩元件402,罩元件402可更進一步地藉由圖案化環形光束來修改光束,從而環形光束的一或多個部分可偏折,如後所述。在其他實施例中,光束塑形單元126被省略。且罩元件402可改變具有高斯分佈形狀104A的光束104以偏折這個光束的一或多個 部分。
在一些實施例中,至少一聚焦元件(例如鏡體)設置於光束傳輸及/或聚焦系統106的腔室中,介於光束塑形單元126和收集器114之間。於一些實施例中,至少一聚焦元件(例如鏡體)設置於罩元件402和收集器114之間。此元件可為稱作最後聚焦組件的複數個元件的一部分,如後所述。
在一些實施例中,塑形光束128(被罩元件圖案化或未被罩元件圖案化)接著照射(例如撞擊)照射區域(前焦點)116中的液滴。此照射提供了極紫外光光線的背向照射部分130,前述背向照射部分130被收集器114導引至中介焦點區域(後焦點)118。下方參照第2A、2B、2C圖將更詳細地描述此接觸的細節。極紫外光的背向照射光線130係藉由在材料包括在極紫外光光譜中具有發射譜線的元素時,將材料液滴(液體或霧)轉換為電漿狀態所產生,前述元素例如氙、鋰或錫、銦、銻、碲、鋁等。
產生的極紫外光光線(如背向照射部分130所顯示)為具有波長約50nm或更少的電磁輻射(有時亦稱為軟X輻射),並包括波長集中在約13.5nm的光線。產生的極紫外光光線可使用於光微影製程中以在目標基板上製造特徵,如後所述。
請參閱第2A圖,其顯示了極紫外光容器108之部分的示意圖,提供了更進一步的細節可施行於第1圖的系統。第2A圖係表示包括收集器114和塑形雷射光束128之入口的示意圖,其中塑形雷射光束128穿過收集器孔洞且在照射區域(前焦點)116入射液滴204。前方系統(例如聚焦單元106)之一最後的 鏡體以鏡體202表示。如本揭露所述,在傳統系統的實施例中,鏡體202可能被極紫外光輻射穿過收集器114中央孔洞所影響,以及可能被來自極紫外光照射和後方二氧化碳輻射之吸收的熱效應所影響。
液滴204可為球形或橢圓形的。如下所述,液滴204可為液體液滴或呈霧狀。液滴204可為錫或錫化合物。合成物的例子可包括錫、四溴化錫(SnBr4)、二溴化矽(SnBr2)、甲錫烷(SnH4)、錫鎵合金(tin-gallium alloy)、錫銦合金(tin-indium alloy)、錫銦鎵合金(tin-indium-gallium alloy)或其組合,但不限定於此。雷射光束128的分佈(或形狀)128A顯示雷射光束的峰值振幅相對於雷射行進軸(z軸)為零。如前所述,雷射光束128於橫切面(xy軸)具有環形半高斯光束。在一實施例中,形狀128A是相對於(錫)液滴204按比例縮放的,如第2A圖所表示。亦即,分佈128A的高振幅點入射液滴204的邊緣區域,且低振幅部分係入射液滴204的中央部分。分佈128A於橫切面(xy軸)提供環形,例如關於第3A/3B圖所表示。因此,高振幅區域可入射圍繞液滴204之圓周的周邊區域。相較於高斯形狀(Gaussian shaped)光束所能提供的,分佈128A可在液滴204上提供較大的入射表面面積。這是通過允許與液滴204的曲面有更多的接觸來進一步實現的。因為塑形光束128之較大的表面面積(例如與一高斯光束相比)射於液滴204上,可達到較高比例的極紫外光光線之反射,前述極紫外光光線係反射至收集器114以被收集。需再次說明的是,塑形光束128可以被實施在雷射的預脈衝(pre-pulse,PP)或主脈衝(main pulse,MP)。因此, 在一些實施例中,塑形光束128入射液體液滴;於一些實施例中,塑形光束128入射霧狀的「液滴」。在極紫外光產生方法的過程中,塑形光束128可入射兩種形式的液滴(例如預脈衝之後主脈衝)。
第2B圖為雷射光束128入射液滴204後的結果示意圖。應注意的是,在一些實施例中,預脈衝入射液滴204(例如錫液體液滴)且主脈衝入射霧形式的「液滴204」(例如擴展液滴以形成霧,如擴展的錫霧)。再次說明,為了便於參考和理解,接觸材料被稱為「液滴」204,可指霧形式或液體液滴形式。第2B圖係表示來自雷射光束128抵達液滴/霧204之接觸產生之一背向照射極紫外光光線130。亦即,雷射光束128通過收集器114的穿孔且撞擊液滴(霧),例如圖示的液滴(霧)204。液滴(霧)204(例如錫)電漿將產生且發出及紫外光放輻射,如極紫外光的背向照射光線130所表示。在一些實施例中,因為雷射光束128在預脈衝或主脈衝之一或兩者提供撞擊液滴/霧204的環形表面面積,因此產生的極紫外光光線亦形成為環形輪廓。因此,收集器114的孔洞或穿孔可落在極紫外光光線之環形輪廓的中央。如第2B圖所顯示,相較於在雷射行進軸(z軸)上(on laser propagation axis),背向照射的極紫外光130係偏離雷射行進軸(z軸)(off laser propagation axis)較佳。極紫外光的背向照射光線130的角度分佈增加。這可以增加效率,因為在系統中水平軸上的光線被視為是浪費的,因為其無法藉由收集器114被捕捉及導向焦點118,反而是穿回孔洞。應注意的是,於一示例性的實施例中,收集器114的直徑約24英寸且中央具有直 徑4英寸的穿孔。背向放射的極紫外光光線130為角度分佈,故其入射至收集器114的鏡像表面(而非反射至收集器的開口)。極紫外光的背向照射光線130被收集並聚焦於一焦點,例如第1圖的焦點118。除了如果極紫外光光線穿回收集器114的開口對微影製程來說造成不必要的「浪費」之外,反射的光線穿回開口(稱為「丟失的極紫外光光線」)可能亦會造成系統100的問題。舉例而言,丟失的極紫外光光線可能被光束傳輸及/或聚焦系統106中鄰近的鏡體吸收,造成散熱及/或穩定性的問題,甚至是光學元件或其鍍膜的使用壽命縮短。
第2C圖係表示一部分的雷射光束128,同樣從液滴204反射回來,以128’表示。反射雷射光束128’可為二氧化碳雷射反射。在一些實施例中,可能需要減少從液滴/霧204而來的二氧化碳雷射的反射,以避免損害雷射系統(二氧化碳雷射系統)及/或極紫外光輻射系統。在一些實施例中,相較於如果液滴/霧204被具有分佈104A之雷射撞擊的反射雷射量,反射雷射光束128’是減少的,這是因為接觸的表面面積增加,如前所述。第2C圖和第2B圖可同時發生。
應注意的是,在第2A、2B、2C圖中,液滴/霧204在剖視圖中為橢圓形(亦稱為「鬆餅」形)。在其他實施例中,液滴204可約為球形。橢圓形可藉由引入來自雷射源102的雷射(例如二氧化碳雷射)的預脈衝提供,係在雷射的主脈衝的引入之前。預脈衝可被使用來塑形液滴204,增加其後與雷射的主要脈衝(例如雷射光束128)撞擊的可用表面面積。在一些實施例中,預脈衝光束可具有高斯形狀,例如104A。在另一實施例中, 高斯預脈衝之後的主脈衝可提供具有環形的雷射光束128,如第2A圖所顯示。在一些實施例中,預脈衝光束亦可具有環形,例如128A。因此,在另一實施例中,每個主脈衝和預脈衝可包括環形,例如分佈128A。
應注意的是,第2A、2B、2C圖提供了提供光束至一目標液滴/霧的一或多個方面的簡化。第2D圖係表示在製程的預脈衝和主脈衝階段液滴204不同的狀態和配置。舉例而言,預脈衝可入射液體液滴形式的液滴204,且主脈衝可在液滴204擴展後且當液滴204為霧形式時入射液滴204。在一些實施例中,液滴204在預脈衝和主脈衝之間具有軌跡,舉例而言,係設置於系統中的不同位置。
關於此處的敘述以及前述的一或多個實施例,預脈衝可在液滴204為液體形式時入射液滴204。提供塑形光束入射液體形式的液滴可供液滴204擴展為霧(例如從直徑30微米變為直徑300微米)。雷射的主脈衝(塑形)可接著入射霧形式的液滴204。如果預脈衝光束形狀在入射液滴時為環狀或「甜甜圈」形,反射回系統的光束(例如二氧化碳雷射)可降低,如前所述。如果主脈衝形狀在入射液滴時為「甜甜圈」形,那麼在擴展的霧形式中,不僅僅可使反射回系統的光束(例如二氧化碳雷射)降低,在一些實施例中,更可改變產生之極紫外光輻射的角度輻射圖案(angular radiation pattern)。此種形狀的改變可提供下述項次的一或多個:(1)較少的極紫外光輻射浪費,前述極紫外光輻射浪費是因為收集器的中央孔洞;(2)較少的極紫外光輻射背向照射在最後一些鏡體上(例如鏡體202),從而提供 延長的鏡體壽命及操作上較少的熱效應;(3)更均勻的角度分佈在收集器表面上;(4)更多的液滴(例如霧)表面面積可被主脈衝擊中,為了更多的極紫外光輻射以及雷射至極紫外光的轉換效率;或(5)斜向入射至照射區域(前焦點),提供更長的鐳射能量吸收距離以增加光轉換效率,同時形成斜向的雷射反射以避免回到系統。
現在請參閱第3A/3B圖,顯示了一實施例之光束傳輸及/或聚焦系統106,包括光束塑形單元126。第3A/3B圖的光束傳輸及/或聚焦系統106可被使用於第1圖的極紫外光光源100中。光束傳輸及/或聚焦系統106包括複數個鏡體302,以偏折及/或聚焦雷射光束。鏡體302僅為示例的,且並非意圖限制數量、狀態、或配置。
第3A圖係表示利用光束傳輸及/或聚焦系統106執行雷射104的主脈衝和預脈衝的第一實施例。第3B圖係表示利用光束傳輸及/或聚焦系統106執行雷射光束104的主脈衝和預脈衝的第二實施例。
第3B圖係表示在一實施例中,鏡體302導引雷射光束104的主脈衝穿過光束塑形單元126,且鏡體302導引雷射光束104的預脈衝使其不會被光束塑形單元126影響。因此,當離開系統106往照射區域(前焦點)116時,預脈衝光束104可保持其具有高斯分佈形狀104A的形狀。然而,其他實施例可以包括使雷射光束104的預脈衝和主脈衝兩者皆導引至並穿過光束塑形單元126,如第3A圖的實施例所顯示。於此,如第3A圖所示,雷射光束128可包括主脈衝及/或預脈衝光束,每個主脈衝/預脈 衝光束具有類似於128A的分佈。
關於來自一系統實施視角的第3A/3B圖,在一實施例中,光束塑形單元126包括一反射錐面鏡組,如第3A/3B圖所示。反射錐面鏡組包括一外錐304和一內錐306。內錐306和外錐304同z軸地設置。中央開口308被創建並定義環形128A的內徑和外徑。在一些實施例中,窗體310設置在反射錐面鏡組上。窗體310可包括硒化鋅(ZnSe)、鑽石、或其他合適的材料。內錐306可安裝於窗體310上。雖然反射錐面鏡組是一裝置之示例性實施例,可用以施行雷射光束104的塑形以提供塑形光束128,其他裝置的施行亦為可能的,包括其他反射光學元件。
現在請參閱第4圖,顯示了一實施例之光束傳輸及/或聚焦系統106,可被使用於第1圖的極紫外光光源100中,且/或包括如前所述參照第3A/3B圖之光束傳輸及/或聚焦系統106的一或多個元件。第4圖係表示一些實施例中,一罩元件402包含於光束傳輸及/或聚焦系統106中。在一實施例中,罩元件402設置在光束傳輸及/或聚焦系統106的光束塑形單元126之後、一最後聚焦元件106B(又稱為最後焦點組件(Final Focal Assembly,FFA))之前。罩元件402設置在雷射光束128入射液滴204之間以定義應用於雷射光束128的圖案。罩元件402可包括一鏡體或其他合適材料,可操作來偏折雷射光束之部分,包括楔、光束收集區和其他合適材料。圖案化的雷射光束隨後穿過罩元件402,圖案化的雷射光束404具有分佈404A如第4圖所表示。分佈404A僅為示例,且在其他實施例中,光束的其他部分可被偏折或光束的複數個部分可被偏折。在一實施例中,罩元 件402包括一偏折楔402A。偏折楔402A可做為遮掩元件偏折光束的部分。在一實施例中,偏折楔402A可操作來旋轉至光束欲偏折之部分的位置。如前所述,罩元件402亦可包括光束收集區。舉例而言,如第4圖所示,偏折楔402A可被定位來從光束的右上扇形偏折輻射。在一些實施例中,偏折楔402A可旋轉至其他位置,例如使用類似光束形狀的時鐘旋轉至「六點鐘」來偏折光束的部分。在一些實施例中,罩元件402可包括空冷或水冷光束收集區。光束收集區可由入射光束的適當波長選擇。偏折楔402A可將偏折的光束部分提供至對應的光束收集區。
在一實施例中,罩元件402提供的圖案由一製程決定,因此缺陷可在收集器上被辨識,前述收集器被使用於製造極紫外光光源,例如收集器114。缺陷可經由收集器的檢驗、極紫外光光線生產表現的數據的分析、關於在微影圖案化製程中之極紫外光光源的施行的數據的分析、及/或其他合適的方法判別。在一實施例中,映像(imaging)臨界尺寸(critical dimension,CD)特徵或一致的臨界尺寸特徵的表現的數據被收集並使用來判別收集器上之缺陷的存在,前述臨界尺寸特徵或一致的臨界尺寸特徵係形成在目標基板(例如晶圓)上。在一些實施例中,設置於系統中最接近的焦點(例如118)之後的一元件(例如鏡體)可被使用來判別收集器上之缺陷的存在。在一實施例中,缺陷的辨識包括判別收集器上缺陷的座標。利用第4圖的例子,缺陷406可被辨識。在一實施例中,缺陷406為錫碎屑。於一實施例中,缺陷406為收集器114之表面的損傷。
在辨識缺陷(例如缺陷406)的存在之後,罩元件402 的圖案(在一些實施例中包括偏折楔402A)被設計、選擇、及/或提供,從而由雷射光束撞擊液滴/霧204而產生的極紫外光輻射在具有缺陷的收集器區域減少或消除,且在非缺陷區域增加。如第4圖所顯示的實施例,極紫外光的背向照射光線130在非缺陷區域強於圍繞缺陷406的區域,因為雷射光束128的圖案化形成雷射光束404。
現在請參閱第5圖,顯示了一實施例之收集器114。第5圖的收集器114可被實施於第1圖的系統100中,且/或可提供作為如前所述的實施例中(例如第2A、2B、2C、3A、3B、4圖)的收集器。如第5圖所示,雷射光束404被提供穿過收集器114的穿孔至照射區域(前焦點)116,並於照射區域(前焦點)116入射液滴204。雷射光束404可被塑形為環輪廓(ring-profile)光束,例如前述參照雷射光束128。雷射光束404亦可被圖案化(舉例而言,經由罩元件),例如如前所述參照第4圖的光束404。如圖所示,由於光束404之形狀的修飾,產生自液滴204的極紫外光光線提供至收集器114的第一區域(例如相對於第5圖方位的收集器114之頂部)大於提供至收集器的第二區域(例如相對於第5圖方位的收集器114之底部)。
在一些實施例中,收集器114為可旋轉的,如示例的旋轉502所顯示。在一實施例中,收集器114是可方位角旋轉的(azimuthally-rotatable)。收集器114的旋轉可與藉由罩元件對光束404圖案化結合使用,以使極紫外光入射收集器114的無缺陷部分大於入射收集器114包含缺陷的部分。舉例而言,收集器114旋轉致使缺陷406位於遠離極紫外光的背向照射130產生 增加的區域。
現在請參閱第6圖,顯示了根據一或多個本揭露的方面,修飾使用於極紫外光光源中的雷射光束的方法600。應注意的是,方法600的製程步驟,包括參考圖式給出的任何描述,僅是示例性的,並非意圖限制超出所附申請專利範圍具體列舉的範圍。此外,額外的製程步驟可在方法600之間、期間、及之後被實施,且根據方法600的各種實施例,一些製程步驟可被取代或去除。
方法600由步驟602開始,其中極紫外光光源系統根據一或多個本揭露的方面配置有合適的光束修飾元件。光束修飾元件可設置於光源系統中。作為一個例子,且在一些實施例中,極紫外光光源系統可為極紫外光光源系統100,如第1圖所示。因此,在各種實施例中,極紫外光光源系統可包括光束修飾元件,例如參照第1、2A、2B、2C、3A、3B、4圖所描述的光束塑形單元;參照第1、4、5圖所描述的用於圖案化光束的罩元件;第1、5圖的可旋轉收集器;及/或提供來配置光線的提供、反射、或收集的合適光束修飾元件(藉由雷射光束、極紫外光光線、或極紫外光光線的收集器的操控)。
在一實施例中,光源配置使光束塑形單元設置在雷射源提供之雷射光束的路徑上。光束塑形單元設置在雷射光束進入照射區域(前焦點)之前(例如穿過收集器之前)。極紫外光光源亦可配置有其他塑形雷射光束的裝置,例如圖案化雷射光束的罩元件。罩元件可大致類似於先前參照第4圖所述。極紫外光光源系統亦可配置為在加工前或加工期間允許收集器 的旋轉,例如參照第5圖先前所描述的。
方法600接著由步驟604繼續,其中極紫外光光源系統的收集器上的缺陷被判別。缺陷可經由收集器的檢驗、極紫外光光線生產表現的數據的分析、在微影圖案化製程中之極紫外光光源的施行的數據的分析、及/或其他合適的方法判別。在一實施例中,映像臨界尺寸特徵或一致的臨界尺寸特徵的表現的數據被收集並使用來判別收集器上之缺陷的存在,前述臨界尺寸特徵或一致的臨界尺寸特徵係形成在目標基板(例如晶圓)上。在一實施例中,缺陷的辨識包括判別收集器上缺陷的座標。在一些實施例中,方法600亦可用於保持收集器操作壽命,如於此所描述的。
在方法600的一實施例中,步驟604被忽略及/或分析被執行,前述分析提供的收集器並不包含需要校正動作的缺陷。
方法600接著由步驟606繼續,其中罩元件被提供及/或收集器是可方位角旋轉的,以基於缺陷的存在修飾光束強度,前述缺陷的存在係由步驟604中判別。提供的罩元件可大致類似於先前參照第4圖所述的罩元件402。收集器可大致類似於先前參照第5圖所述。步驟606提供增加在收集器上與缺陷相隔一距離的區域的光強度,且減少在缺陷地點的收集器區域的光強度。
在方法600的一實施例中,步驟606可被省略。
方法600接著由步驟608繼續,其中極紫外光光線係利用步驟602中提供的極紫外光光源產生。極紫外光光線可 藉由提供雷射光束產生,前述雷射光束經形狀修飾以提供環形至照射單元。在一些實施例中,雷射光束可選地或額外地修飾,例如其被圖案化。雷射光束可利用罩元件圖案化,例如先前所述。一或多個形狀修飾及圖案化可在雷射光束入射液滴之前被執行。在一些實施例中,收集器在雷射光束供應之前或期間旋轉,如先前關於步驟606所述及/或參照第5圖。提供的雷射光束(例如經形狀修飾的及/或圖案化的)從液滴產生背向放射的極紫外光光線。反射的極紫外光光線被收集器收集且提供至焦點。隨後,背向放射的極紫外光光線提供至極紫外光微影系統,其中其被使用於圖案化目標晶圓,如下所述。
現在請參閱方法600的步驟610,如前所述,前述的極紫外光光源可使用於提供極紫外光光線予一微影系統。作為說明,且參照第7圖,其中根據一些實施例提供了示例性微影系統的示意圖。微影系統700一般也可被稱為可執行微影曝光製程的一掃描器,且此微影曝光製程之包含具有個別的放射源並處於特定的曝光模式中的曝光。根據一些實施例,微影系統700包括設計來藉由極紫外光光線(例如經由極紫外光容器提供)曝光一光阻層的極紫外光微影系統。因為,在各種實施例中,光阻層包括可感光於極紫外光光線的材料(例如一極紫外光光阻)。第7圖的微影系統700包括複數個子系統,例如一放射源702(舉例而言,例如第1圖的極紫外光光源)、一照明器704、一光罩平台706配置來接收一光罩708、複數個投影光學元件710、以及一基板平台718配置來接收一半導體基板716(例如晶圓)。微影系統10的元件可被添加或省略,本發明實施例 不被所述實施例所限制。以下給予微影系統700之操作的一般說明:來自放射源702的極紫外光光線被導引至照明器704(其包括一組反射鏡體)且投射在反射光罩708上。一反射光罩圖樣被導引至投影光學元件710,其聚焦極紫外光光線且投射極紫外光光線至半導體基板716上,以曝光沉積於其上的極紫外光光阻層。另外,在各種實施例中,微影系統700的每個子系統可被安置在一高真空環境中,並於其中操作。舉例而言,藉以減少極紫外光光線的大氣吸收(atmospheric absorption)。
在此說明的實施例中,放射源702可大致類似於極紫外光光源100,且/或可能有一或多個裝置配置來接收從極紫外光光源100來的極紫外光光線。如前所述,放射源702可利用雷射產生電漿生成極紫外光光線。在一些例子中,系統700製造和提供的極紫外光光線可包括具有波長範圍約在1nm至100nm之間的光線。在一特別的例子中,放射源702產生波長集中在約13.5奈米的極紫外光光線。
一經收到,極紫外光輻射(例如被放射源702接收/產生)被導引至照明器704。在一些實施例中,照明器704包括複數個反射光學元件(舉例而言,予極紫外光微影系統700),例如單一鏡體或具有多重鏡體的鏡體系統,藉以將光線由放射源702導向至光罩平台706上,且特別是導向固定於光罩平台706上的光罩708上。在一些實施例中,照明器704可包括一波帶片(zone plate),舉例來說,以改善極紫外光光線的焦距。在一些實施例中,照明器704可根據一特定的光瞳形狀(pupil shape)配置來塑形通過的極紫外光,且例如包括一偶極形(dipole shape)、一四極形(quadrapole shape)、一環形(annular shape)、一單光束形(single beam shape)、一多光束形(multiple beam shape)、及/或上述組合。在一些實施例中,照明器704可操作以配置鏡體(意即,照明器704的鏡體)以對光罩708提供所需的照明。在一例子中,照明器704的鏡體可配置為將極紫外光光線反射至不同的照明位置。在一些實施例中,在照明器704之前的平台(stage)可另外包括其他可配置的鏡體,用於將極紫外光光線導向在照明器704的鏡體中的不同照明位置。在一些實施例中,照明器704配置為對光罩708提供一軸上照明(on-axis illumination,ONI)。在一些實施例中,照明器(illuminator)104配置為對光罩708提供一離軸照明(off-axis illumination,OAI)。應注意的是,應用於極紫外光微影系統700中的光學元件,和特別是使用於照明器704和投影光學元件710的光學元件,可包括具有稱為布拉格反射鏡(Bragg reflectors)之多層薄膜塗層的鏡體。作為例子,這種多層薄膜塗層可包括鉬和矽的交替層,在極紫外光波長(例如約13nm)中可提供高反射率。
如上所述,微影系統700也包括配置來固定光罩708的光罩平台706。由於微影系統700可安置於一高真空環境中,並於其中操作,光罩平台706可包括一靜電吸盤(electrostatic chuck,e-chuck)以固定光罩708。與極紫外光微影系統700的光學元件相同,光罩708也為反射性的。如第7圖的例子所示,光線從光罩708反射且被導向投影光學元件710,投影光學元件710收集從光罩708背向反射的極紫外光光線。作為例子,投影光學元件710收集的極紫外光光線(從光罩708反射) 攜帶著由光罩708定義的圖案的圖樣。在各種實施例中,投影光學元件710係提供將光罩708的圖案映像至固定於微影系統700的基板平台718的半導體基板716上。具體而言,在各種實施例中,投影光學元件710將收集的極紫外光光線聚焦且將極紫外光光線投影至半導體基板716上,以曝光沉積在半導體基板716上的極紫外光光阻層。如前所述,投影光學元件710可包括反射光學元件,前述反射光學元件係用於極紫外光微影系統,例如微影系統700。在一些實施例中,照明器704和投影光學元件710併稱為微影系統700的一光學模組。
在一些實施例中,微影系統700可包括一光瞳相位調制器712(pupil phase modulator),以調變從光罩708導引的極紫外光光線的光學相位,致使光線可沿著一投影光瞳面714(projection pupil plane)具有相位分佈。
如前所述,微影系統700亦包括基板平台718以固定要被圖案化的半導體基板716。在各種實施例中,半導體基板716包括一半導體晶圓,例如矽晶圓、鍺晶圓、矽-鍺晶圓、三-五族(III-V)晶圓、或先前所述或常用的其他類型晶圓。半導體基板716上可塗佈可感光於極紫外光光線的一光阻層(例如一極紫外光光阻層)。可以肯定的是,微影系統700可進一步包括其他模組或其他子系統,其可整合至(或耦接至)一個或多個於此所述的子系統或構件。
明確地說,微影系統700被提出,可以理解到極紫外光光源系統100可作放射源702或提供及紫外光輻射至放射源702,以讓微影系統700使用。亦即,系統100提供了極紫外 光輻射至中介焦點區域(後焦點)118,且在此點其被轉移至微影系統700所述之系統。
本揭露實施例提供了許多優於現有技術的優點,但應該理解的是,其他實施例可以提供不同的優點,本文中未必描述了所有的優點,並且沒有特別的優點是所有實施例所需要的。舉例而言,藉由生成入射目標液滴的雷射光束的環狀圖案,較大的表面面積可以被使用。在一些實施例中,提供環狀雷射光束入射目標液滴產生極紫外光放射之一環狀圖案,可投影在收集器表面上。在一些實施例中,藉由減少極紫外光光線穿過收集器中的孔洞丟失的量,可以減少極紫外光光線在系統中的丟失。在一些實施例中,減少極紫外光丟失的量可改善系統的效率、減少熱的產生、提供較高的熱穩定性、及/或其他有利的特徵。在一些實施例中,藉由利用罩圖案及/或可旋轉的收集器,遠場(far-field)圖案可被優化以得到更好的狹縫均勻性(slit uniformity)。在一些提出的實施例中,其亦可增加收集器裝置的壽命。這是因為能夠繼續使用收集器來生成極紫外光光線,僅管缺陷或碎屑存在,極紫外光可被導引遠離缺陷/碎屑的點。導引極紫外光遠離缺陷/碎屑部分包括罩元件及/或可旋轉收集器的使用。應注意的是,在有缺陷/碎屑繼續加工而不考慮極紫外光光線入射缺陷/碎屑區域可能會影響微影系統的表現,例如前述微影系統700,因為極紫外光光線可能被缺陷/碎屑區域吸收而非反射。
因此,本揭露一些實施例提供一種方法,包括由一雷射源提供一雷射光束。接著修飾雷射光束的形狀。經修飾 的雷射光束入射錫液滴以產生一極紫外光光線。此極紫外光光線利用收集器反射。在一些實施例中,修飾雷射光束的形狀包括提供一環輪廓雷射光束。在一些實施例中,提供雷射光束包括提供一高斯形狀光束。在一些實施例中,修飾形狀係藉由一反射錐面鏡組裝置來執行。在一些實施例中,修飾雷射光束的形狀包括圖案化雷射光束以阻擋雷射光束的一第一部分且保持雷射光束的一第二部分。在一些實施例中,雷射光束的圖案化係藉由在雷射光束的路徑中提供一罩元件來執行。在一些實施例中,修飾雷射光束的形狀包括將雷射光束從高斯形狀改變為環形。在一些實施例中,修飾雷射光束的形狀更包括將環形雷射光束穿過罩元件以阻擋環形雷射光束之部分。在一些實施例中,收集器基於環形雷射光束的被阻擋部分的位置而旋轉。在一些實施例中,使用對一目標基板上的臨界尺寸特徵的分析來選擇罩元件的圖案。
在另一些實施例中提供一種方法,包括提供具有一高斯分佈的一雷射光束。雷射光束從高斯分佈被修飾為一環狀分佈。經修飾的雷射光束係通過一收集器中的一孔洞提供。經修飾的雷射光束與錫液滴接觸,以產生一極紫外光波長光線。產生的極紫外光波長光線被提供至收集器之遠離孔洞處。在一些實施例中,雷射光束由一二氧化碳雷射源提供。在一些實施例中,錫液滴呈霧狀。在一些實施例中,錫液滴呈液狀。在一些實施例中,錫液滴在所述方法中有時呈液狀(例如預脈衝)且有時呈霧狀(例如主脈衝)。在一些實施例中,修飾雷射光束係藉由一反射錐面鏡組裝置來執行。在一些實施例中,在使 經修飾的雷射光束與錫液滴接觸接觸之前,經修飾的雷射光束之部分被阻擋。在一些實施例中,所述方法包括旋轉收集器。在一些實施例中,修飾雷射光束包括修飾雷射光束的一主脈衝,而雷射光束的一預脈衝在與錫液滴接觸接觸時保持為高斯分佈。
在又一些實施例中提供一種極紫外光光源系統,包括一雷射源、一照射區域(前焦點)、一收集器、以及一反射錐面鏡組。雷射源可操作以提供一雷射光束。照射區域(前焦點)可操作以接收用於產生一極紫外光光線的複數個液滴。收集器可操作以收集並反射來自複數個液滴的極紫外光光線。反射錐面鏡組介於雷射源和收集器之間。在一些實施例中,一罩元件設置於反射錐面鏡組和收集器之間。在一些實施例中,至少一鏡體可操作以聚焦罩元件和收集器之間的雷射光束。在一些實施例中,收集器可操作為可方位角旋轉的。
以上概略說明了本揭露數個實施例的特徵,使所屬技術領域中具有通常知識者可更為清楚地理解本揭露的各面向。任何所屬技術領域中具有通常知識者應瞭解到本說明書可輕易作為其它結構或製程的變更或設計基礎,以進行相同於本揭露實施例的目的及/或獲得相同的優點。任何所屬技術領域中具有通常知識者也可理解與上述等同的結構或製程並未脫離本揭露之精神和保護範圍內,且可在不脫離本揭露之精神和範圍內,當可作更動、替代與潤飾。
100:極紫外光光源(極紫外光光源系統)
102:雷射源
104:雷射光束
104A:形狀(分佈)
106:光束傳輸及/或聚焦系統
108:極紫外光容器
110:液滴產生器
112:液滴捕捉器
114:收集器
116:照射區域(前焦點)
118:中介焦點區域(後焦點)
120:極紫外光微影系統
124:極紫外光光線
126:光束塑形單元
128:塑形光束
128A:形狀(分佈)
130:背向放射的極紫外光光線
402:罩元件

Claims (10)

  1. 一種用於產生極紫外光光線的方法,包括:由一雷射源提供一雷射光束;修飾該雷射光束的一形狀,以形成一經修飾的雷射光束,其中修飾該雷射光束的該形狀包括將該雷射光束從一高斯形狀改變為一環狀形狀,及包括圖案化該雷射光束以偏折該雷射光束的一第一部分且保持該雷射光束的一第二部分;使一錫液滴與該經修飾的雷射光束接觸,以產生一極紫外光光線,其中該雷射光束的該第一部分未與該錫液滴接觸;以及利用一收集器反射該極紫外光光線。
  2. 一種用於產生極紫外光光線的方法,包括:提供具有一高斯分佈的一雷射光束;將該雷射光束從該高斯分佈修飾為一環狀分佈;偏折經修飾的該雷射光束的一部分;通過一收集器板中的一孔洞提供經修飾的該雷射光束;接合經修飾的該雷射光束與一錫液滴,其中經修飾的該雷射光束被偏折的該部分未與該錫液滴接觸,且接合將產生一極紫外光波長光線;以及其中產生的該極紫外光波長光線被提供至該收集器板的遠離該孔洞處。
  3. 一種極紫外光光源系統,包括:一雷射源,可操作以提供一雷射光束; 一照射區域,可操作以接收用於產生一極紫外光光線的複數個液滴;以及一收集器,可操作以收集並反射來自該些液滴的該極紫外光光線;一反射錐面鏡組,介於該雷射源和該收集器之間,其中該反射錐面鏡組包括一第一部分、一第二部分以及一開口,該開口被定義於該第一部分和該第二部分之間,其中該開口定義一環形的內徑和外徑;以及一罩元件,位於該反射錐面鏡組和該收集器之間,以偏折該雷射光束的一部分,其中該雷射光束被偏折的該部分未與該些液滴接觸。
  4. 如請求項3之極紫外光光源系統,其中該第一部分為一內錐且該第二部分為一外錐,該內錐和該外錐同軸地設置。
  5. 一種用於產生極紫外光光線的方法,包括:由一雷射源提供一雷射光束;修飾該雷射光束的一形狀,以形成一經修飾的雷射光束,其中修飾該雷射光束的該形狀包括將該雷射光束從一高斯形狀改變為一環狀形狀,及包括圖案化該雷射光束以偏折該雷射光束的一第一部分且保持該雷射光束的一第二部分;利用該經修飾的雷射光束產生一極紫外光光線,包括使一錫液滴與該經修飾的雷射光束接觸,其中該雷射光束的該第一部分未與該錫液滴接觸;以及利用一收集器反射該極紫外光光線。
  6. 如請求項1或請求項5之產生極紫外光光線的方法,其中圖案化該雷射光束以偏折該雷射光束的該第一部分且保持該雷射光束的該第二部分包括:將具有該環狀形狀的該雷射光束穿過一罩元件以偏折具有該環狀形狀的該雷射光束之部分。
  7. 一種用於產生極紫外光光線的方法,包括:提供一雷射光束,該雷射光束在一橫切面上具有一高斯分佈;修飾該雷射光束,使該雷射光束在該橫切面上從該高斯分佈被修飾為一環狀分佈;偏折經修飾的該雷射光束的一部分;通過一收集器板中的一孔洞提供經修飾的該雷射光束;接合經修飾的該雷射光束與一錫液滴,其中經修飾的該雷射光束被偏折的該部分未與該錫液滴接觸,且接合將產生一極紫外光波長光線。
  8. 如請求項2或請求項7之產生極紫外光光線的方法,其中偏折經修飾的該雷射光束的該部分是在使經修飾的該雷射光束與該錫液滴接合之前執行。
  9. 一種用於產生極紫外光光線的方法,包括:提供一雷射光束的一預脈衝,該預脈衝具有一高斯分佈;接合具有該高斯分佈之該雷射光束的該預脈衝與一錫液滴;在提供該預脈衝後,提供該雷射光束的一主脈衝,該主脈衝具有一高斯分佈; 將該雷射光束的該主脈衝從該高斯分佈修飾為一環狀分佈,以形成一經修飾的主脈衝;偏折該經修飾的主脈衝的一部分;以及利用該經修飾的主脈衝產生一極紫外光波長光線,包括使該錫液滴與該經修飾的主脈衝接觸,其中該經修飾的主脈衝被偏折的該部分未與該錫液滴接觸。
  10. 如請求項9之產生極紫外光光線的方法,其中該預脈衝在該錫液滴處於液體液滴形態下入射該錫液滴,且其中該經修飾的主脈衝在該錫液滴處於霧形態下與該錫液滴接合。
TW107114488A 2017-04-28 2018-04-27 用於產生極紫外光光線的方法以及極紫外光光源系統 TWI776887B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762491363P 2017-04-28 2017-04-28
US62/491,363 2017-04-28
US15/883,234 2018-01-30
US15/883,234 US10429729B2 (en) 2017-04-28 2018-01-30 EUV radiation modification methods and systems

Publications (2)

Publication Number Publication Date
TW201842826A TW201842826A (zh) 2018-12-01
TWI776887B true TWI776887B (zh) 2022-09-11

Family

ID=63916087

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107114488A TWI776887B (zh) 2017-04-28 2018-04-27 用於產生極紫外光光線的方法以及極紫外光光源系統

Country Status (3)

Country Link
US (4) US10429729B2 (zh)
CN (1) CN108803246B (zh)
TW (1) TWI776887B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10429729B2 (en) * 2017-04-28 2019-10-01 Taiwan Semiconductor Manufacturing Co., Ltd. EUV radiation modification methods and systems
US10524345B2 (en) * 2017-04-28 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Residual gain monitoring and reduction for EUV drive laser
JP7265225B2 (ja) 2018-02-20 2023-04-26 日産化学株式会社 芳香族ビニル化合物が付加したトリアリールジアミン含有ノボラック樹脂を含むレジスト下層膜形成組成物
KR102680272B1 (ko) * 2018-11-06 2024-07-01 삼성전자주식회사 Euv 집광 장치 및 상기 euv 집광 장치를 포함하는 리소그래피 장치
US11940738B2 (en) * 2020-06-15 2024-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Droplet splash control for extreme ultra violet photolithography
CN112947014B (zh) * 2021-01-29 2024-02-06 广东省智能机器人研究院 极紫外光产生方法和装置

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120241649A1 (en) * 2011-03-23 2012-09-27 Osaka University Extreme ultraviolet light generation apparatus and extreme ultraviolet light generation method
EP2538759A1 (en) * 2010-02-19 2012-12-26 Gigaphoton Inc. Extreme-ultraviolet light source device and method for generating extreme-ultraviolet light
CN103217870A (zh) * 2013-04-19 2013-07-24 中国科学院上海光学精密机械研究所 激光束引导的液滴靶控制系统
WO2014095262A1 (en) * 2012-12-21 2014-06-26 Asml Netherlands B.V. Beam delivery for euv lithography
US20150085264A1 (en) * 2013-09-24 2015-03-26 Taiwan Semiconductor Manufacturing Co., Ltd Rotary euv collector
TW201606452A (zh) * 2014-07-14 2016-02-16 Asml荷蘭公司 輔助特徵及光源之最佳化

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7417715B2 (en) * 2005-07-13 2008-08-26 Asml Netherlands B.V. Stage apparatus, lithographic apparatus and device manufacturing method using two patterning devices
US8283643B2 (en) * 2008-11-24 2012-10-09 Cymer, Inc. Systems and methods for drive laser beam delivery in an EUV light source
US8764995B2 (en) 2010-08-17 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
JP2012212641A (ja) * 2011-03-23 2012-11-01 Gigaphoton Inc 極端紫外光生成装置及び極端紫外光生成方法
US8841047B2 (en) 2012-04-02 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8877409B2 (en) 2012-04-20 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflective mask and method of making same
US8681427B2 (en) * 2012-05-31 2014-03-25 Cymer, Inc. System and method for separating a main pulse and a pre-pulse beam from a laser source
US8828625B2 (en) 2012-08-06 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography mask and multilayer deposition method for fabricating same
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9529268B2 (en) 2014-04-03 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for improving pattern transfer
US9256123B2 (en) 2014-04-23 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making an extreme ultraviolet pellicle
US9184054B1 (en) 2014-04-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
WO2016135965A1 (ja) * 2015-02-27 2016-09-01 ギガフォトン株式会社 ビームダンプ装置、それを備えたレーザ装置および極端紫外光生成装置
US10429729B2 (en) * 2017-04-28 2019-10-01 Taiwan Semiconductor Manufacturing Co., Ltd. EUV radiation modification methods and systems

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2538759A1 (en) * 2010-02-19 2012-12-26 Gigaphoton Inc. Extreme-ultraviolet light source device and method for generating extreme-ultraviolet light
US20120241649A1 (en) * 2011-03-23 2012-09-27 Osaka University Extreme ultraviolet light generation apparatus and extreme ultraviolet light generation method
WO2014095262A1 (en) * 2012-12-21 2014-06-26 Asml Netherlands B.V. Beam delivery for euv lithography
CN103217870A (zh) * 2013-04-19 2013-07-24 中国科学院上海光学精密机械研究所 激光束引导的液滴靶控制系统
US20150085264A1 (en) * 2013-09-24 2015-03-26 Taiwan Semiconductor Manufacturing Co., Ltd Rotary euv collector
TW201606452A (zh) * 2014-07-14 2016-02-16 Asml荷蘭公司 輔助特徵及光源之最佳化

Also Published As

Publication number Publication date
US10429729B2 (en) 2019-10-01
US10917959B2 (en) 2021-02-09
US20220386440A1 (en) 2022-12-01
US20210168923A1 (en) 2021-06-03
CN108803246A (zh) 2018-11-13
US20200026179A1 (en) 2020-01-23
US20180314145A1 (en) 2018-11-01
CN108803246B (zh) 2022-06-21
US11419203B2 (en) 2022-08-16
US11723141B2 (en) 2023-08-08
TW201842826A (zh) 2018-12-01

Similar Documents

Publication Publication Date Title
TWI776887B (zh) 用於產生極紫外光光線的方法以及極紫外光光源系統
TWI569689B (zh) 極紫外光射線源模組、極紫外光微影系統以及極紫外光微影製程方法
KR100486073B1 (ko) 조명계, 투영노광장치 및 디바이스의 제조방법
US9869934B2 (en) Collector in an extreme ultraviolet lithography system with optimal air curtain protection
US11789355B2 (en) Extreme ultraviolet mask and method of manufacturing the same
US11224115B2 (en) System and method for extreme ultraviolet source control
CN108227399A (zh) 极紫外光光刻系统
TWI768247B (zh) 產生電磁輻射之設備及方法
US10506698B2 (en) EUV source generation method and related system
US11948702B2 (en) Radiation source apparatus and method for using the same
JP2005093692A (ja) 照明光学系及び露光装置
TWI759885B (zh) 極紫外線微影裝置及其使用方法
TW202107220A (zh) 照明器、微影裝置以及調整曝光輻射的強度均一性的方法
TW202404421A (zh) 收集電磁輻射的方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent