TW202105053A - 程序控制之晶粒內度量衡方法及系統 - Google Patents

程序控制之晶粒內度量衡方法及系統 Download PDF

Info

Publication number
TW202105053A
TW202105053A TW108148585A TW108148585A TW202105053A TW 202105053 A TW202105053 A TW 202105053A TW 108148585 A TW108148585 A TW 108148585A TW 108148585 A TW108148585 A TW 108148585A TW 202105053 A TW202105053 A TW 202105053A
Authority
TW
Taiwan
Prior art keywords
design
data
design data
target design
design pattern
Prior art date
Application number
TW108148585A
Other languages
English (en)
Other versions
TWI745821B (zh
Inventor
浦凌凌
方偉
仲瑋 陳
Original Assignee
荷蘭商Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asml荷蘭公司 filed Critical 荷蘭商Asml荷蘭公司
Publication of TW202105053A publication Critical patent/TW202105053A/zh
Application granted granted Critical
Publication of TWI745821B publication Critical patent/TWI745821B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/147Arrangements for directing or deflecting the discharge along a desired path
    • H01J37/1471Arrangements for directing or deflecting the discharge along a desired path for centering, aligning or positioning of ray or beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/244Detectors; Associated components or circuits therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02691Scanning of a beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/26Electron or ion microscopes
    • H01J2237/28Scanning microscopes
    • H01J2237/2813Scanning microscopes characterised by the application
    • H01J2237/2817Pattern inspection

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Theoretical Computer Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)

Abstract

本發明提供使用目標設計圖案之晶粒內度量衡系統及方法。此等系統及方法包括:基於設計資料選擇一目標設計圖案,該設計資料表示一積體電路之設計;提供指示該目標設計圖案之設計資料以使得自該目標設計圖案導出之設計資料能夠新增至第二設計資料,其中該第二設計資料係基於該第一設計資料。系統及方法可進一步包括:使得自該第二設計資料導出之結構印刷於一晶圓上,使用一帶電粒子束工具檢測該晶圓上之該等結構,及基於該檢測識別度量衡資料或程序缺陷。在一些實施例中,該等系統及方法進一步包括使得該帶電粒子束工具、該第二設計資料、一掃描器或光微影設備基於該經識別度量衡資料或程序缺陷而經調整。

Description

程序控制之晶粒內度量衡方法及系統
本發明提供之實施例係關於程序控制之晶粒內度量衡方法及系統,且更特定而言,係關於用於識別及置放晶粒內度量衡目標區域以識別半導體製作及操作程序中的缺陷之方法及系統。
在積體電路(IC)之製造程序中,檢測未完成或已完成電路組件以確保其係根據設計而製造且無缺陷。可採用利用光學顯微鏡或帶電粒子(例如電子)束顯微鏡(諸如掃描電子顯微鏡(SEM))之檢測系統。隨著IC組件之實體大小繼續縮小,缺陷偵測中之準確度及良率變得愈來愈重要。然而,檢測工具之成像解析度及產出率努力與IC組件之不斷減小的特徵大小保持同步。
在本發明之一些實施例中,提供使用目標設計圖案之晶粒內度量衡系統及方法。提供了使用目標設計圖案之晶粒內度量衡系統及方法。此等系統及方法包括:基於設計資料選擇一目標設計圖案,該設計資料表示一積體電路之設計;提供指示該目標設計圖案之設計資料以使得自該目標設計圖案導出之設計資料能夠新增至第二設計資料,其中該第二設計資料係基於該第一設計資料。系統及方法可進一步包括:使得自該第二設計資料導出之結構印刷於一晶圓上,使用一帶電粒子束工具檢測該晶圓上之該等結構,及基於該檢測識別度量衡資料或程序缺陷。在一些實施例中,該等系統及方法進一步包括使得該帶電粒子束工具、該第二設計資料、一掃描器或光微影設備基於該經識別度量衡資料或程序缺陷而經調整。
在一些實施例中,表示一積體電路之設計之設計資料經表示為圖形資料庫系統(GDS)、開放式圖稿系統交換標準(OASIS)及Caltech Intermediate Form (CIF)資料檔案中之一者。
在一些實施例中,該經識別度量衡資料或程序缺陷為邊緣置放誤差、疊對移位、接觸孔大小變化及邊緣粗糙度中之至少一者。
在又一實施例中,該等系統及方法進一步包含基於與該目標設計圖案相關聯之屬性自一設計庫選擇該目標設計圖案,其中該設計庫包括設計圖案及對應屬性。
在又一實施例中,該等系統及方法進一步包括分析一或多個潛在目標設計圖案相關聯之製程窗品質評估資料,及基於該分析之結果選擇該目標設計圖案。
在其他實施例中,該等系統及方法包括分析與該目標設計圖案相關聯之設計資料,及基於該分析之結果選擇該目標設計圖案。在彼等實施例中之一些中,該分析可為一程序模擬。在又其他實施例中,該等系統及方法進一步包括基於該目標設計圖案與該設計資料之間的相似性選擇該目標設計圖案,該設計資料表示一積體電路之設計。
在又另一實施例中,自該目標設計圖案導出之該設計資料在一指定位置處經新增至該第二設計資料。在一些實施例中,該第二設計資料中之該指定位置位於該第二設計資料中的組件之間。
在又另一實施例中,該第一設計資料及該第二設計資料表示該積體電路之佈局設計資料的不同版本。在又另一實施例中,該等系統及方法進一步包括第二設計佈局中之指定位置,該等指定位置可位於該第二設計佈局中的組件之間。
現將詳細參考例示性實施例,在隨附圖式中說明該等例示性實施例之實例。以下描述參考隨附圖式,其中除非另外表示,否則不同圖式中之相同編號表示相同或類似元件。闡述於例示性實施例之以下描述中之實施方式並不表示符合本發明之所有實施方式。取而代之,其僅為符合關於如所附申請專利範圍中所敍述之主題之態樣的裝置、系統及方法之實例。舉例而言,儘管一些實施例係在利用電子束之內容背景中予以描述,但本發明不限於此。可相似地應用其他類型之帶電粒子束。
可藉由顯著增加IC晶片上之電路組件(諸如電晶體、電容器、二極體等)之封裝密度來實現電子器件之增強之運算能力,同時減小器件之實體大小。舉例而言,智慧型手機之IC晶片(其為拇指甲大小)可包括超過20億個電晶體,每一電晶體之大小小於人類毛髮之1/1000。因此,半導體IC製造係具有數百個個別步驟之複雜且耗時程序並不出人意料。甚至一個步驟中之誤差亦有可能顯著影響最終產品之功能。甚至一個「致命缺陷」亦可造成器件故障。製造程序之目標為改良程序之總良率。舉例而言,對於得到75%良率之50步驟程序,每一個別步驟必須具有大於99.4%之良率,且若個別步驟良率為95%,則總程序良率下降至7%。
當在IC晶片製造設施中需要高程序良率時,亦必需維持高晶圓產出量,該高晶圓產出量經定義為每小時加工之晶圓之數目。高程序良率及高晶圓產出率可受缺陷之存在(尤其當存在查核缺陷之操作者干預時)影響。因此,藉由檢測工具(諸如SEM)偵測及識別微米及奈米級缺陷對於維持高良率及低成本係至關重要的。
隨著積體電路之大小繼續縮小,現有檢測系統在製造程序期間識別缺陷的能力亦降低。特定而言,光學檢測工具易受數個缺點影響。為了輔助檢測程序,將目標設計圖案置放於晶圓上以量測某些缺陷。但由於光學檢測工具所需的大小,此等圖案通常大於10 μm2 ,其太大而不能置放於IC晶片晶粒之邊界內。由於此大小,目標設計圖案通常置放於切割道(例如,圖3B之切割道333及337)處或附近,該切割道為晶圓上之IC晶片之間的空間。但將目標設計圖案置放於切割道上限制目標設計圖案的有效性。當目標設計圖案位於切割道中時,目標設計圖案中之缺陷並不始終準確地預測晶片晶粒中的缺陷,此係因為該等目標設計圖案與晶片之組件相距太遠。此外,光學檢測工具限制可用於目標設計圖案之複雜度的量。
令人遺憾地,使用目標設計圖案之當前光學檢測工具及選擇彼等目標設計圖案之方法並不滿足不斷改良之製造程序的需求。為了實現高產出率及高良率製造程序,減小目標設計圖案之大小及有效性的新方法係必要的。
在IC晶片製造中,缺陷識別可包括使用置放於晶圓上之經特定設計的電子結構。在製造期間,目標結構可經量測且與其等原始設計相比較,以幫助量測晶圓上之電子組件的設計與實際產生結果之間的偏差。前述系統要求使用大型測試結構。此等測試結構置放於切割道中(由於此等測試結構太大而不能置放於個別晶粒內部)而不干擾其最終操作。但藉由使用帶電粒子束檢測(例如電子束檢測)及選擇測試結構之經改良方法,本發明之晶粒內度量衡系統可減小用於檢測缺陷之目標結構的大小。由於大小減小,製造系統可將結構置放於如圖4中所展示之實際電子器件之元件附近或該等元件之間。另外,本文中所揭示之實施例的精度之增加可允許使用目標設計圖案,該等目標設計圖案更佳地匹配正在製造之實際晶粒的元件。藉由包括皆與晶粒元件組件匹配之測試圖案及藉由將彼等圖案置放於晶粒本身之內部,本文中所描述的實施例在製造期間在量測缺陷時提供更佳準確度。位於晶粒內部之目標設計圖案確保設計圖案與重要組件之間的距離不會造成經量測缺陷中的差異。此外,選擇匹配晶粒元件之目標設計圖案增加目標設計圖案中的缺陷將準確地預測匹配晶粒組件中之缺陷的機率。
此外,本文中所描述之實施例的精度及準確度的增加允許使用顯影後檢測(「ADI」)。在ADI中,目標設計圖案可經顯影於矽晶圓上且可在製造程序的剩餘部分之前檢測。因而,可在蝕刻實際晶粒之前進行校正。在蝕刻晶粒之前,此調整允許矽晶圓用以產生晶粒(即使量測了缺陷),其可增加產出率且提昇處理良率。除了ADI以外,本文中所描述之實施例亦可與蝕刻後檢測(「AEI」)一起使用。在AEI中,在蝕刻晶圓上之設計之後檢測矽晶圓。
本文中所描述之實施例的精度及準確度之增加亦可允許目標設計圖案比其他系統明顯地更複雜。舉例而言,可使用複雜二維圖案。此等圖案可包括例如接觸孔及疊對結構等等。因此,本文中所描述之實施例可允許比典型系統更先進結構之檢測,該等典型系統可受限於簡單一維圖案,諸如簡單線及條。
另外,在目標設計圖案使用於製造之後,目標設計圖案及來自其使用之經量測結果可儲存於設計庫中,以允許目標設計圖案用於未來製造。設計庫可為儲存設計圖案之資料庫。設計圖案可儲存於標準佈局格式(例如,圖形資料系統(GDS)、Caltech Intermediate Forma (CIF)、開放式圖稿系統交換標準(OASIS)等)中。除了設計圖案佈局之外,亦可將自前述使用之設計圖案量測之屬性儲存於設計庫中。未來微晶片設計器可逐一查看庫以識別滿足其需要之目標設計圖案,該等目標設計圖案具有已知及可預測之結果。
符合本文中所揭示之IC製造系統的IC製造系統亦可使用製程窗品質評估(「PWQ」)。PWQ涉及分析使用特定程序製得之結構以判定組成潛在設計圖案之各種結構的製程窗。隨後,基於製程窗要求,可基於哪些目標設計圖案滿足特定製造程序之要求而選擇目標設計圖案。藉由選擇具有比製造要求小之窗之目標設計圖案,本文中所揭示之系統及方法可確保目標設計圖案中的缺陷可能對應於晶粒中之缺陷。
此外,可使用對設計檔案之分析來判定目標設計圖案形狀及佈局。此分析可包括程序模擬及風險分析。此程序亦可包括利用自動化設計工具進行任何可能之分析且可包括對設計佈局之任何分析以預測佈局的行為。微晶片設計器可設計目標設計圖案且隨後使用自動化設計工具以運作製造圖案之模擬。諸多模擬運作之結果可用以預測可能之缺陷速率及製程窗。藉由使用此等模擬工具,目標設計圖案可基於模擬結果而不需要來自所完成製造運作的資料(其可為罕見且昂貴的)進行設計及實施。
如本文中所使用,除非另外特定陳述,否則術語「或」涵蓋所有可能組合,除非不可行。舉例而言,若陳述資料庫可包括A或B,則除非另外特定陳述或不可行,否則資料庫可包括A,或B,或A及B。作為第二實例,若陳述資料庫可包括A、B或C,則除非另外特定陳述或不可行,否則資料庫可包括A,或B,或C,或A及B,或A及C,或B及C,或A及B及C。
在以下描述中將部分闡述所揭示實施例之額外目標及優點,且該等額外目標及優點將部分自該描述顯而易見,或可藉由對該等實施例之實踐習得。所揭示實施例之目標及優點可藉由在本發明中所闡述之要素及組合來實現及獲得。然而,未必需要本發明之例示性實施例達成此類例示性目標及優點,且一些實施例可能不會達成所陳述目標及優點中之任一者。
現參考圖1,其說明符合本發明之實施例的例示性電子束檢測(EBI)系統100。EBI系統100可用於成像。如圖1中所展示,EBI系統100包括主腔室101、裝載/鎖定腔室102、電子束工具104及設備前端模組(EFEM) 106。電子束工具104位於主腔室101內。EFEM 106包括第一裝載埠106a及第二裝載埠106b。EFEM 106可包括額外裝載埠。第一裝載埠106a及第二裝載埠106b收納含有待檢測之晶圓(例如,半導體晶圓或由其他材料製成之晶圓)或樣本的晶圓前開式單元匣(FOUP)(本文中可將晶圓及樣本統稱為「晶圓」)。一「批次」為可裝載以作為批量進行處理之複數個晶圓。
EFEM 106中之一或多個機械臂(未展示)可將晶圓輸送至裝載/鎖定腔室102。裝載/鎖定腔室102連接至裝載/鎖定真空泵系統(未展示),該裝載/鎖定真空泵系統移除裝載/鎖定腔室102中之氣體分子以達至低於大氣壓之第一壓力。在達至第一壓力之後,一或多個機械臂(未展示)可將晶圓自裝載/鎖定腔室102輸送至主腔室101。主腔室101連接至主腔室真空泵系統(未展示),該主腔室真空泵系統移除主腔室101中之氣體分子以達至低於第一壓力之第二壓力。在達至第二壓力之後,藉由電子束工具104對晶圓進行檢測。電子束工具104可為單束系統或多束系統。控制器109以電子方式連接至電子束工具104。控制器109可為經組態以執行對EBI系統100之各種控制的電腦。雖然控制器109在圖1中經展示為在包括主腔室101、裝載/鎖定腔室102及EFEM 106之結構之外,但應理解,控制器109可為該結構之一部分。
圖2說明根據本發明之實施例之成像系統200。圖2之電子束工具104可經組態以用於EBI系統100。儘管圖2將電子束工具104展示為一次可使用僅一個初級電子束來掃描晶圓230之一個位置的單束檢測工具,但本發明之實施例不限於此。舉例而言,電子束工具104亦可為使用多個初級電子小射束來同時掃描晶圓230上之多個位置的多束檢測工具。
系統200可用於檢測樣本台上之晶圓230,且包含電子束工具104,如上文所論述。系統200亦包含影像處理系統199,該影像處理系統199包括影像獲取器120、儲存器130及控制器109。影像獲取器120可包含一或多個處理器或電路,諸如一或多個處理器之電路或其他電路。舉例而言,影像獲取器120可包含電腦、伺服器、大型電腦主機、終端機、個人電腦、任何種類之行動運算器件及其類似者,或其組合。影像獲取器120可經由媒體(諸如電導體、光纖纜線、攜帶型儲存媒體、紅外線(IR)、藍芽、網際網路、無線網路、無線電或其組合)與電子束工具104之偵測器244連接。影像獲取器120可自偵測器244接收信號,且可建構一影像。影像獲取器120可因此獲取晶圓230之影像。影像獲取器120亦可執行各種後處理功能,諸如在所獲取影像上產生輪廓、疊加指示符,及其類似者。影像獲取器120可經組態以執行所獲取影像之亮度及對比度等的調整。儲存器130可為儲存媒體,諸如硬碟、雲端儲存器、隨機存取記憶體(RAM)、其他類型之電腦可讀記憶體及其類似者。儲存器130可與影像獲取器120耦接,且可用於保存經掃描原始影像資料作為原始影像,及後處理影像。影像獲取器120及儲存器130可連接至控制器109。在一些實施例中,影像獲取器120、儲存器130及控制器109可一起整合為一個控制單元。
在一些實施例中,影像獲取器120可基於自偵測器244接收之成像信號獲取樣本之一或多個影像。成像信號可對應於用於進行帶電粒子成像之掃描操作。所獲取影像可為包含複數個成像區域之單一經掃描原始影像。影像可儲存於儲存器130中。影像可為可劃分成複數個區域之原始影像。該等區域中之每一者可包含一個成像區域,其含有晶圓230之特徵。
現參考圖3A及3B,其為符合本發明之實施例之例示性晶圓310的圖式。如上文關於圖1所論述,晶圓310可為例如半導體晶圓。如圖3A中所展示,晶圓310可含有多個晶粒320。晶粒320可表示為特定晶粒預留之晶圓區域,可表示具有已經蝕刻至晶圓310上之晶粒。如由一般熟習此項技術者將理解,半導體器件可涵蓋由晶粒320中之每一者表示之整體區域或晶粒320中之每一者的一些較小區域。
圖3B包括放大部分311,其展示晶粒320之子集,晶粒320A、320B、320C及320D的放大視圖。儘管放大部分311中僅展示晶粒320A、320B、320C及320D,但應理解,此等晶粒周圍存在額外晶粒320。放大部分311展示晶粒320A、320B、320C及320D之間的間距。此等晶粒之間的空間或定界被稱作切割道且通常定義將在何處切割晶圓以分離晶粒320。如放大部分311中所展示,切割道333水平地延伸穿過晶圓310,該晶圓310定義半導體器件320A及320C與半導體器件320B及320D之間的邊界。切割道337豎直地延伸穿過晶圓310,該晶圓310定義半導體器件320A及320B與晶粒320C及320D之間的邊界。由於切割道333及337定義稍後將在何處切割晶圓,故晶粒320之組件一般不與切割道333及337交疊且一些空間通常置放於切割道與晶粒320的組件之間。如將在下文更詳細地論述,前述使用目標設計圖案之方法將目標設計圖案置放於此等切割道中,因此其將不會干擾晶粒320的組件。
現參考圖4,其為晶圓410的例示性圖。晶圓410可為與圖3之晶圓310相同之晶圓或可為不同的晶圓。晶圓410進一步包括晶粒420。如同圖3之晶粒320,晶粒420可表示經蝕刻器件或未來可在其上蝕刻晶粒的區域。
晶粒420可進一步包括目標設計圖案431及432。儘管圖4僅識別晶粒420中之一者中的目標設計圖案431及432,但應理解,目標設計圖案431及432可置放於晶粒420中之每一者中,如在圖4中之晶粒420中之每一者內由未經識別之黑色方塊表示。此外,目標設計圖案431及432可為相同目標設計圖案或可為不同目標設計圖案。另外,不同晶粒420可含有不同目標設計圖案。在不同晶粒420上使用不同目標設計圖案可出於諸多原因而有利。
舉例而言,在一些實施例中,不同晶粒420可含有不同半導體佈局。在此等實施例中,基於半導體器件420中之每一者的特定佈局所選擇之目標設計圖案可產生半導體420中之每一者的不同目標設計圖案。基於半導體420中之每一者的特定佈局使用不同目標設計圖案之能力可使得能夠更準確地識別缺陷。
在一些實施例中,可基於晶圓410上之特定半導體器件420之位置使用不同目標設計圖案。舉例而言,與晶圓410之中心附近的晶粒420相比,更接近於晶圓410之周邊的晶粒420可展現不同類型的製造缺陷。因此,可選擇目標設計圖案431及432以基於晶圓410上之半導體器件420中之每一者的實體位置識別可能出現的缺陷。
如圖4中進一步展示,目標設計圖案431及432朝向晶粒420之中心置放。由於符合本發明之實施例使用電子束檢測技術,故目標設計圖案431及432可比前述系統之目標設計圖案小一數量級。由於經減小之大小,故目標設計圖案431及432可置放於晶粒420的組件之間。藉由將目標設計圖案置放為更接近實際組件,目標設計圖案中之經識別缺陷可更準確地建模晶粒420之組件中的潛在缺陷。如先前論述,此經增大準確度可改良總良率。
應進一步理解,在一些實施例中,在將半導體420之組件蝕刻至晶圓420上之前,將目標設計圖案431及432蝕刻至晶圓410上。在此等實施例中,有時被稱作顯影後檢測(「ADI」),可檢測目標設計圖案,且可基於檢測或經量測度量衡資料對IC之設計及佈局進行調整以對經量測缺陷做出解釋。在一些實施例中,設計及佈局、掃描器、帶電粒子束工具或其他微影設備可基於檢測之結果而經調整。電子束工具可進行調整或將資料提供至附接至製造系統或其他系統的製造商、控制器或處理器以使得調整將進行。由於可與目標設計圖案431及432一起使用的較小大小,晶圓上之更多空間可用於晶粒420之組件而不與目標設計圖案431或432交疊且增加晶圓良率。
目標設計圖案可包括電子組件之各種形狀及大小。圖5展示兩個例示性目標設計圖案,目標設計圖案510及目標設計圖案520。目標設計圖案510及520展現組件之獨特形狀及配置。應理解,目標設計圖案510及520僅為例示性的,且諸多不同目標設計圖案係可能的。亦應理解,目標設計圖案可在組件之複雜性、數目及配置方面發生變化。此變化可允許目標設計圖案更準確地匹配正在製造之特定晶粒(例如,圖4的晶粒420)。
如圖5中所展示,目標設計圖案510可包括兩個矩形組件,目標設計圖案組件513及515。目標設計圖案組件513及515可相互偏移一角度且可交疊。目標設計圖案組件513及515可佔據晶圓上之相同或不同層。在使用時,檢測含有目標設計圖案510之晶圓可顯露目標設計圖案組件513及515相交之角度或位置的變化或目標設計圖案組件513及515之長度及寬度的變化。
目標設計圖案520可包括三個組件,矩形目標設計圖案組件523及525以及圓形目標設計圖案組件527。在此實例中,目標設計圖案組件523及525與目標設計圖案組件527交疊但彼此並不交疊。此外,目標設計圖案組件523、525及527可佔據晶圓上之相同或不同層。在使用時,檢測含有目標設計圖案520之晶圓可顯露目標設計圖案組件523、525及527之相對位置、交疊量或其他特性中的變化。
如先前論述,應理解,目標設計圖案510及520僅為例示性的且存在或可產生諸多符合本發明之實施例的不同目標設計圖案。此外,應理解,額外目標設計圖案可比由目標設計圖案510及520所展示之目標設計圖案更複雜或更不複雜、形狀及大小發生變化且含有更多或更少組件。
在將目標設計圖案510或520蝕刻至晶圓(例如,圖4之晶圓410)上之後,成像系統(例如,圖2之成像系統200)可檢測目標設計圖案510以判定預期的目標設計圖案510或520與實際上沈積至晶圓上之目標設計圖案510或520之間的差異量。成像系統亦可搜集目標設計圖案之度量衡資料。基於缺陷或度量衡資料,成像系統可進行調整以對經量測差異做出解釋。可將經量測差異及度量衡資料提供至設計器或設計系統且用以更新晶粒的設計或佈局。在一些實施例中,設計及佈局、掃描器、帶電粒子束工具或其他微影設備可基於檢測之結果而經調整。電子束工具系統可進行調整或將資料提供至附接至製造系統或其他系統的製造商、控制器或處理器以使得調整將進行。如先前論述,由於符合本發明之實施例可在沈積實際晶粒(例如,圖4之晶粒410)的一或多個層之前沈積目標設計圖案510或520,故可在不丟棄晶圓之情況下識別及校正缺陷。
EBI系統(例如,EBI系統100)或設計器可選擇將包括作為總體晶圓設計之一部分的目標設計圖案。目標設計圖案可儲存於目標設計圖案庫中。此庫可包括目標設計圖案及目標設計圖案之所有相關特性。目標設計圖案可基於其與半導體器件之組件的相似性來選擇。此外,藉由包括與庫中之目標設計圖案相關聯之先前量測值,目標設計圖案可經選擇以滿足特定程序要求。
在一些實施例中,目標設計圖案可基於對目標設計圖案之製程窗品質評估(「PWQ」)分析來選擇。在PWQ分析中,可使用晶圓,其中聚焦及劑量矩陣與晶圓相關聯。此類矩陣可展示在製造期間在聚焦及曝光或劑量之不同組合情況下之目標設計圖案的行為。另外,可計算目標設計圖案元件之線寬的量測或臨界尺寸統計以及總缺陷數。可分析此等結果且可自度量衡結果推斷製程窗。具有寬鬆製程窗之目標設計圖案不大容易出錯。因此,製造運作所要求之製程窗可指示應選擇哪些目標設計圖案。可選擇具有比製造程序所要求之更緊密的製程窗之目標設計圖案以確保目標設計圖案中之任何缺陷將與晶粒中的缺陷相關,使得可進行適當之調整以增加良率。
在一些實施例中,可基於模擬及風險分析選擇目標設計圖案。在此等實施例中,目標設計圖案係使用自動化設計軟體進行設計及測試的。此程序可基於模擬產生例如邊緣置放誤差(EPE)分佈的預測模型。此將指示設計之交疊元件中相對於彼此出現之誤差的量。可選擇具有較高EPE機率之目標設計圖案(優於具有較低EPE機率之目標設計圖案)以確保佈局中之任何EPE將可能亦出現於目標設計圖案中且可在檢測期間經校正。
基於上述考量中之一或多者,符合本發明之實施例可選擇適當目標設計圖案以滿足特定製造程序之要求。
現參考圖6,其說明符合本發明之實施例的例示性晶粒內度量衡系統之流程圖。控制器可經程式化以實施圖6之流程圖之一或多個區塊。控制器可與帶電粒子束裝置耦接。控制器可控制帶電粒子束裝置之操作。控制器可經組態以接收自帶電粒子束裝置之偵測器收集的資訊且可經組態以執行處理功能。舉例而言,圖1之控制器109可經組態以執行圖6之方法的全部或一部分。
在步驟S101中,方法可開始。在步驟S102中,控制器或設計器可選擇包括於晶圓上之目標設計圖案。可基於來自熱點庫D101、PWQ分析D102或程序模擬及風險分析D103以及其他中之一或多者之資料選擇目標設計圖案。程序模擬可包括利用自動化設計工具進行任何可能之分析且可包括對設計佈局之任何分析以預測佈局的行為。可比較來自此等各種資料源之結果與當前製造要求及設計,以選擇目標設計圖案,該等目標設計圖案可幫助確保滿足此等要求中之一或多者且與總體設計緊密地相似。
在選擇目標設計圖案之後,在步驟S103中,可提供指示目標設計圖案之設計資料以用於新增至晶圓之佈局。在一些實施例中,提供指示目標設計圖案之設計資料的步驟進一步包括將目標設計圖案或表示目標設計圖案之資料提供至製造商之步驟。在此等實施例中,例如,製造商可接收表示目標設計圖案之資料且將資料新增至積體電路的設計資料。應進一步理解,提供目標設計圖案或表示目標設計圖案之資料包括將資料提供至SEM之處理器或製造商以使得資料能夠新增至積體電路的設計資料。可藉由處理器自動執行或可藉由人員使用設計應用程式(諸如電腦輔助設計(「CAD)」應用程式)指導來將資料新增至設計資料。此經更新設計可隨後用以製造或產生積體電路。可隨後藉由選擇目標設計圖案之系統、程序或設備或藉由接收設計資料的製造商來將此等目標設計圖案蝕刻至晶圓上。
由於由符合本發明之實施例所使用之電子束檢測方法,故目標設計圖案可極小。舉例而言,在一些實施例中,目標設計圖案可小至1 μm2 。此外,由於目標設計圖案的較小大小,所提供設計資料可包括使得目標設計圖案置放於半導體設計內的位置處,而非將位置限制於例如切割道內或附近。
在步驟S104中,系統可使得設計佈局之結構印刷於晶圓上。在一些實施例中,用以使例如製造商利用經新增目標設計圖案印刷設計佈局之指令導致設計佈局之結構經印刷。設計資料為表示積體電路之設計佈局的資料。在一些實施例中,在沈積設計之剩餘部分之前將目標設計圖案置放至晶圓上。
在步驟S105中,使用帶電粒子檢測工具(例如,圖2之EBI系統200)檢測經印刷目標設計圖案。在步驟S106中,藉由帶電粒子束系統(例如,EBI系統200)分析檢測結果。分析可顯露來自諸如EPE、疊對移位、接觸孔大小及邊緣粗糙度之製造程序以及額外度量衡資料的缺陷。特定目標設計圖案之分析結果可包括於熱點庫D101中以供後續程序使用。
在步驟S107中,帶電粒子束系統或運算機系統可使用分析結果以使得對設計或佈局或晶粒之設計或佈局進行調整,或使得對掃描器或用以圖案化晶粒之其他光微影設備之設定進行調整。在一些實施例中,此等調整可由帶電粒子束系統進行。在其他實施例中,帶電粒子束系統可提供有關對其他系統或設備(例如其他光微影設備、掃描器或附接至此類設備之控制器或處理器)進行調整之資料以使得調整將進行。由於符合本發明之實施例允許ADI,故步驟S107中提供之佈局調整可在將半導體設計蝕刻至晶圓上之前發生,且步驟S107中之掃描器設定調整可在將半導體設計圖案化至晶圓上之前發生。另外,具有缺陷之層可經再處理(例如自晶圓去除),且層可由掃描器再處理及再成像,但需在掃描器設定或設計經調整之情況下進行。藉由在此階段檢測及調整此等缺陷之佈局或掃描器設定,系統可增加良率,此係因為晶圓仍可用於經調整之系統中的製造。
程序可結束於步驟S108處。
在一些實施例中,偵測器可與控制帶電粒子束系統之控制器通信。控制器可指導帶電粒子束系統之組件執行各種功能,諸如控制帶電粒子源以產生帶電粒子束及控制偏轉器以使帶電粒子束掃描遍及樣本。控制器亦可執行後處理功能、亮度/對比度調整、影像細分、影像處理、產生輪廓、疊加指示符於所獲取影像上,及類似者。控制器可組合例如圖1之影像獲取器120及控制器109之功能。另外,控制器可包含儲存器,諸如圖1之儲存器130。可提供非暫時性電腦可讀媒體,其儲存控制器109之處理器的指令以實行選擇目標設計圖案、帶電粒子束檢測、分析目標設計圖案結果、基於該分析調整粒子束掃描器或符合本發明之其他功能及方法。常見形式之非暫時性媒體包括例如:軟碟、軟性磁碟、硬碟、固態磁碟機、磁帶或任何其他磁性資料儲存媒體;CD-ROM;任何其他光學資料儲存媒體;具有孔圖案之任何實體媒體;RAM、PROM及EPROM;FLASH-EPROM或任何其他快閃記憶體;NVRAM;快取記憶體;暫存器;任何其他記憶體晶片或卡匣;及其網路化版本。
可使用以下條項來進一步描述實施例:1. 一種關於使用帶電粒子束工具之晶粒內度量衡之方法,該方法包含: 基於第一設計資料選擇目標設計圖案,該第一設計資料表示積體電路之設計;及 提供指示目標設計圖案之設計資料以使得自目標設計圖案導出之設計資料能夠新增至第二設計資料,其中第二設計資料係基於第一設計資料。2. 如條項1之方法,其中第一設計資料經表示為圖形資料庫系統(GDS)、開放式圖稿系統交換標準(OASIS)或Caltech Intermediate Form (CIF)資料中之一者。3. 如條項1及2中任一項之方法,其進一步包含: 使得自第二設計資料導出之結構印刷於晶圓上; 使用帶電粒子束工具檢測晶圓上之結構;及 基於檢測識別度量衡資料或程序缺陷。4. 如條項3之方法,其進一步包含使得第二設計資料基於經識別度量衡資料或程序缺陷而經調整。5. 如條項3之方法,其進一步包含使得掃描器基於經識別度量衡資料或程序缺陷而經調整。6. 如條項3之方法,其進一步包含使得光微影設備基於經識別度量衡資料或程序缺陷而經調整。7. 如條項3至6中任一項之方法,其中經識別度量衡資料或程序缺陷為邊緣置放誤差、疊對移位、接觸孔大小變化及邊緣粗糙度中之至少一者。8. 如條項1至7中任一項之方法,其中選擇目標設計圖案進一步包含: 基於與目標設計圖案相關聯之屬性自設計庫選擇目標設計圖案,其中設計庫包括設計圖案及對應屬性。9. 如條項1至8中任一項之方法,其中選擇目標設計圖案進一步包含: 分析一或多個潛在目標設計圖案相關聯之製程窗品質評估資料;及 基於分析之結果選擇目標設計圖案。10. 如條項1至9中任一項之方法,其中選擇目標設計圖案進一步包含: 分析與目標設計圖案相關聯之設計資料;及 基於分析之結果選擇目標設計圖案。11. 如條項10之方法,其中分析為程序模擬。12. 如條項1至11中任一項之方法,其中選擇目標設計圖案進一步包含: 基於目標設計圖案與第一設計資料之間的相似性選擇目標設計圖案。13. 如條項1至12中任一項之方法,其中自目標設計圖案導出之設計資料在指定位置處經新增至第二設計資料。14. 如條項13之方法,其中第二設計資料中之指定位置位於第二設計資料中的組件之間。15. 如條項1至14中任一項之方法,其中第一設計資料及第二設計資料表示積體電路之佈局設計資料的不同版本。16. 一種晶粒內度量衡系統,其包含: 帶電粒子束裝置,其包括偵測器; 影像獲取器,其包括用以自偵測器接收偵測信號且建構包括目標設計圖案之影像的電路;及 控制器,其具有至少一個處理器及包含指令之非暫時性電腦可讀媒體,該等指令在由處理器執行時使得系統執行以下操作: 基於第一設計資料選擇目標設計圖案,該第一設計資料表示積體電路之設計;及 提供指示目標設計圖案之設計資料以使得自目標設計圖案導出之設計資料能夠新增至第二設計資料,其中第二設計資料係基於第一設計資料。17. 如條項16之系統,其中第一設計資料經表示為圖形資料庫系統(GDS)、開放式圖稿系統交換標準(OASIS)及Caltech Intermediate Form (CIF)資料中之一者。18. 如條項16及17中任一項之系統,其中指令在由處理器執行時進一步使得系統進行以下操作: 使得自第二設計佈局導出之結構印刷於晶圓上; 使用帶電粒子束工具檢測晶圓上之結構;及 基於檢測識別度量衡資料或程序缺陷。19. 如條項18之系統,其中指令在由處理器執行時進一步使得系統使第二設計資料基於經識別度量衡資料或程序缺陷而經調整。20. 如條項18之系統,其中指令在由處理器執行時進一步使得系統基於經識別度量衡資料或程序缺陷而調整掃描器。21. 如條項18之系統,其中指令在由處理器執行時進一步使得系統使光微影設備基於經識別度量衡資料或程序缺陷而經調整。22. 如條項18至21中任一項之系統,其中經識別程序缺陷為邊緣置放誤差、疊對移位、接觸孔大小變化及邊緣粗糙度中之至少一者。23. 如條項16至22中任一項之系統,其進一步包含: 記憶體; 設計庫,其儲存於記憶體中,其中設計庫包括設計圖案及對應屬性。24. 如條項16至24中任一項之系統,其中指令在由處理器執行時進一步使得系統進行以下操作: 分析一或多個潛在目標設計圖案相關聯之製程窗品質評估資料;及 基於分析之結果選擇目標設計圖案。25. 如條項16至25中任一項之系統,其中指令在由處理器執行時進一步使得系統進行以下操作: 分析與目標設計圖案相關聯之設計資料;及 基於分析之結果選擇目標設計圖案。26. 如條項25之系統,其中分析為程序模擬。27. 如條項16至26中任一項之系統,其中指令在由處理器執行時進一步使得系統基於目標設計圖案與第一設計資料之間的相似性選擇目標設計圖案。28. 如條項16至27中任一項之系統,其中自目標設計圖案導出之設計資料在指定位置處經新增至第二設計資料。29. 如條項28之系統,其中第二設計資料中之指定位置位於第二設計資料的組件之間。30. 如條項16至29中任一項之系統,其中第一設計資料及第二設計資料表示積體電路之佈局設計資料的不同版本。31. 一種儲存指令集之非暫時性電腦可讀媒體,該指令集可由系統之一或多個處理器執行以使得系統執行一方法,該方法包含: 基於第一設計資料選擇目標設計圖案,該第一設計資料表示積體電路之設計;及 提供指示目標設計圖案之設計資料以使得自目標設計圖案導出之設計資料能夠新增至第二設計資料,其中第二設計資料係基於第一設計資料。32. 如條項31之電腦可讀媒體,其中第一設計資料經表示為圖形資料庫系統(GDS)、開放式圖稿系統交換標準(OASIS)或Caltech Intermediate Form (CIF)資料中之一者。33. 如條項31及32中任一項之電腦可讀媒體,其中指令集可由系統之一或多個處理器執行以使得系統進一步執行以下操作: 使得自第二設計資料導出之結構印刷於晶圓上; 使用帶電粒子束工具檢測晶圓上之結構;及 基於檢測識別度量衡資料或程序缺陷。34. 如條項33之電腦可讀媒體,其中指令集可由系統的一或多個處理器執行以使得系統進一步執行以下操作:使得第二設計資料基於經識別度量衡資料或程序缺陷而經調整。35. 如條項33之電腦可讀媒體,其中指令集可由系統的一或多個處理器執行以使得系統進一步執行以下操作:使得基於經識別度量衡資料或程序缺陷而調整掃描器。36. 如條項33之電腦可讀媒體,其中指令集可由系統的一或多個處理器執行以使得系統進一步執行以下操作:使得光微影設備基於經識別度量衡資料或程序缺陷而經調整。37. 如條項33至36中任一項之電腦可讀媒體,其中經識別度量衡資料或程序缺陷為邊緣置放誤差、疊對移位、接觸孔大小變化及邊緣粗糙度中之至少一者。38. 如條項31至37中任一項之電腦可讀媒體,其中指令集可由系統之一或多個處理器執行以使得系統進一步執行以下操作: 基於與目標設計圖案相關聯之屬性自設計庫選擇目標設計圖案,其中設計庫包括設計圖案及對應屬性。39. 如條項31至38中任一項之電腦可讀媒體,其中指令集可由系統之一或多個處理器執行以使得系統進一步執行以下操作: 分析一或多個潛在目標設計圖案相關聯之製程窗品質評估資料;及 基於分析之結果選擇目標設計圖案。40. 如條項31至39中任一項之電腦可讀媒體,其中指令集可由系統之一或多個處理器執行以使得系統進一步執行以下操作: 分析與目標設計圖案相關聯之設計資料;及 基於分析之結果選擇目標設計圖案。41. 如條項40之電腦可讀媒體,其中分析為程序模擬。42. 如條項31至41中任一項之電腦可讀媒體,其中指令集可由系統之一或多個處理器執行以使得系統進一步執行以下操作: 基於目標設計圖案與第一設計資料之間的相似性選擇目標設計圖案。43. 如條項31至42中任一項之電腦可讀媒體,其中自目標設計圖案導出之設計資料在指定區域處經新增至第二設計資料。44. 如條項43之電腦可讀媒體,其中第二設計資料中之指定位置位於第二設計資料中的組件之間。45. 如條項31至44中任一項之電腦可讀媒體,其中第一設計資料及第二設計資料表示積體電路之佈局設計資料的不同版本。
諸圖中之方塊圖說明根據本發明之各種例示性實施例之系統、方法及電腦硬體/軟體產品之可能實施的架構、功能性及操作。就此而言,示意圖中之每一區塊可表示可使用硬體(諸如電子電路)實施的某一算術或邏輯運算處理。區塊亦可表示包含用於實施指定邏輯功能之一或多個可執行指令的程式碼之模組、分段或部分。應理解,在一些替代實施方式中,區塊中所指示之功能可不按圖中所提及之次序出現。舉例而言,取決於所涉及之功能性,連續示出之兩個區塊可大體上同時執行或實施,或兩個區塊有時可以相反次序執行。一些區塊亦可經省略。
亦應理解,方塊圖之每一區塊及該等區塊之組合可由執行指定功能或動作的基於專用硬體之系統,或由專用硬體及電腦指令之組合來實施。
雖然已經結合各種實施例描述本發明,但自本說明書之考量及本文中揭示之本發明之實踐,本發明之其他實施例對於熟習此項技術者將顯而易見。意欲使本說明書及實例僅視為例示性的,其中本發明之真正範疇及精神由以下申請專利範圍指示。
100:電子束檢測系統 101:主腔室 102:裝載/鎖定腔室 104:電子束工具 106:設備前端模組 106a:第一裝載埠 106b:第二裝載埠 109:控制器 120:影像獲取器 130:儲存器 199:影像處理系統 200:成像系統 230:晶圓 244:偵測器 310:晶圓 311:放大部分 320:晶粒 320A:晶粒 320B:晶粒 320C:晶粒 320D:晶粒 333:切割道 337:切割道 410:晶圓 420:晶粒/半導體 431:目標設計圖案 432:目標設計圖案 510:目標設計圖案 513:目標設計圖案組件 515:目標設計圖案組件 520:目標設計圖案 523:目標設計圖案組件 525:目標設計圖案組件 527:目標設計圖案組件 D101:熱點庫 D102:PWQ分析 D103:程序模擬及風險分析 S101:步驟 S102:步驟 S103:步驟 S104:步驟 S105:步驟 S106:步驟 S107:步驟 S108:步驟
圖1為說明符合本發明之實施例之例示性電子束檢測(EBI)系統的示意圖。
圖2為說明符合本發明之實施例的例示性電子束工具的示意圖,該例示性電子束工具可為圖1之例示性電子束檢測系統之一部分。
圖3A至3B為說明符合本發明之實施例之例示性晶圓的方塊圖。
圖4為說明符合本發明之實施例之例示性晶圓的方塊圖。
圖5為說明符合本發明之實施例之例示性目標結構的方塊圖。
圖6為表示符合本發明之實施例之例示性方法的流程圖。
510:目標設計圖案
513:目標設計圖案組件
515:目標設計圖案組件
520:目標設計圖案
523:目標設計圖案組件
525:目標設計圖案組件
527:目標設計圖案組件

Claims (15)

  1. 一種儲存一指令集之非暫時性電腦可讀媒體,該指令集可由一系統之一或多個處理器執行以使得該系統執行一方法,該方法包含: 基於第一設計資料選擇一目標設計圖案,該第一設計資料表示一積體電路之一設計;及 提供指示該目標設計圖案之設計資料以使得自該目標設計圖案導出之設計資料能夠新增至第二設計資料,其中該第二設計資料係基於該第一設計資料。
  2. 如請求項1之非暫時性電腦可讀媒體,其中該第一設計資料經表示為圖形資料庫系統(GDS)、開放式圖稿系統交換標準(OASIS)或Caltech Intermediate Form (CIF)資料中之一者。
  3. 如請求項1之非暫時性電腦可讀媒體,其中該指令集可由該系統之一或多個處理器執行以使得該系統進一步執行以下操作: 在將自該第二設計資料導出之結構印刷於一晶圓上之後,使用一帶電粒子束工具檢測該晶圓上之該等結構;及 基於該檢測識別度量衡資料或程序缺陷。
  4. 如請求項3之非暫時性電腦可讀媒體,其中該指令集可由一系統之一或多個處理器執行以使得該系統進一步執行以下操作:使得該第二設計資料基於該經識別度量衡資料或程序缺陷而經調整。
  5. 如請求項3之非暫時性電腦可讀媒體,其中該經識別度量衡資料或程序缺陷為邊緣置放誤差、疊對移位、接觸孔大小變化及邊緣粗糙度中之至少一者。
  6. 如請求項1之非暫時性電腦可讀媒體,其中該指令集可由一系統之一或多個處理器執行以使得該系統進一步執行以下操作: 基於與該目標設計圖案相關聯之屬性自一設計庫選擇該目標設計圖案,其中該設計庫包括設計圖案及對應屬性。
  7. 如請求項1之非暫時性電腦可讀媒體,其中該指令集可由一系統之一或多個處理器執行以使得該系統進一步執行以下操作: 分析一或多個潛在目標設計圖案相關聯之製程窗品質評估資料;及 基於該分析之結果選擇該目標設計圖案。
  8. 如請求項1之非暫時性電腦可讀媒體,其中該指令集可由一系統之一或多個處理器執行以使得該系統進一步執行以下操作: 分析與該目標設計圖案相關聯之設計資料;及 基於該分析之結果選擇該目標設計圖案。
  9. 如請求項7之非暫時性電腦可讀媒體,其中該分析包含一程序模擬。
  10. 如請求項1之非暫時性電腦可讀媒體,其中該指令集可由一系統之一或多個處理器執行以使得該系統進一步執行以下操作: 基於該目標設計圖案與該第一設計資料之間的相似性選擇該目標設計圖案。
  11. 如請求項1之非暫時性電腦可讀媒體,其中自該目標設計圖案導出之該設計資料在一指定位置處經新增至該第二設計資料。
  12. 如請求項11之非暫時性電腦可讀媒體,其中該第二設計資料中之該指定位置位於該第二設計資料中的組件之間。
  13. 如請求項1之非暫時性電腦可讀媒體,其中該第一設計資料及該第二設計資料表示該積體電路之佈局設計資料的不同版本。
  14. 一種晶粒內度量衡系統,其包含: 一帶電粒子束裝置,其包括一偵測器; 一影像獲取器,其包括用以自該偵測器接收一偵測信號且建構包括一目標設計圖案之一影像的電路;及 一控制器,其具有至少一個處理器及包含指令之一非暫時性電腦可讀媒體,該等指令在由該處理器執行時使得該系統執行以下操作: 基於第一設計資料選擇一目標設計圖案,該第一設計資料表示一積體電路之一設計;及 提供指示該目標設計圖案之設計資料以使得自該目標設計圖案導出之設計資料能夠新增至第二設計資料,其中該第二設計資料係基於該第一設計資料。
  15. 如請求項14之系統,其中該等指令在由該處理器執行時進一步使得該系統進行以下操作: 在將自該第二設計資料導出之結構印刷於一晶圓上之後,使用一帶電粒子束工具檢測該晶圓上之該等結構;及 基於該檢測識別度量衡資料或程序缺陷。
TW108148585A 2018-12-31 2019-12-31 程序控制之晶粒內度量衡方法及系統 TWI745821B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862787203P 2018-12-31 2018-12-31
US62/787,203 2018-12-31

Publications (2)

Publication Number Publication Date
TW202105053A true TW202105053A (zh) 2021-02-01
TWI745821B TWI745821B (zh) 2021-11-11

Family

ID=69147653

Family Applications (2)

Application Number Title Priority Date Filing Date
TW110137033A TWI807442B (zh) 2018-12-31 2019-12-31 程序控制之晶粒內度量衡方法及系統
TW108148585A TWI745821B (zh) 2018-12-31 2019-12-31 程序控制之晶粒內度量衡方法及系統

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW110137033A TWI807442B (zh) 2018-12-31 2019-12-31 程序控制之晶粒內度量衡方法及系統

Country Status (7)

Country Link
US (2) US11527405B2 (zh)
JP (1) JP7281547B2 (zh)
KR (2) KR102596144B1 (zh)
CN (1) CN113272736A (zh)
IL (1) IL284030A (zh)
TW (2) TWI807442B (zh)
WO (1) WO2020141092A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10740888B2 (en) * 2016-04-22 2020-08-11 Kla-Tencor Corporation Computer assisted weak pattern detection and quantification system
US20230108539A1 (en) * 2021-10-06 2023-04-06 Kla Corporation Design-assisted large field of view metrology
CN117313635B (zh) * 2023-10-25 2024-05-24 上海合芯数字科技有限公司 确定芯片设计数据的方法、装置、电子设备及存储介质

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7804994B2 (en) * 2002-02-15 2010-09-28 Kla-Tencor Technologies Corporation Overlay metrology and control method
US7379184B2 (en) 2004-10-18 2008-05-27 Nanometrics Incorporated Overlay measurement target
FR2889332B1 (fr) * 2005-07-28 2007-12-28 Coupling Wave Solutions Cws Sa Procede et appareil d'aide a la conception de circuits integres
US7570796B2 (en) * 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US8041103B2 (en) * 2005-11-18 2011-10-18 Kla-Tencor Technologies Corp. Methods and systems for determining a position of inspection data in design data space
US7676077B2 (en) * 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
JP2011521475A (ja) * 2008-05-21 2011-07-21 ケーエルエー−テンカー・コーポレーション ツール及びプロセスの効果を分離する基板マトリクス
JP5286337B2 (ja) * 2010-08-30 2013-09-11 株式会社日立ハイテクノロジーズ 半導体製造装置の管理装置、及びコンピュータプログラム
US8669775B2 (en) 2010-09-24 2014-03-11 Texas Instruments Incorporated Scribe line test modules for in-line monitoring of context dependent effects for ICs including MOS devices
JP6133980B2 (ja) 2012-07-05 2017-05-24 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィのためのメトロロジ
US9377680B2 (en) 2013-11-15 2016-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for integrated circuit layout
KR101901770B1 (ko) * 2014-05-13 2018-09-27 에이에스엠엘 네델란즈 비.브이. 계측에 사용하기 위한 기판 및 패터닝 디바이스, 계측 방법, 및 디바이스 제조 방법
JP6491677B2 (ja) * 2014-06-10 2019-03-27 エーエスエムエル ネザーランズ ビー.ブイ. 計算的ウェーハ検査
CN107532945B (zh) * 2015-04-21 2020-12-01 科磊股份有限公司 用于倾斜装置设计的计量目标设计
CN107771271B (zh) * 2015-04-21 2020-11-06 Asml荷兰有限公司 量测方法和设备、计算机程序及光刻系统
KR102330738B1 (ko) * 2015-07-30 2021-11-23 케이엘에이 코포레이션 검사 도구에서의 동적 관리 영역 생성을 위한 시스템 및 방법
US10754256B2 (en) 2015-10-08 2020-08-25 Asml Netherlands B.V. Method and apparatus for pattern correction and verification
JP6527808B2 (ja) * 2015-10-27 2019-06-05 株式会社ニューフレアテクノロジー 検査方法および検査装置
US20180068047A1 (en) * 2016-09-08 2018-03-08 Mapper Lithography Ip B.V. Method and system for fabricating unique chips using a charged particle multi-beamlet lithography system
US10768533B2 (en) * 2016-10-20 2020-09-08 Kla-Tencor Corporation Method and system for generating programmed defects for use in metrology measurements
US10296702B2 (en) * 2017-03-15 2019-05-21 Applied Materials Israel Ltd. Method of performing metrology operations and system thereof

Also Published As

Publication number Publication date
CN113272736A (zh) 2021-08-17
IL284030A (en) 2021-08-31
WO2020141092A1 (en) 2020-07-09
US11527405B2 (en) 2022-12-13
TW202205370A (zh) 2022-02-01
JP7281547B2 (ja) 2023-05-25
KR20210093343A (ko) 2021-07-27
KR102596144B1 (ko) 2023-11-01
TWI745821B (zh) 2021-11-11
KR20230153509A (ko) 2023-11-06
TWI807442B (zh) 2023-07-01
JP2022516405A (ja) 2022-02-28
US20230076943A1 (en) 2023-03-09
US20200211845A1 (en) 2020-07-02

Similar Documents

Publication Publication Date Title
TWI784018B (zh) 用於使用半導體製造程序中之深度學習預測缺陷及臨界尺寸之系統及方法
CN106537449B (zh) 确定样本上的关注区域的坐标
CN111882552B (zh) 一种确定将对样品执行的计量过程的一或多个参数的系统、方法及介质
US10483081B2 (en) Self directed metrology and pattern classification
JP6215330B2 (ja) 位置ずれ対象の不正確性を概算および補正するための方法
TWI745821B (zh) 程序控制之晶粒內度量衡方法及系統
US8150140B2 (en) System and method for a semiconductor lithographic process control using statistical information in defect identification
US8804137B2 (en) Unique mark and method to determine critical dimension uniformity and registration of reticles combined with wafer overlay capability
US11120182B2 (en) Methodology of incorporating wafer physical measurement with digital simulation for improving semiconductor device fabrication
JP2017523390A (ja) 検査のための高解像度フルダイイメージデータの使用
WO2016172622A1 (en) Outlier detection on pattern of interest image populations
CN109659245B (zh) 监测光掩模缺陷率的改变
TW201504622A (zh) 圖案測定裝置,及半導體計測系統
CN110892516B (zh) 识别晶片上的干扰缺陷的来源
IL257205A (en) Self-directed metrology and example classification
US7312880B2 (en) Wafer edge structure measurement method
JP2010050148A (ja) 合わせずれ測定方法および合わせずれ検査マーク
JP2018525811A (ja) 設計を利用する先行層欠陥箇所の点検