TW202101760A - 具有帶有磊晶結塊部之源極或汲極結構的閘極環繞式積體電路結構 - Google Patents

具有帶有磊晶結塊部之源極或汲極結構的閘極環繞式積體電路結構 Download PDF

Info

Publication number
TW202101760A
TW202101760A TW109104706A TW109104706A TW202101760A TW 202101760 A TW202101760 A TW 202101760A TW 109104706 A TW109104706 A TW 109104706A TW 109104706 A TW109104706 A TW 109104706A TW 202101760 A TW202101760 A TW 202101760A
Authority
TW
Taiwan
Prior art keywords
pair
drain structures
source
epitaxial source
drain
Prior art date
Application number
TW109104706A
Other languages
English (en)
Inventor
寇利 保伯格
安拿 莫希
馬克 鮑爾
塔何 甘尼
比斯瓦吉 古哈
Original Assignee
美商英特爾股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商英特爾股份有限公司 filed Critical 美商英特爾股份有限公司
Publication of TW202101760A publication Critical patent/TW202101760A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1037Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure and non-planar channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Theoretical Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

說明具有帶有磊晶結塊部之源極或汲極結構的閘極環繞式積體電路結構,以及製造具有帶有磊晶結塊部之源極或汲極結構之閘極環繞式積體電路結構之方法。例如,積體電路結構包含第一垂直配置的水平奈米線和第二垂直配置的水平奈米線。第一對磊晶源極或汲極結構包括與該第一垂直配置的水平奈米線對齊的垂直分離部位。第二對磊晶源極或汲極結構包括與該第二垂直配置的水平奈米線對齊的垂直分離部位。導電接觸結構係橫向在該第一對磊晶源極或汲極結構的該其中一個與該第二對磊晶源極或汲極結構的該其中一個之間,而且和該第一對磊晶源極或汲極結構的該其中一個以及該第二對磊晶源極或汲極結構的該其中一個相接觸。

Description

具有帶有磊晶結塊部之源極或汲極結構的閘極環繞式積體電路結構
本發明之實施例係有關積體電路結構和處理的領域,尤其有關具有帶有磊晶結塊部之源極或汲極結構之閘極環繞式積體電路結構以及製造具有帶有磊晶結塊部之源極或汲極結構之閘極環繞式積體電路結構之方法的領域。
過去幾十年來,積體電路之特徵的縮減(scaling)一直是不斷成長之半導體工業背後的驅動力。縮減到愈來愈小的特徵致使能夠增加半導體晶片之有限安裝面積(real estate)上功能性單元的密度。例如,縮小(shrinking)電晶體尺寸允許晶片上數量增加之記憶體或邏輯裝置的併合,導致製造產品具有增加的容量。然而,更多容量的驅動不是沒有問題的。使各裝置的性能最佳化的需求變得愈來愈顯著。
在積體電路裝置的製造上,諸如三閘極電晶體的多閘極電晶體已隨著裝置尺寸持續縮減而變得更普遍。在習知製程中,三閘極電晶體通常不是被製作在大塊矽基板上,就是在絕緣體上覆矽的基板上。在一些例子中,由於其較低成本而且因為他們致能較不複雜的三閘極製作過程,所以大塊矽基板係較佳的。在另一態樣中,隨著微電子裝置尺寸縮減至10奈米(nm)節點以下,保持移動性改進和短通道控制提供了裝置製作上的挑戰。用來製作裝置的奈米線(nanowire)提供改良的短通道控制。
然而,縮減多閘極和奈米電晶體並不是沒有後果的。隨著這些微電子電路之基本建構方塊尺寸的縮減以及隨著在給定區域中所製作之基本建構方塊眾多數量(sheer number)的增加,對用來將這些建構方塊圖案化之微影製程上的限制已經變成壓倒性的。特別是,在半導體堆疊中所圖案化之特徵的最小尺寸(臨界尺寸)與此等特徵之間的間距之間可能要有妥協(trade-off)。
說明具有帶有磊晶結塊部之源極或汲極結構的閘極環繞式積體電路結構,以及製造具有帶有磊晶結塊部之源極或汲極結構之閘極環繞式積體電路結構之方法。在下面的說明中,許多特定的細節被提出,諸如特定整合及材料體制,以便提供本發明之實施例的透徹了解。對習於此技藝者而言將顯而易知的是,本發明之實施例可以在沒有這些特定細節的情況下被實踐。在其他例子中,眾所周知的特徵,諸如積體電路設計布局,並未被詳細說明以免不必要地模糊了本發明的實施例。此外,將領會到的是,圖形中所顯示之各種實施例為例示性的表示,而且不必要按比例繪出。
某些術語在下面的說明中僅用做參考的目的,因而不打算用來限定。舉例而言,諸如「上方」、「下方」、「之上」、「之下」等用語係指在圖形中做成參考的方向。諸如「前面」、「後面」、「背面」、「側面」等用語說明組件在一致但任意之參考框架內之部位的方位及/或位置,藉由參考說明正在討論中之組件的本文及其相關圖形而使其清楚明確。這樣的術語可包含上面特別提及的字詞、其衍生詞、以及類似意涵的字詞。
本文中所述的實施例可以有關前段(FEOL)半導體處理及結構。FEOL為第一部分的積體電路(IC)製作,其中,個別的裝置(例如,電晶體、電容器、電阻器、等等)被圖案化於半導體基板或半導體層中。FEOL通常覆蓋到達(但不包含)金屬互連層之沉積的所有一切。在最後的FEOL操作之後,結果典型上為具有隔離的電晶體(例如,沒有任何配線)之晶圓。
本文中所述的實施例可以有關後段(BEOL)半導體處理及結構。BEOL為第二部分的積體電路(IC)製作,其中,個別的裝置(例如,電晶體、電容器、電阻器、等等)與晶圓上的配線(例如,一或更多金屬化層)互連。BEOL包含接觸、絕緣層(電介質)、金屬層(metal levels)、以及接合位點以供晶片到封裝組件(chip-to-package)連接用。在製作階段的BEOL部分中,接觸(墊塊)、互連配線、通孔和電介質結構被形成。對於現代的IC製程來說,10個以上的金屬層可以被添加於BEOL中。
下面所述的實施例可應用於FEOL處理及結構、BEOL處理及結構、或者FEOL和BEOL兩者的處理及結構。特別是,雖然代表性處理方案可以使用FEOL處理情境來例示,這樣的方法也可以應用到BEOL處理。同樣地,雖然代表性處理方案可以使用BEOL處理情境來例示,這樣的方法也可以應用到FEOL處理。
本文中所述的一個或更多個實施例係有關具有磊晶(EPI)源極或汲極結塊部之閘極環繞式電晶體,以及製造具有磊晶(EPI)源極或汲極結塊部之閘極環繞式電晶體的方法。
為了提供背景脈絡,針對電晶體,源極或汲極與矽化物(silicide)材料之間的接觸電阻可以被接觸面積所限制。接觸電阻的貢獻也將變成電晶體之整體寄生電阻之愈來愈重要的部分。除此之外,擴散電阻於該源極或汲極之內可能受到小的接觸面積所影響。隨著縮減而減小接觸面積的問題為需要被解決的重大挑戰。
為了提供進一步背景脈絡,目前用來對付接觸電阻的解決方案涉及低電阻源極或汲極材料的決定以及過度地摻雜該源極或汲極的頂層。僅知之對付擴散電阻的方法為找尋低電阻源極或汲極材料。在此目前的解決方案中,可能會有對源極/或汲極材料的電阻可以是多低而不會使該源極汲極材料變為金屬的基本限制,這導致其本身的問題,包含導入肖特基(Schottky)阻障於通道與該源極或汲極之間以及有可能產生容易漏洩的通道在閘極與該源極或汲極接觸之間。除此之外,過多地摻雜該源極或汲極的頂層會導致摻雜劑擴散入大塊的源極或汲極內。擴散的摻雜劑有可能是無活性的(inactive),而且將僅使移動性劣化以及進一步增加擴散電阻。
在一實施例中,於該源極或汲極的選擇性磊晶沉積期間,典型上,僅薄的摻雜層被沉積(例如,薄的SiGe:B層),其將變成最終的源極或汲極。這接著是原位(in-situ)選擇性犧牲層(例如,i-SiGe)沉積以填滿該源極或汲極開口的其餘部分。接著,當該源極或汲極被再次打開而做成接觸時,本徵層被選擇性地蝕刻掉,導致該摻雜的源極或汲極被暴露出,而且接觸金屬被沉積在該摻雜的源極或汲極上。
本文中所述的實施例可以被施行來增加金屬接觸與該源極或汲極之間的接觸面積,且同時減少該EPI源極或汲極的體積。源極或汲極形狀的改變可減小接觸電阻,並且降低該源極或汲極包含擴散電阻之任何電阻的影響。這可以最終導致外部電阻方面的改善、操作電壓處之電流的增加、以及電晶體整體性能上的改善。
在一實施例中,磊晶源極或汲極結塊部係生長於典型的源極或汲極生長期間。然而,在目前的情況中,僅非常薄之摻雜的源極或汲極被沉積。用第二(犧牲)材料來填滿典型之源極汲極體積的其餘部分,第二(犧牲)材料可以被蝕刻掉而留下摻雜的源極或汲極材料。範例為沉積薄的高度摻雜之SiGe:B膜作為結塊部,其中,Ge幾乎為,例如50%,以及用具有接近,例如30%的Ge之標稱的本徵SiGe來填滿該源極或汲極體積的其餘部分。要領會的是,犧牲層可以被原位沉積到活性的(active)源極或汲極,或者可以被非原位(ex-situ)沉積於另一工具上,類似於其他間隙壁(spacer)層。要領會的是,在一些實施例中,犧牲層實質上可以是任何其他的間隙壁層,其可以在最後被選擇性地蝕刻掉。又,如果另一間隙壁層被使用,則活性源極汲極組成可以不同於50% Ge。在一實施例中,電晶體的製作然後照常繼續,直到源極或汲極被再次開以供接觸形成。在該處理的此時,額外的蝕刻被施行以去除犧牲層,例如去除i-SiGe,留下薄的EPI源極或汲極層(結塊部)。接著,典型的接觸層(例如,Ti、TiN和接觸金屬)被沉積入該源極汲極體積內,具有該體積的大部分係填充有接觸金屬。在特定實施例中,對鰭部結構進行上述製程,其中,該源極或汲極結塊部呈現為小的層。在特定實施例中,對奈米線或奈米帶(nanoribbon)進行上述製程,其中,該源極或汲極生長呈現為結塊部。
要領會的是,本文中所述的實施例可以特別有用於奈米線/奈米帶方案中,其中,該EPI源極或汲極僅從該奈米線/奈米帶之小的側壁中生長出,而且不被錨定到該基板。此方法可防止該源極或汲極能夠提供應力給該通道。因此,該較小體積的源極或汲極可能不會造成應力上的縮減,反而可以提供該裝置之外部電阻和整體性能的提升。
要領會的是,EPI結塊部的出現在剖面分析上可能是明顯的。在閘極切割中,薄磊晶摻雜源極或汲極材料可以被觀察到鄰近該通道,具有接觸金屬填滿於該EPI底切(EUC)位置的其餘部分。這與典型的finFET不同,典型的finFET具有填滿有磊晶摻雜之源極或汲極材料的EUC。在鰭部切割過該源極或汲極中,典型的菱形之快速生長(mushroomed)的EUC可能未被觀察到,反而是該空間係填滿有接觸金屬。
要領會的是,本文中所述之EPI結塊部的概念可被使用於N-型與P-型源極或汲極兩者以及在CMOS裝置上。實施例可以被施行而與各式各樣的通道材料一起使用,包含但不限於含有通道之應變(strained)或無應變(unstrained)Si、應變或無應變SiGe、Ge、Sn,以及III-V族通道。EPI結塊部方法可以適合用於閘極先製(gate first)或閘極後製(gate last)方法。EPI結塊部方法也可以被使用於各式各樣的架構上,包含但不限於finFETS、穿隧FET (TFET)、奈米線、堆疊的奈米線、奈米帶、堆疊的奈米帶、堆疊的CMOS、以及其中之後端(backend)接觸係經由通孔(via)而從用於晶圓的該後端中被做成的架構。在一實施例中,該犧牲源極或汲極填滿(第二層)可以被原位沉積到該活性源極汲極或者被非原位沉積到該活性源極或汲極。在另一實施例中,各種基板(或通道)方位,例如100對110初始晶圓,可以被使用。
為了提供進一步背景脈絡,用於奈米線或奈米帶結構的磊晶源極或汲極可以從各配線結塊部中成核(nucleate),而且如果生長以填滿整個源極或汲極凹部(recess),可能受苦於高電阻晶粒邊界和空洞(void)。標準的源極或汲極處理涉及完全填滿(full-fill)的磊晶方法。然而,空洞和晶粒邊界可能形成於生長前緣(growth front)符合之處。
依據本發明的實施例,奈米線或奈米帶處理流程被實施直到並且包含源極或汲極(S/D)凹部蝕刻為止。在磊晶源極或汲極處理時,而不是進行完全填滿,部分沉積被實施使得鄰接通道配線的區域被選擇性地以一層封頂(capped),但是在該源極或汲極凹部之相反側上的源極或汲極區域並不完全合併。該等源極或汲極凹部之剩餘未填滿部位然後可以用犧牲材料來予以選擇性地填滿,直到導電性接觸製作期間接觸區域再次被打開為止。在一個實施例中,犧牲材料被去除而且以選用的導電性接觸電阻縮減層而後一導電性填滿(例如,電金屬的)來取代。作為在接觸形成前之結構的範例,圖1繪示依據本發明的實施例,代表具有帶有磊晶結塊部之源極或汲極結構的閘極環繞式積體電路結構的剖面示圖。
參照圖1,積體電路結構100包含形成在基板102上方的結構101A和101B。基板102可以是或者包含矽子鰭部。在基板102包含或者是矽鰭部的情況中,子鰭部102B可以在下層基板部位102A的上方。結構101A和101B各自包含基板102上方之垂直配置的水平奈米線104。結構101A和101B各自包含閘極堆疊,該閘極堆疊包含閘極電極106和閘極電介質108。各閘極堆疊係在該垂直配置的水平奈米線104周圍。閘極蓋部107可以被包含在各閘極堆疊上,如同所描繪者。在所描繪的階段,該閘極堆疊可以是假性閘極堆疊或永久性閘極堆疊。閘極間隙壁114可以是該閘極堆疊的鄰接部。磊晶源極或汲極結構係在結構101A和101B各自之垂直配置的水平奈米線104的末端。磊晶源極或汲極結構各自包含與該垂直配置的水平奈米線104對齊的磊晶結塊部110。在一個實施例中,磊晶結塊部110為垂直分離部位,如同所描繪者。
在一實施例中,磊晶結塊部110各自具有定義的幾何形狀。例如,在一個實施例中,磊晶結塊部110各自具有一個或更多個小平面(facet)。在另一實施例中,磊晶結塊部110各自具有非定義或無定形的形狀。例如,在一個實施例中,磊晶結塊部110各自具有球形的形狀。
再次參照圖1,依據本發明的實施例,最接近之積體電路結構100的該等對磊晶源極或汲極結構包含結構101A和101B,他們彼此橫向鄰近但是互相不合併,如同所描繪者。雖然未被描繪於圖1中但是將參照圖2而被更加詳細解說,最終被橫向形成在最接近之積體電路結構100的該等對磊晶源極或汲極結構之間,並且和最接近之積體電路結構100的該等對磊晶源極或汲極結構相接觸的導電性接觸結構包含結構101A和101B。在一個這樣的實施例中,該導電性接觸結構包圍第一對磊晶源極或汲極結構的垂直分離部位110,而且包圍第二對磊晶源極或汲極結構的垂直分離部位110。
在一實施例中,磊晶結塊部110係由與奈米線104之半導體材料不同的半導體材料所組成。在一個這樣的實施例中,該磊晶結塊部110係由矽鍺所組成,而且該奈米線104係由矽所組成。在一實施例中,該等磊晶源極或汲極結構(其包含磊晶結塊部110)為壓縮應力(compressive-stressing)結構。在另一實施例中,該等磊晶源極或汲極結構(其包含磊晶結塊部110)為伸張應力(tensile-stressing)源極或汲極結構。在一實施例中,閘極電介質108包含高k閘極電介質層,而且閘極電極106為金屬閘極電極。
在一個態樣中,犧牲接觸結構被使用於積體電路結構的製作中。舉個例子,圖2繪示依據本發明的實施例,製作具有帶有磊晶結塊部之源極或汲極結構的閘極環繞式積體電路結構之方法中各種操作的剖面示圖。
參照圖2的(a)部分,製作積體電路結構的方法包含形成第一垂直配置101A的水平奈米線104和第二垂直配置101B的水平奈米線104。例如,初始結構可以是諸如關於圖1所述之結構100的結構。在一個實施例中,閘極電極結構106和閘極電介質結構108分別為假性閘極電極結構和假性閘極電介質結構。因此,第一假性閘極堆疊係在第一垂直配置101A的水平奈米線104之上,而且第二假性閘極堆疊係在第二垂直配置101B的水平奈米線104之上。
在一實施例中,第一對磊晶源極或汲極結構係在第一垂直配置101A的水平奈米線104之第一及第二末端處(針對101A顯示於右側),其中,該第一對磊晶源極或汲極結構包含與該第一垂直配置101A的水平奈米線104對齊之垂直分離部位110。第二對磊晶源極或汲極結構係在第二垂直配置101B的水平奈米線104之第一及第二末端處(針對101B顯示於左側),其中,該第二對磊晶源極或汲極結構包含與該第二垂直配置101B的水平奈米線104對齊之垂直分離部位110。該第一對磊晶源極或汲極結構的其中一個係橫向鄰接於該第二對磊晶源極或汲極結構的其中一個,但是不與其合併,例如,圖2的(a)部分中所示之緊鄰的磊晶源極或汲極結構。
參照圖2的(b)部分,對於結構200而言,假性接觸結構202係形成在該第一對磊晶源極或汲極結構的該其中一個與該第二對磊晶源極或汲極結構的該其中一個之間,並且和該第一對磊晶源極或汲極結構的該其中一個以及該第二對磊晶源極或汲極結構的該其中一個相接觸。在一個實施例中,空腔(cavity)204被包含在假性接觸結構202的下方,在該處,假性接觸結構202的材料不被或者不能被形成,如同所描繪者。
參照圖2的(c)部分,對於結構220而言,在形成假性接觸結構202之後,在置換閘極製程中,分別以第一及第二永久性閘極堆疊206/208來取代該第一及第二假性閘極堆疊106/108。在以第一及第二永久性閘極堆疊206/208來取代該第一及第二假性閘極堆疊106/108之後,假性接觸結構202被去除。導電性接觸結構112被橫向形成在該第一對磊晶源極或汲極結構的該其中一個與該第二對磊晶源極或汲極結構的該其中一個之間,並且和該第一對磊晶源極或汲極結構的該其中一個以及該第二對磊晶源極或汲極結構的該其中一個相接觸。在一實施例中,如同所描繪者,導電性接觸結構112包圍該第一對磊晶源極或汲極結構的垂直分離部位110而且包圍該第二對磊晶源極或汲極結構的垂直分離部位110。在一個實施例中,空腔210被包含在導電性接觸結構112的下方,在該處,導電性接觸結構112的材料不被或者不能被形成,如同所描繪者。
要領會的是,因為相同的源極或汲極結構的垂直鄰接部110不互相合併(例如,積體電路結構101A之右側源極或汲極結構的部位110不互相合併),所以垂直分離部位110被稱作為垂直分離的。圖1及2的代表性實施例包含這樣的垂直分離部位或結塊部110。然而,在另一實施例中,本文中所述之源極或汲極結構的磊晶部位或結塊部為垂直非分離部位,因為相同的源極或汲極結構的垂直鄰接部110互相合併之故。
作為涉及垂直非分離部位之製作的代表性製程方案,圖3A至3H繪示依據本發明的實施例,製作具有帶有磊晶結塊部之源極或汲極結構的閘極環繞式積體電路結構之方法中各種操作的剖面示圖。
參照圖3A,初始結構300包含基板302(諸如,矽基板)上方之交替的矽鍺層304和矽層306。
參照圖3B,遮罩被形成在圖3A的結構之上。該遮罩包含保護層308之上的硬遮罩310(在該處,保護層308最終可以被保留或去除)。該遮罩然後被圖案化,而且依序被用來圖案化交替的矽鍺層304和矽層306,並且可能的話,一部分的基板302。該圖案化將交替之圖案化後的矽鍺層314和圖案化後的矽層316形成為複數個集體的鰭部,在該處,有可能的話,各鰭部係在對應的子鰭部部位312上。
參照圖3C,假性閘極結構318被形成在圖3B的結構之上。該複數個集體的鰭部使用該假性閘極結構318來予以圖案化。在此階段,圖案化後的矽層316現在被圖案化兩次,而且可以被稱為矽奈米線326。在使用假性閘極結構318的圖案化之後,圖案化後的矽鍺層314現在被圖案化兩次,而且可以被凹入而形成犧牲中間結構324。閘極間隙壁320然後可以被形成鄰接於假性閘極結構318的側壁以及在該圖案化後的矽鍺層314被進一步凹入的位置328中,如同所描繪者。保護蓋部330可以被形成(或者從308被保留下來),而且在此階段可以沿著鰭部側壁延伸,如同所描繪者。
因此,參照圖3C,在一實施例中,製作積體電路結構的方法包含形成第一垂直配置的水平奈米線326 (左側的結構)和第二垂直配置的水平奈米線326(中間的結構)。第一假性閘極堆疊318(左側的結構)被形成在該第一垂直配置的水平奈米線326之上。第二假性閘極堆疊318 (中間的結構)被形成在該第二垂直配置的水平奈米線326之上。空腔322係在假性閘極堆疊之間以及在相鄰的垂直配置的水平奈米線326之間。
參照圖3D,第一對磊晶源極或汲極結構被形成在該第一垂直配置的水平奈米線326(左側的結構)的第一和第二末端處,而且第二對磊晶源極或汲極結構被形成在該第二垂直配置的水平奈米線326(中間的結構)的第一和第二末端處。該第一對和第二對磊晶源極或汲極結構包含分別與該第一和第二垂直配置的水平奈米線326對齊的垂直非分離部位332。該第一對磊晶源極或汲極結構的其中一個係橫向鄰接於該第二對磊晶源極或汲極結構的其中一個,但是不與其合併,如同所描繪者。
參照圖3E,假性接觸結構334被形成在相鄰垂直堆疊的奈米線之該橫向鄰接的磊晶源極或汲極結構之間,並且與其相接觸。在一個實施例中,空腔336被包含在假性接觸結構334的下方,在該處,假性接觸結構334的材料不被或者不能被形成,如同所描繪者。
參照圖3F,在形成該假性接觸結構334之後,以永久性閘極堆疊來取代該等假性閘極堆疊318。在一個實施例中,該等永久性閘極堆疊包含永久性閘極電極338和永久性閘極電介質340。在一實施例中,該犧牲中間結構324(例如,犧牲矽鍺材料)也被用該永久性閘極電極338和該永久性閘極電介質340來予以取代,如同所描繪者。
參照圖3G,在以該等永久性閘極堆疊來取代該等假性閘極堆疊318之後,該等假性接觸結構334被去除。在一個實施例中,假性接觸結構334的去除形成間隙342。
參照圖3H,導電性接觸結構344被橫向形成在磊晶源極或汲極結構之相鄰的一些之間,並且與它們相接觸。在特別的實施例中,各導電性接觸結構344包含第一導電層344A、第二導電層344B、和第三導電層344C。在一個這樣的實施例中,第一導電層344A為或者包含一鈦層,第二導電層344B為或者包含一氮化鈦層,且第三導電層344C為或者包含一鎢層。
再次參照圖3H,在一實施例中,結果的磊晶源極或汲極結構(其包含含磊晶結塊部332)為壓縮應力結構。在另一實施例中,該等磊晶源極或汲極結構(其包含磊晶結塊部332)為伸張應力源極或汲極結構。在一實施例中,該永久性閘極電介質340包含高k閘極電介質層,而且該永久性閘極電極338包含金屬閘極電極。在一實施例中,子鰭部結構係在各垂直配置的水平奈米線下方,如同所描繪者。
在另一態樣中,奈米線釋出(release)處理可以經由置換閘極溝渠(trench)來實施。此等釋出製程的範例被說明於下。除此之外,在又一態樣中,後端(BE)互連縮減由於圖案化複雜度而可以導致較低的性能以及較高的製造成本。本文中所述之實施例可被施行來致能針對奈米線電晶體的前端和後端互連整合。本文中所述之實施例可提供達成相對更寬的互連間距的方法。該結果可能是改善的產品性能以及較低的圖案化成本。實施例可被施行來致能具有低功率及高性能之縮減的奈米線或奈米帶電晶體的強健功能性。
本文中所述的一個或更多個實施例係有關針對使用部分源極或汲極(SD)和非對稱溝渠接觸(TCN)深度之奈米線或奈米帶電晶體的雙磊晶(EPI)連接。在一實施例中,積體電路結構係藉由形成奈米線/奈米帶電晶體之源極-汲極開口來予以製作的,該源極-汲極開口係部分用SD磊晶來予以填補。開口的剩餘部分係用導電材料來予以填補。深溝渠形成於該源極或汲極側的其中一個上致使能夠直接接觸到背面互連層(backside interconnect level)。
在代表性處理流程中,圖4A至4J繪示依據本發明的實施例,製作閘極環繞式積體電路結構之方法中各種操作的剖面示圖。
參照圖4A,製作積體電路結構的方法包含形成初始堆疊,其含鰭部(諸如,矽鰭部)402之上交替的矽鍺層404和矽層406。矽層406可以被稱為垂直配置的矽奈米線。保護蓋部408可以被形成在交替的矽鍺層404和矽層406上方,如同所描繪者。
參照圖4B,閘極堆疊410被形成在垂直配置的水平奈米線406之上。垂直配置的水平奈米線406的部分然後藉由去除矽鍺層404的部分而被釋出(released),以提供凹入的矽鍺層404’和空腔412,如同圖4C中所描繪者。
要領會的是,圖4C的結構可以被製作完成,而無需首先進行下面所述的深蝕刻及非對稱接觸處理。在任一情況下(例如,有或者沒有非對稱接觸處理),在一實施例中,製作過程涉及使用提供具有磊晶結塊部之閘極環繞式積體電路結構的處理方案,其可以是垂直分離或垂直非分離的源極或汲極結構,其範例相關於圖1、2和3A至3H而被說明於上。
參照圖4D,上閘極間隙壁414被形成在閘極結構410的側壁。空腔間隙壁416被形成在上閘極間隙壁414下方的空腔412中。深溝渠接觸蝕刻然後被選用地實施以形成溝渠418以及形成凹入的奈米線406’。犧牲材料420然後被形成在該等溝渠418中,如同圖4E中所描繪者(而且和圖2中所描繪的實施例相稱)。在其他製程方案中,隔離的溝渠底部或者矽溝渠底部可以被使用。
參照圖4F,第一磊晶源極或汲極結構(例如,左側特徵422)被形成在該垂直配置的水平奈米線406’的第一末端處。第二磊晶源極或汲極結構(例如,右側特徵422)被形成在該垂直配置的水平奈米線406’的第二末端處。在一實施例中,如同所描繪者,磊晶源極或汲極結構422為垂直分離的源極或汲極結構,其範例相關於圖1及2而被說明於上。在更一般的實施例中,磊晶源極或汲極結構422具有磊晶結塊部,其可以是垂直分離或垂直非分離的源極或汲極結構,其範例相關於圖1、2和3A至3H而被說明於上。
層間電介質(ILD)材料424然後被形成在閘極結構410的側壁而且鄰接源極或汲極結構422,如同圖4G中所描繪者。參照圖4H,置換閘極製程被用來形成永久性閘極電介質428和永久性閘極電極426。ILD材料424然後被去除,如同圖4I中所描繪者。犧牲材料420然後從該等源極汲極位置的其中一個(例如,右側)被去除以形成溝渠432,但是不從該等源極汲極位置的另一個被去除以形成溝渠430。
參照圖4J,第一導電接觸結構434被形成耦接至第一磊晶源極或汲極結構(例如,左側特徵422)。第二導電接觸結構436被形成耦接至第二磊晶源極或汲極結構(例如,右側特徵422)。第二導電接觸結構436沿著鰭部402被形成得比第一導電接觸結構434更深。在一實施例中,雖然未被描繪於圖4J中,該方法另包含形成第二導電接觸結構436的露出表面於鰭部402的底部。導電接觸可包含接觸電阻縮減層和主接觸電極層,在該處,前者的範例可包含Ti、Ni、Co,而後者的範例可包含W、Ru、Co。
在一實施例中,第二導電接觸結構436沿著鰭部402被形成得比第一導電接觸結構434更深,如同所描繪者。在一個這樣的實施例中,第一導電接觸結構434並不沿著鰭部402,如同所描繪者。在另一個這樣的實施例中,未被描繪出,第一導電接觸結構434部分沿著鰭部402。
在一實施例中,第二導電接觸結構434係沿著鰭部402的整體全部。在一實施例中,雖然未被描繪出,在藉由背面基板去除製程來使鰭部402的底部暴露出的情況中,第二導電接觸結構434具有露出表面在鰭部402的底部。
在另一態樣中,為了致使能夠通達(access)至一對非對稱源極或汲極接觸結構兩者的導電接觸結構,本文中所述的積體電路結構可以使用正面結構製作方法的背面暴露來予以製作。在一些代表性實施例中,電晶體或其他裝置結構之背面的暴露需要晶圓級背面處理。和習知TSV型技術相反,如同本文中所述之電晶體的背面暴露可以被實施於裝置單元(device cell)的密集處,而且甚至在裝置的子區域之內。此外,這樣的電晶體的背面暴露可以被實施來去除實質上所有的施體(donor)基板,而在正面裝置處理期間裝置層被設置於其上。因此,微米深的TSV隨著裝置單元中之半導體的厚度在電晶體的背面暴露之後可能僅為幾十或幾百奈米而變得不必要了。
本文中所述的暴露技術可以致能從「由下而上」的裝置製作到「由中向外」的製作的模式轉變,其中,「中心」為在正面製作中所使用、自該背面暴露出、且再次在背面製作中所使用的任何一層。裝置結構之正面和背面暴露兩者的處理可以應付當主要有賴正面處理時與製作3D IC相關的許多挑戰。
電晶體的背面暴露方法可以被使用於,例如,去除以施體為主之基板組裝(donor-host substrate assembly)的載體層和中間層的至少一部分。該處理流程開始於以施體為主之基板組裝的輸入。以施體為主之基板中載體層的厚度被拋光(例如,CMP)及/或用濕式或乾式(例如,電漿)蝕刻製程來予以蝕刻。已知適合用於該載體層之組成的任何研磨、拋光、及/或濕式/乾式蝕刻製程可以被使用。例如,在該載體層為第IV族半導體(例如,矽)的情況下,已知適合用來薄化該半導體的CMP漿液(slurry)可以被使用。同樣地,已知適合用來薄化該第IV族半導體的任何濕式蝕刻劑或電漿蝕刻製程也可以被使用。
在一些實施例中,在上述之前先沿著實際上平行於該中間層的斷裂面(fracture plane)劈開該載體層。劈開或裂開製程可以被用來去除該載體層作為大塊體的實際部位,縮減去除該載體層所需的拋光或蝕刻時間。例如,在載體層的厚度為400到900 μm的情況下,可以藉由實行已知用來提升晶圓級破裂之任何毯覆式佈植(blanket implant)來劈除100到700 μm。在一些代表性實施例中,輕元素(例如,H、He或Li)在想要斷裂面之處的該載體層內被佈植到均勻的目標深度。在這樣的劈開製程之後,該載體層在以施體為主之基板組裝中剩餘的厚度然後可以被拋光或蝕刻來完成去除。或者,在該載體層並未斷裂的情況下,研磨、拋光、及/或蝕刻操作可以被用來去除該載體層更大的厚度。
接著,中間層的暴露被偵測到。偵測被用來識別當施體基板的背面已經幾乎前進到該裝置層時的一點。已知適合用來偵測使用於該載體層與該中間層的材料之間的轉變之任何端點偵測技術可以被實行。在一些實施例中,一個或更多個端點準則係基於偵測在所實施之拋光或蝕刻期間該施體基板背面之光吸收(optical absorbance)或光發射上的改變。在一些其他的實施例中,該等端點準則係與在該施體基板背面之拋光或蝕刻期間副產物之光吸收或光發射上的改變相關聯。例如,與該載體層蝕刻副產物相關聯的吸收或發射波長可以改變作為該載體層和中間層之不同組成的函數。在其他實施例中,該等端點準則係與拋光或蝕刻該施體基板背面之副產物中物種質量(mass of species)上的改變相關聯。例如,該處理的副產物可以經由四極質譜分析器材(quadrupole mass analyzer)來予以取樣,而且物種質量上的改變可以和該載體層和中間層之不同組成互相關聯。在另一代表性實施例中,該端點準則係和該施體基板背面與和該施體基板背面相接觸的拋光面間之摩擦的改變相關聯。
當該載體去除製程上的非均勻性可以藉由該載體層與中間層之間的蝕刻率差值(etch rate delta)來予以減緩時,該中間層的偵測可以提升於該去除製程對該載體層相對於中間層而言係有選擇性的情況下。如果該研磨、拋光、及/或蝕刻操作以足夠在該載體層被去除之速率以下的速率來去除該中間層,則偵測甚至可以被省略。如果端點準則未被使用,則預定固定時間期間的研磨、拋光、及/或蝕刻操作可以停止於該中間層上,如果該中間層的厚度對於該蝕刻的選擇性係足夠的話。在一些範例中,該載體層蝕刻率:中間層蝕刻率為3:1到10:1,或更高。
當使該中間層暴露出時,該中間層的至少一部份可以被去除。例如,該中間層的一個或更多個分量層可以被去除。該中間層的厚度,例如可以藉由拋光來予以均勻地去除。或者,該中間層的厚度可以用遮罩式或毯覆式蝕刻製程來予以去除。該製程可以使用和被用來薄化該載體者相同的拋光或蝕刻製程,或者可以是具有不同製程參數的不同製程。例如,在該中間層提供用於該載體去除製程的蝕刻停止的情況下,後者操作可以使用去除中間層比去除裝置層更有利之不同的拋光或蝕刻製程。在少於幾百奈米之中間層厚度要被去除的情況下,該去除製程可以是相對慢的,針對整個晶圓均勻性而被最佳化,而且比用來去除載體層者受到更精確地控制。所使用的CMP製程可以,例如使用在半導體(例如,矽)與包圍裝置層並且嵌入於中間層內的電介質材料(例如,SiO)之間提供非常高選擇性(例如,100:1到300:1,或者更高)的漿液,作為相鄰裝置區域之間的電隔離。
對於經由中間層的完全去除而使裝置層暴露出的實施例而言,背面處理可以開始於其中之裝置層或特定裝置區域的露出背面上。在一些實施例中,背面裝置層處理包含進一步拋光或濕式/乾式蝕刻穿過裝置層設置在中間層與先前製作於裝置層中的裝置區域(諸如,源極或汲極區域)之間的厚度。
在一些實施例中,其中,載體層、中間層、或裝置層背面以濕式及/或電漿蝕刻而被凹入,這樣的蝕刻可以是將顯著的非平面性或形態(topography)傳施(impart)入裝置層背面內的圖案化蝕刻或材料選擇性蝕刻。如同下面所進一步說明的,該圖案化可以在裝置單元(亦即,單元內(intra-cell)圖案化)或者可以跨越裝置單元(亦即,單元間(inter-cell)圖案化)。在一些圖案化蝕刻實施例中,中間層之至少部分的厚度被使用做為用於背面裝置層圖案化的硬遮罩。因此,遮罩式蝕刻製程(masked etch process)可以做為對應之遮罩式裝置層蝕刻的開端。
上述處理方案可產生包含IC裝置之以施體為主的基板組裝,IC裝置具有中間層的背面、裝置層的背面、及/或裝置層內之一個或更多個半導體區域的背面、及/或正面金屬化暴露出。這些暴露出區域之任何一者額外的背面處理然後可以被實施於下游處理期間。
要領會的是,由於上面代表性處理方案所產生的結構可以按照相同或相似的方式而被使用於後續的處理操作來完成裝置製作,諸如PMOS及/或NMOS裝置製作。作為完成後裝置的範例,圖5繪示依據本發明的實施例,沿著閘極線所取出之非平面積體電路結構的剖面示圖。
參照圖5,半導體結構或裝置500包含溝渠隔離區域506之內的非平面活性(active)區域(例如,包含突出的(protruding)鰭部部位504和子鰭部區域505的鰭部結構)。在一實施例中,代替固體鰭部(solid fin),非平面活性區域被分開成子鰭部區域505之上的奈米線(例如,奈米線504A和奈米線504B),如同由虛線所表示者。在任一情況下,為了便於說明非平面積體電路結構500,非平面活性區域504在下面被稱為突出的鰭部部位。在一實施例中,製作過程涉及製程方案的使用,其提供具有磊晶結塊部的閘極環繞式積體電路結構,其可以是垂直分離或垂直非分離的源極或汲極結構,其範例相關於圖1、2和3A至3H而被說明於上。
閘極線508係設置在非平面活性區域的突出部位504(包含,如果適用的話,包圍奈米線504A和504B)之上,以及在溝渠隔離區域506的一部分之上。如所示者,閘極線508包含閘極電極550和閘極電介質層552。在一個實施例中,閘極線508也可以包含電介質蓋層554。閘極接觸514,以及上覆的(overlying)閘極接觸通孔516也從此透視圖中被看到,連同上覆的金屬互連部560一起,所有這些皆被設置在層間電介質堆疊或層570中。也從此圖5的透視圖中看到,在一個實施例中,閘極接觸514係設置在溝渠隔離區域506之上,但是不在非平面活性區域之上。
在一實施例中,半導體結構或裝置500為非平面裝置,諸如但不限於,fin-FET裝置、三閘極裝置、奈米帶裝置、或奈米線裝置。在這樣的實施例中,對應的半導體通道區域係由三維本體組成或者被形成在三維本體中。在一個這樣的實施例中,閘極電極堆疊的閘極線508包圍至少該三維本體的頂面和一對側面。
如同也被描繪於圖5中,在一實施例中,介面580存在於突出的鰭部部位504與子鰭部區域505之間。介面580可以為介於摻雜的子鰭部區域505與輕度或者未摻雜的上鰭部部位504之間的轉變區域。在一個這樣的實施例中,各鰭部為約10奈米寬或更少,而且子鰭部摻雜劑係選用地自該子鰭部位置處之相鄰的固態摻雜層供應。在一個特別之這樣的實施例中,各鰭部為少於10奈米寬。
雖然未被描繪於圖5中,要領會的是,突出之鰭部部位504的或者與突出之鰭部部位504鄰接的源極或汲極區域係在閘極線508的兩側,亦即,進入或離開頁面。在一個實施例中,該等源極或汲極區域為突出之鰭部部位504之原始材料的摻雜部位。在另一個實施例中,該突出之鰭部部位504的材料被去除,並例如藉由磊晶沉積另一半導體材料來取代以形成磊晶結塊部,其可以是垂直分離或垂直非分離的源極或汲極結構,其範例相關於圖1、2和3A至3H而被說明於上。在上面兩個方案(摻雜的原始材料,或者磊晶結塊部)的任一者中,該等源極或汲極區域可以延伸於溝渠隔離區域506之電介質層的高度之下,亦即,進入子鰭部區域505中。依據本發明的實施例,更重度摻雜之子鰭部區域505,亦即,該等鰭部在介面580之下的摻雜部位,抑制經由該大塊半導體鰭部之此部位的源極到汲極漏洩。在一實施例中,該等源極或汲極區域具有相關之非對稱的源極和汲極接觸結構,如同相關於圖4J而被說明於上者。
再次參照圖5,在一實施例中,鰭部504/505 (以及,可能的話,奈米線504A和504B)係由結晶矽、摻雜有電荷載體(諸如但不限於磷、砷、硼或其組合)的矽/鍺或鍺層組成。在一個實施例中,矽原子的濃度為大於97%。在另一實施例中,鰭部504/505係由III-V族材料組成,諸如但不限於氮化鎵、磷化鎵、砷化鎵、磷化銦、銻化銦、砷化銦鎵、砷化鋁鎵、磷化銦鎵、或其組合。在另一實施例中,活性配線(active wire)為具有介於30與85%間之Ge組成的SiGe合金。溝渠隔離區域506可以由電介質材料組成,諸如但不限於二氧化矽、氧氮化矽、氮化矽、或摻雜碳的氮化矽。
閘極線508可以由包含閘極電介質層552和閘極電極層550的閘極電極堆疊組成。在一實施例中,閘極電極堆疊的閘極電極係由金屬閘極組成,且閘極電介質層係由高k材料組成。例如,在一個實施例中,閘極電介質層係由一材料組成,該材料諸如但不限於氧化鉿、氧氮化鉿、矽酸鉿、氧化鑭、氧化鋯、矽酸鋯、氧化鉭、鈦酸鋇鍶、鈦酸鋇、鈦酸鍶、氧化釔、氧化鋁、氧化鉛鈧鉭、鈮酸鉛鋅、或其組合。此外,一部分的閘極電介質層可包含由基板鰭部504之頂部少許層所形成的一層自然氧化層(native oxide)。在一實施例中,閘極電介質層係由頂部高k部位和下層(lower)部位組成,該下層部位係由半導體材料的氧化物組成。在一個實施例中,閘極電介質層係由頂部部位的氧化鉿和底部部位的二氧化矽或氧氮化矽組成。在一些施行中,閘極電介質的一部分為「U」形結構,其包含實際上平行於基板之表面的底部部位和實際上垂直於基板之頂面的兩個側壁部位。
在一個實施例中,閘極電極係由金屬層組成,該金屬層諸如但不限於金屬氮化物、金屬碳化物、金屬矽化物、金屬鋁化物、鉿、鋯、鈦、鉭、鋁、釕、鈀、鉑、鈷、鎳或導電金屬氧化物。在特定實施例中,閘極電極係由形成在金屬功函數設定層(metal workfunction-setting layer)上方之非功函數設定的填充材料(non-workfunction-setting fill mterial)組成。閘極電極層可由P型功函數金屬或N型功函數金屬構成,視該電晶體是否為PMOS或NMOS電晶體而定。在一些施行中,閘極電極層可由兩層或更多層的金屬層之堆疊組成,其中,一或更多個金屬層為功函數金屬層且至少一金屬層為導電性填充層。針對PMOS電晶體,可以用於該閘極電極的金屬包含但不限於釕、鈀、鉑、鈷、鎳和導電金屬氧化物,例如氧化釕。P型金屬層將致能具有介於約4.9 eV與約5.2 eV間之功函數的PMOS閘極電極的形成。針對NMOS電晶體,可以用於該閘極電極的金屬包含但不限於鉿、鋯、鈦、鉭、鋁、這些金屬的合金、和這些金屬的碳化物,諸如碳化鉿、碳化鋯、碳化鈦、碳化鉭、及碳化鋁。N型金屬層將致能具有介於約3.9 eV與約4.2 eV間之功函數的NMOS閘極電極的形成。在一些施行中,閘極電極可由「U」形結構構成,其包含實際上平行於基板之表面的底部部位和實際上垂直於基板之頂面的兩個側壁部位。在另一施行中,構成該閘極電極之該等金屬層的至少其中一者可僅為實際上平行於基板之頂面而且不包含實際上垂直於基板之頂面的側壁部位的平面層。在本發明的其他施行中,該閘極電極可由U形結構和平面、非U形結構構成。例如,該閘極電極可由形成在一或更多個平面、非U形層之頂上的一或更多個U形金屬層構成。
與該等閘極電極堆疊相關聯的間隙壁可由一材料組成,該材料適合最終使永久性閘極結構和諸如自對準接觸的相鄰導電接觸電隔離,或者對永久性閘極結構和諸如自對準接觸的相鄰導電接觸之隔離有幫助。例如,在一個實施例中,間隙壁係由電介質材料組成,該電介質材料諸如但不限於二氧化矽、氧氮化矽、氮化矽、摻雜碳的氮化矽。
閘極接觸514和上覆的閘極接觸通孔516可由導電材料組成。在一實施例中,該等接觸或通孔中的一或多者係由金屬物種組成。該等金屬物種可為諸如鎢、鎳、或鈷的純金屬,或者可為諸如金屬-金屬合金或金屬-半導體合金(例如,矽化物材料)的合金。
在一實施例(雖然未顯示出)中,基本上較佳與現有的閘極圖案508對齊的接觸圖案被形成,且同時排除具有非常緊縮的對位(registration)預算之微影(lithographic)步驟的使用。在一實施例中,接觸圖案為垂直非對稱的接觸圖案,諸如相關於圖4J所述者。在其他實施例中,所有的接觸係正面連接地而且不是非對稱的。在一個這樣的實施例中,自我對準方法致使能夠使用本質高度選擇性的濕式蝕刻(例如,相對於習知施行的乾式或電漿蝕刻)來產生接觸開口。在一實施例中,藉由利用現有的閘極圖案與接觸插塞(plug)微影操作相結合來形成接觸圖案。在一個這樣的實施例中,該方法致使能夠排除需要別的關鍵性微影操作來產生接觸圖案,如同在習知方法中所使用者。在一實施例中,溝渠接觸柵格(trench contact grid)不被分開圖案化,反而被形成在多晶(閘極)線之間。例如,在一個這樣的實施例中,溝渠接觸柵格被形成在閘極柵格(gate grating)圖案化之後,但是在閘極柵格切割之前。
在一實施例中,提供結構500涉及藉由置換閘極製程來製作該閘極堆疊結構508。在這樣的方案中,諸如多晶矽或氮化矽柱體(pillar)材料的假性閘極材料可以被去除並且以永久性閘極電極材料來取代。在一個這樣的實施例中,永久性閘極電介質材料也以此製程來予以形成,如同和從先前處理所實施者相反的。在一實施例中,藉由乾式蝕刻或濕式蝕刻製程來去除假性閘極。在一個實施例中,假性閘極係由多晶矽或非晶矽組成而且用包含使用SF6 之乾式蝕刻製程來予以去除。在另一實施例中,假性閘極係由多晶矽或非晶矽組成而且用包含使用水性NH4 OH或四甲基氫氧化銨(tetramethylammonium hydroxide)之濕式蝕刻製程來予以去除。在一個實施例中,假性閘極係由氮化矽組成而且用包含使用水性磷酸(phosphoric acid)之濕式蝕刻來予以去除。
再次參照圖5,半導體結構或裝置500的配置將閘極接觸置於隔離區域之上。此種配置可被視為布局空間的無效使用。但是,在另一實施例中,半導體裝置具有接觸結構,其接觸閘極電極之形成在活性區域之上(例如,在鰭部505之上)的部位,而且在和溝渠接觸通孔相同的層中。
要領會的是,不是上面所述之製程的所有態樣都需要被實行而落在本發明之實施例的精神和範疇之內。而且,本文中所述之製程可被使用來製作一個或複數個半導體裝置。該等半導體裝置可為電晶體或類似的裝置。例如,在一實施例中,該等半導體裝置為用於邏輯或記憶體的金屬氧化物半導體(MOS)電晶體,或者為雙極電晶體。又,在一實施例中,該等半導體裝置具有諸如三閘極(tri-gate)裝置的三維架構、獨立存取的雙閘極裝置、或FIN-FET。一個或更多個實施例可能特別有用以次10奈米(10 nm)技術節點來製作半導體裝置。
在一實施例中,如同本說明書通篇所使用者,層間電介質(ILD)材料係由一層的電介質或絕緣材料組成或者包含一層的電介質或絕緣材料。適合之電介質材料的範例包含但不限於矽的氧化物(例如,二氧化矽(SiO2 ))、摻雜之矽的氧化物、氟化之矽的氧化物、摻雜碳的矽之氧化物、習於此技藝者所已知之各式各樣的低k電介質材料、以及其組合。該層間電介質材料可以藉由習知技術,諸如,例如化學氣相沉積(CVD)、物理氣相沉積(PVD)、或藉由其他的沉積方法來予以形成。
在一實施例中,如同也在本說明書通篇所使用者,金屬線或互連線材料(以及通孔材料)係由一個或更多個金屬或其他導電結構組成。一種普遍的範例為使用銅線(copper line)以及可以或可以不包含該銅與周圍的ILD材料之間的阻障層的結構。如同本文中所使用者,用語金屬包含多種金屬的合金、堆疊、及其他組合。例如,金屬互連線可包含阻障層(例如,包含Ta、TaN、Ti、或TiN之一或多者的層)、不同金屬或合金的堆疊、等等。因此,互連線可以是單一材料層,或者可以由幾層所形成,包含導電襯墊(liner)層和填充層。任何適合的沉積製程,諸如電鍍、化學氣相沉積或物理氣相沉積,可以被用來形成互連線。在一實施例中,互連線係由導電材料組成,諸如但不限於Cu、Al、Ti、Zr、Hf、V、Ru、Co、Ni、Pd、Pt、W、Ag、Au、或其合金。該等互連線在此技藝中有時候也被稱為迹線、配線、線路、金屬、或者僅稱為互連。
在一實施例中,如同也在本說明書通篇所使用者,硬遮罩材料、蓋層、或插塞係由與層間電介質材料不同的電介質材料組成。在一個實施例中,不同的硬遮罩、頂蓋或插塞材料可被使用在不同的區域中,以便提供不同的生長或蝕刻選擇性給彼此以及給下面的(underlying)電介質和金屬層。在一些實施例中,硬遮罩層、蓋層或插塞層包含一層矽的氮化物層(例如,氮化矽)或一層矽的氧化物層、或兩者皆有、或者其組合。其他適合的材料可包含碳基的材料(carbon-based material)。其他此技藝中所已知的硬遮罩、蓋層或插塞層可以視特別的施行而被使用。硬遮罩、蓋層或插塞層可以藉由CVD、PVD、或藉由其他的沉積方法來予以形成。
在一實施例中,如同也在本說明書通篇所使用者,使用193nm浸潤式微影(i193)、EUV及/或EBDW微影、等等來進行微影操作。正調性(positive tone)或負調性(negative tone)抗蝕劑可被使用。在一個實施例中,微影遮罩為由形態(topographic)遮罩部位、反反射塗佈(ARC)層、和光阻層組成的三層遮罩。在特別之這樣的實施例中,形態(topographic)遮罩部位為碳質硬遮罩(CHM)層且反反射塗佈(coating)層為矽ARC罩。
在另一態樣中,一個或更多個實施例係有關由自對準閘極端蓋(SAGE)結構分開的鄰接半導體結構或裝置。特別的實施例可以有關在SAGE架構中而且由SAGE壁所分開之多個寬度(multi-Wsi)奈米線及奈米帶的整合。在一實施例中,奈米線/奈米帶與前端處理流程之SAGE架構部位中的多個Wsi相整合。此種處理流程可能涉及不同Wsi之奈米線及奈米帶的整合,以提供具有低功率及高性能之下一世代電晶體的強健功能性。相關的磊晶源極或汲極區域可以被嵌入(例如,奈米線的部分被去除而後進行源極或汲極(S/D)生長),而且可以是磊晶結塊部或者包含磊晶結塊部,其可以是垂直分離或垂直非分離的源極或汲極結構,其範例相關於圖1、2和3A至3H而被說明於上。
為了提供進一步上下文,自對準閘極端蓋(SAGE)架構的優點可包含致能更高的布局密度,而且特別是縮減擴散到擴散的間距。為了提供繪示性比較,圖6繪示依據本發明的實施例,針對無端蓋(non-endcap)架構(左側(a))對自對準閘極端蓋(SAGE)架構(右側(b)),經由奈米線和鰭部所取出的剖面示圖。
參照圖6的左側(a),積體電路結構600包含基板602,具有從基板602突出於隔離結構608上方一段量606的鰭部604,而隔離結構608橫向地包圍鰭部604的下層部位。對應的奈米線605係在該鰭部604之上。閘極結構可以被形成在積體電路結構600之上以製作一裝置。然而,可以藉由增加鰭部604/奈米線605對之間的間距來容納此種閘極結構中的斷裂。
對比之下,參照圖6的右側(b),積體電路結構650包含基板652,具有從基板652突出於隔離結構658上方一段量656的鰭部654,而隔離結構658橫向地包圍鰭部654的下層部位。對應的奈米線655係在該鰭部654之上。隔離的SAGE壁660(其可以包含其上的硬遮罩,如所描繪者)被包含在隔離結構652之內以及在相鄰的鰭部654/奈米線655對之間。隔離的SAGE壁660與最接近的鰭部654/奈米線655對之間的距離界定該閘極端蓋間距662。閘極結構可以被形成在積體電路結構600之上,在隔離的SAGE壁之間以製作一裝置。藉由該等隔離的SAGE壁來強加此種閘極結構中的斷裂。因為該等隔離的SAGE壁660係自我對準的,所以來自習知方法的限制可以被最小化以致能更積極的擴散到擴散的間距。此外,因為閘極結構包含在所有位置處的斷裂,所以個別的閘極結構部位可以是由形成在該等隔離的SAGE壁660之上本地互連所連接的層。在一實施例中,如所描繪者,隔離的SAGE壁660各自包含下層的電介質部位和該下層的電介質部位上的電介質蓋部。
依據本發明的實施例,針對與圖6相關之結構的製作過程涉及一製程方案的使用,該製程方案提供具有磊晶結塊部的閘極環繞式積體電路結構,其可以是垂直分離或垂直非分離的源極或汲極結構,其範例相關於圖1、2和3A至3H而被說明於上。
自對準閘極端蓋(SAGE)處理方案涉及形成自對準於鰭部的閘極/溝渠接觸端蓋,而不需要考量遮罩未對位(mis-registration)的額外長度。因此,實施例可被施行來致能電晶體布局面積的縮小。本文中所述的實施例可涉及閘極端蓋隔離結構的製作,其也可以被稱為閘極壁、隔離閘極壁或自對準閘極端蓋(SAGE)壁。
在針對具有使鄰接裝置分開之SAGE壁的結構之代表性處理方案中,圖7繪示依據本發明的實施例,代表製作具有閘極環繞式裝置之自對準閘極端蓋(SAGE)架構之方法中各種操作的剖面示圖。
參照圖7的部分(a),起始結構包含基板702之上的奈米線圖案化堆疊704。微影圖案化堆疊706被形成在奈米線圖案化堆疊704之上。奈米線圖案化堆疊704包含交替的矽鍺層710和矽層712。保護遮罩714係在奈米線圖案化堆疊704與微影圖案化堆疊706之間。在一個實施例中,微影圖案化堆疊706為由形態(topographic)遮罩部位720、反反射塗佈(ARC)層722、和光阻層724組成的三層遮罩。在特別之這樣的實施例中,形態(topographic)遮罩部位720為碳質硬遮罩(CHM)層且反反射塗佈(coating)層722為矽ARC罩。
參照圖7的部分(b),部分(a)的堆疊被微影式地圖案化,而後被蝕刻來提供包含圖案化後之基板702和溝渠730之蝕刻後的結構。
參照圖7的部分(c),部分(b)的結構具有形成在溝渠730中的隔離層740和SAGE材料742。該結構然後被平面化而留下圖案化後的形態(topographic)遮罩部位720’作為露出的上層。
參照圖7的部分(d),隔離層740被凹入至圖案化後之基板702的上層表面下方,例如,以界定突出的鰭部部位以及提供在SAGE壁742之下的溝渠隔離結構741。
參照圖7的部分(e),矽鍺層710至少在通道區域中被去除而釋出矽奈米線712A和712B。在圖7的部分(e)之結構的形成之後,閘極堆疊可以被形成在奈米線712B或712A的周圍、在基板702的突出鰭部之上、以及在SAGE壁742之間。在一個實施例中,在該等閘極堆疊的形成之前,保護遮罩714的剩餘部位被去除。在另一個實施例中,保護遮罩714的剩餘部位被保留作為絕緣鰭部帽部,作為處理方案的產出物(artifact)。
再次參照圖7的部分(e),要領會的是,通道示圖被描繪出,具有源極或汲極區域係位在頁面之內或出頁面之外。在一實施例中,包含奈米線712B的通道區域具有比包含奈米線712A的通道區域少的寬度。因此,在一實施例中,積體電路結構包含多個寬度(multi-Wsi)奈米線。雖然712B和712A的結構分別被區分為奈米線和奈米帶,但是此等結構兩者典型上在本文中被稱為奈米線。也要領會的是,通篇中鰭部/奈米線對的參考或描繪可以指包含鰭部和一或更多個在上面的奈米線(例如,圖7中顯示有兩個在上面的奈米線)的結構。依據本發明的實施例,針對與圖7相關之結構的製作過程涉及一製程方案的使用,該製程方案提供具有磊晶結塊部的閘極環繞式積體電路結構,其可以是垂直分離或垂直非分離的源極或汲極結構,其範例相關於圖1、2和3A至3H而被說明於上。
為了凸顯具有三個垂直排列的奈米線之代表性積體電路結構,圖8A繪示依據本發明的實施例,基於奈米線之積體電路結構的三維剖面示圖。圖8B繪示沿著a-a’軸線所取出,圖8A之基於奈米線之積體電路結構的剖面源極或汲極示圖。圖8C繪示沿著b-b’軸線所取出,圖8A之基於奈米線之積體電路結構的剖面通道示圖。
參照圖8A,積體電路結構800包含基板802上方的一個或更多個垂直堆疊的奈米線(804集合)。在最底下的奈米線與基板802之間的選用鰭部由於為了說明性目的而強調奈米線部位的緣故而未被描繪出。本文中的實施例被定位在單一配線裝置和多個配線裝置兩者。舉例來說,具有奈米線804A、804B和804C之基於三條奈米線的裝置為了說明性目的而被顯示出。為了便於說明,奈米線804A被用作為將焦點放在該等奈米線的其中一者上來做說明的範例。要領會的是,在一個奈米線的屬性被說明的情況下,基於複數個奈米線的實施例對於該等奈米線之各者而言可以具有相同或實質上相同的屬性。
該等奈米線804各自包含一通道區域806在該奈米線中。通道區域806具有長度(L)。參照圖8C,通道區域也具有正交於該長度(L)的周長(Pc)。參照圖8A和8C兩者,閘極電極堆疊808包圍該等通道區域806之各者的整個周長(Pc)。該閘極電極堆疊808包含閘極電極以及通道區域806與該閘極電極(未顯示出)之間的閘極電介質層。在一實施例中,通道區域係分開的,由於該通道區域完全被該閘極電極堆疊808所包圍,而沒有任何中間材料,諸如在下面的基板材料或在上面的通道製作材料之故。因此,在具有複數個奈米線804的實施例中,該等奈米線的通道區域806相對於彼此也是分開的。依據本發明的實施例,針對與圖8A至8C相關之結構的製作過程涉及一製程方案的使用,該製程方案提供具有磊晶結塊部的閘極環繞式積體電路結構,其可以是垂直分離或垂直非分離的源極或汲極結構,其範例相關於圖1、2和3A至3H而被說明於上。
參照圖8A和8B兩者,積體電路結構800包含一對分開的源極或汲極區域810/812。該對分開的源極或汲極區域810/812係在該複數個垂直堆疊的奈米線804之通道區域806的兩側上。在一實施例中,如所描繪者,源極或汲極區域810/812係分開的,由於奈米線804的通道區域806各自有個別且分開的源極或汲極區域810/812之故。因此,在具有複數個奈米線804的實施例中,該等奈米線的源極或汲極區域810/812並非球形(global)或統一的源極或汲極區域810/812,反而對於各奈米線而言係分開的。在一個實施例中,從正交於該等分開的通道區域806之長度的剖面透視圖來看,該對分開的源極或汲極區域810/812之各者的形狀約為球形,如圖8A和8B中所描繪者。
依據本發明的實施例,而且如圖8A和8B中所描繪者,積體電路結構800尚包含一對接觸814,各接觸814包圍該對分開的源極或汲極區域810/812之各者的個別部位。在一個這樣的實施例中,在垂直的方向上,各接觸814完全包圍該分開的源極或汲極區域810/812之部位的各者。依據本發明的實施例,雖然未被描繪出,該對接觸814為非對稱對的接觸,如同相關於圖4J所說明者。
參照圖8B和8C,該等分開的源極或汲極區域810/812係非球形的(non-global),這是就對複數個(在此情況下為3個)奈米線804而言,且更特別的是,對於一個以上之分開的通道區域806而言,單一統一的特徵不被使用作為源極或汲極區域的意義上來說。在一實施例中,該對分開的源極或汲極區域810/812係由與分開的通道區域806之半導體材料不同的半導體材料組成,例如,該對分開的源極或汲極區域810/812係由矽鍺組成,而分開的通道區域806係由矽組成。在另一實施例中,該對分開的源極或汲極區域810/812係由與分開的通道區域806之半導體材料相同或實質上相同的半導體材料組成,例如,該對分開的源極或汲極區域810/812和該分開的通道區域806兩者皆係由矽組成。
再次參照圖8A,在一實施例中,積體電路結構800另包含一對間隙壁層816。如同所描繪者,該對間隙壁層816的外側部位可以和該等分開的源極或汲極區域810/812有部分的重疊,提供該等分開的源極或汲極區域810/812的「嵌入」部位在該對間隙壁層816下面。如同亦被描繪者,該等分開的源極或汲極區域810/812的嵌入部位可以不延伸在該對間隙壁層816之全部整體的下面。
基板802可由適合用於積體電路結構製作的材料組成。在一個實施例中,基板802包含由一材料之單晶(single crystal)組成的下層大塊基板,該材料可包含但不限於矽、鍺、矽鍺、或III-V族化合物半導體材料。由一材料組成的上層絕緣體層係在下層大塊基板上,該材料可包含但不限於二氧化矽、氮化矽、或氧氮化矽。因此,該結構800可由起始絕緣體上覆半導體基板(semiconductor-on-insulator substrate)所製作。或者,該結構800係直接由大塊基板所形成,且局部氧化(local oxidation)被用來形成電絕緣部位以代替上述的上層絕緣體層。在另一替代性實施例中,該結構800係直接由大塊基板所形成,且摻雜被用來形成諸如奈米線之電隔離的活性區域於其上。在一個這樣的實施例中,第一奈米線(亦即,接近該基板)係呈omega-FET類型結構的形式。
在一實施例中,該等奈米線804可以為線(wire)或帶(ribbon)的尺寸大小,如下所述,而且可具有修整過的角(squared-off corner)或圓角(rounder corner)。在一實施例中,該等奈米線804係由諸如但不限於矽、鍺、或其組合的材料所組成。在一個這樣的實施例中,該等奈米線804為單晶體的(single-crystalline)。例如,對於矽奈米線804而言,單晶體奈米線可以基於(100)整體取向(global orientation),例如,由<100>所表示的z方向。如下所述,其他取向也可以被考慮。在一實施例中,該等奈米線804的尺寸從剖面透視圖來看係基於奈米級(nano-scale)。例如,在一特定實施例中,該等奈米線804的最小尺寸係小於約20奈米。在一實施例中,該等奈米線804,特別是在通道區域806中,係由應變材料(strained material)組成。在一實施例中,(110)平面晶圓被使用於該z方向為<110>而且特別對於奈米帶(等同被稱為奈米片(nanosheet))亦是理想的。在一實施例中,傳導方向為<110>。
參照圖8C,在一實施例中,該等通道區域806各自具有寬度(Wc)和高度(Hc),寬度(Wc)約和高度(Hc)相同。也就是說,在此兩者情況下,該等通道區域806為方形(square-like),如果是圓角的話,則在剖面輪廓上為圓形(circle-like)。在另一態樣中,該通道區域的寬度和高度不需要相同,諸如如同通篇所述之針對奈米帶的情況。
在另一態樣中,製作鰭部/奈米線積體電路結構之奈米線部分的方法被提供。例如,圖9A至9E繪示依據本發明的實施例,代表製作鰭部/奈米線結構之奈米線部分的方法中各種操作的三維剖面示圖。
製作奈米線積體電路結構的方法可包含形成奈米線於基板的上方。在顯示兩個矽奈米線之形成的特定實施例中,圖9A繪示具有矽層904/矽鍺層906/矽層908堆疊於其上的基板902(例如,由具有絕緣二氧化矽層902B於其上之大塊基板矽基板902A組成)。要瞭解的是,在另一實施例中,矽鍺層/矽層/矽鍺層堆疊可以被用來最終形成兩個矽鍺奈米線。
參照圖9B,矽層904/矽鍺層906/矽層908堆疊的一部分以及二氧化矽層902B的頂部部位被圖案化成為鰭部型態結構910,例如,以遮罩和電漿蝕刻製程。要領會的是,為了說明性目的,圖9B的蝕刻被顯示為形成兩個矽奈米線前體(precursor)部位。雖然為了便於說明而將蝕刻顯示作為底部隔離層之內的結束,但是在本發明實施例之情境內考慮更複雜的堆疊。例如,該製程可被應用於奈米線/鰭部堆疊,如同相關於圖7所述者。
該方法也可包含形成奈米線中的通道區域,該通道區域具有長度和正交於該長度的周長(perimeter)。在顯示形成三個閘極結構於兩個矽奈米線之上的特定實施例中,圖9C繪示具有三個犧牲閘極912A、912B和912C於其上的鰭部型態結構910。在一個這樣的實施例中,該三個犧牲閘極912A、912B和912C係由犧牲閘極氧化物層914和犧牲多晶矽閘極層916組成,而犧牲閘極氧化物層914和犧牲多晶矽閘極層916被使用電漿蝕刻製程來予以毯覆式沉積和圖案化。
接著圖案化以形成該三個犧牲閘極912A、912B和912C之後,進行提供具有減少(depopulated)之通道結構的閘極環繞式積體電路結構的製作過程,其範例相關於圖1、2和3A至3H而被說明於上。接著此一通道結構減少過程之後,間隙壁可以被形成在該三個犧牲閘極912A、912B和912C的側壁上,可以進行摻雜(例如,尖端及/或源極和汲極類型摻雜),以及層間電介質層可以被形成來覆蓋該三個犧牲閘極912A、912B和912C。該層間電介質層可以被拋光而使該三個犧牲閘極912A、912B和912C暴露出,以供置換閘極或閘極後製(gate-last)製程使用。參照圖9D,該三個犧牲閘極912A、912B和912C已經被去除,還留下間隙壁918和該層間電介質層920的一部分剩下。
除此之外,再次參照圖9D,在原先被該三個犧牲閘極912A、912B和912C所覆蓋的區域中,該鰭部結構910之該矽鍺層906的該等部位和該絕緣二氧化矽層902B的該等部位被去除。該等矽層904和908之分開的部位因而留下,如圖9D中所描繪者。
圖9D中所示之該矽層904和908的分開部位,在一個實施例中,將最終變成以奈米線為基礎的裝置中之通道區域。因此,在圖9D中所描繪的處理階段中,可以進行通道工程或調諧。例如,在一個實施例中,圖9D中所示之該矽層904和908的分開部位使用氧化和蝕刻製程來予以薄化。此種蝕刻製程可以和藉由蝕刻該矽鍺層906以使該等線分開之時同時進行。因此,由矽層904和908所形成之初始線開始更厚而且被薄化至適合用於奈米線裝置中之通道區域的尺寸,與該裝置之源極和汲極區域的大小化(sizing)無關。因此,在一實施例中,形成該通道區域包含去除該奈米線的一部分,而且該等源極和汲極區域的結果周長(說明於下)係大於結果通道區域的周長。
該方法也可包含形成包圍該通道區域之整個周長的閘極電極堆疊。在顯示形成三個閘極結構於兩個矽奈米線之上的特定實施例中,圖9E繪示接著在該等間隙壁918之間沉積閘極電介質層922(諸如,高k閘極電介質層)和閘極電極層924(諸如,金屬閘極電極層)且隨後拋光之後的結構。也就是說,閘極結構被形成在圖9D的溝渠921中。除此之外,圖9E描繪在形成永久性閘極堆疊之後,隨後去除層間電介質層920的結果。在圖9D所描繪之原先被該層間電介質層920的部位所覆蓋的區域中,該鰭部結構910之該矽鍺層906的該等部位和該絕緣二氧化矽層902B的該等部位被去除。該等矽層904和908之分開的部位因而留下,如圖9E中所描繪者。
該方法也可包含形成一對源極或汲極區域於該奈米線中,在該通道區域的兩側上。在一實施例中,該等源極或汲極區域係藉由去除奈米線904和908的部位而後進行源極或汲極(S/D)生長所形成。依據本發明的實施例,此等磊晶源極或汲極結構為磊晶結塊部或者包含磊晶結塊部,其可以是垂直分離或垂直非分離的源極或汲極結構,其範例相關於圖1、2和3A至3H而被說明於上。
該方法可隨後包含形成一對接觸,該對接觸的第一個全部或幾乎全部包圍該源極區域的周長,且該對接觸的第二個全部或幾乎全部包圍該汲極區域的周長。在一實施例中,該對接觸為非對稱對的源極和汲極接觸結構,如同相關於圖4J所說明者。在其他實施例中,該對接觸為對稱對的源極和汲極接觸結構。明確地說,接著磊晶生長之後,接觸被形成在圖9E的溝渠925中。該等溝渠的其中一個可以比該等溝渠的另一個先被進一步凹入。在一實施例中,該等接觸係由金屬物種所形成。在一個這樣的實施例中,該等金屬物種係藉由保形地沉積接觸金屬而後填滿任何剩餘的溝渠量(trench volume)所形成。該沉積的保形態樣可以藉由化學氣相沉積(CVD)、原子層沉積(ALD)、或金屬回流焊接(metal reflow)來進行。
在一實施例中,如通篇所述者,積體電路結構包含非平面裝置,諸如但不限於,具有對應的一個或更多個上覆的奈米線結構之finFET或三閘極裝置。在這樣的實施例中,對應的半導體通道區域係由三維本體組成或者被形成在三維本體中,而該三維本體具有一個或更多個分開的奈米線通道部位在該三維本體的上面。在一個這樣的實施例中,該閘極結構包圍至少該三維本體的頂面和一對側壁,並且進一步包圍該一個或更多個分開的奈米線通道部位之各者。
在一實施例中,如通篇所述者,基板可由一半導體材料組成,該半導體材料能夠耐受製造過程,而且電荷可以遷移於其中。在一實施例中,該基板為大塊基板,該大塊基板係由結晶矽、摻雜有電荷載體的矽/鍺或鍺層,諸如但不限於磷、砷、硼或其組合所組成,以形成活性區域。在一個實施例中,大塊基板中之矽原子的濃度為大於97%。在另一實施例中,大塊基板係由生長在不同結晶基板頂上的磊晶層組成,例如,生長在摻雜硼之大塊矽單晶基板頂上的矽磊晶層。大塊基板可替換地由III-V族材料組成。在一實施例中,大塊基板係由III-V材料組成,諸如但不限於氮化鎵、磷化鎵、砷化鎵、磷化銦、銻化銦、砷化銦鎵、砷化鋁鎵、磷化銦鎵、或其組合。在一個實施例中,大塊基板係由III-V族材料組成,而且該電荷載體的摻雜劑雜質原子為諸如但不限於碳、矽、鍺、氧、硫、硒、或碲的原子。
在一實施例中,如通篇所述者,溝渠隔離層可由一材料組成,該材料適合最終使永久性閘極結構的部位和下面的大塊基板電隔離、或者有助於永久性閘極結構的部位和下面的大塊基板之隔離、或隔離形成在下面的大塊基板內之活性區域,諸如隔離鰭部活性區域。例如,在一個實施例中,溝渠隔離層係由電介質材料組成,諸如但不限於二氧化矽、氧氮化矽、氮化矽、或摻雜碳的氮化矽。
在一實施例中,如通篇所述者,自對準閘極端蓋隔離結構可由適合最終使永久性閘極結構的部位互相電隔離、或者有助於永久性閘極結構的部位之互相隔離的一或多個材料組成。代表性材料或材料組合包含單一材料結構,諸如二氧化矽、氧氮化矽、氮化矽、或摻雜碳的氮化矽。其他代表性材料或材料組合包含多層堆疊,其具有下層部位二氧化矽、氧氮化矽、氮化矽、或摻雜碳的氮化矽,以及上層部位更高的電介質常數材料(諸如,氧化鉿)。
本文中所揭示的實施例可被用來製造各種不同類型的積體電路及/或微電子裝置。此等積體電路的範例包含但不限於處理器、晶片組構件、圖形處理器、數位訊號處理器、微控制器、等等。在其他實施例中,半導體記憶體可以被製造。況且,積體電路或其他微電子裝置可以被使用於此技藝中所已知之各式各樣的電子裝置。例如,在電腦系統(例如,桌上型、膝上型、伺服器)、蜂巢式電話、個人電子產品、等等中。此等積體電路可以和系統中的匯流排以及其他組件相耦接。例如,處理器可以藉由一個或更多個匯流排而被耦接至記憶體、晶片組等等。處理器、記憶體、晶片組之各者可潛在地使用本文中所揭示的方法來予以製造。
圖10繪示依據本發明實施例的一種施行之計算裝置1000。計算裝置1000收納一板1002。該板1002可包含許多組件,包含但不限於處理器1004和至少一個通訊晶片1006。該處理器1004被實體及電耦接至該板1002。在一些施行中,該至少一個通訊晶片1006也被實體及電耦接至該板1002。在其他施行中,該通訊晶片1006為該處理器1004的部分。
視其應用而定,計算裝置1000可包含可以或可以不被實體及電耦接至該板1002的其他組件。這些其他組件包含但不限於揮發性記憶體(例如,DRAM)、非揮發性記憶體(例如,ROM)、快閃記憶體、圖形處理器、數位訊號處理器、加密處理器、晶片組、天線、顯示器、觸控螢幕顯示器、觸控螢幕控制器、電池、音頻編碼解碼器、視頻編碼解碼器、功率放大器、全球定位系統(GPS)裝置、羅盤、加速計、陀螺儀、揚聲器、相機、以及大量儲存裝置(諸如,硬碟機、光碟(CD)、數位多功能碟片(DVD)、等等)。
該通訊晶片1006致能將資料從該計算裝置1000轉移出或者將資料轉移至該計算裝置1000的無線通訊。術語「無線」及其衍生詞可以被用來描述電路、裝置、系統、方法、技術、通訊通道、等等,其可以經由使用調變後之電磁輻射透過非固態媒體來通訊資料。該術語並不隱含相關裝置並不含有任何導線,雖然在有些實施例中它們可能不是不含有任何導線。該通訊晶片1006可以施行許多無線標準或協定的任何一者,其包含但不限於Wi-Fi (IEEE 802.11系列)、Wi-MAX (IEEE 802.16系列)、IEEE 802.20、長期演進(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、藍芽、其衍生物、以及被稱為3G、4G、5G及未來世代之任何其他的無線協定。該計算裝置1000可以包含複數個通訊晶片1006。例如,第一通訊晶片1006可以專用於較短程的無線通訊(諸如,Wi-Fi和藍芽),且第二通訊晶片1006可以專用於較長程的無線通訊(諸如,GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO等等)。
該計算裝置1000的該處理器1004包含封裝在該處理器1004內的積體電路晶粒。該處理器1004的積體電路晶粒包含一個或更多個結構,諸如依據本發明實施例之施行所建立之具有帶有磊晶結塊部之源極或汲極結構的閘極環繞式積體電路結構。術語「處理器」可以指任何裝置或裝置的部分,其處理來自暫存器及/或記憶體的電子資料,以將該電子資料轉變成可以被儲存在暫存器及/或記憶體的其他電子資料。
該通訊晶片1006也包含封裝在該通訊晶片1006內的積體電路晶粒。該通訊晶片1006的積體電路晶粒可包含一個或更多個結構,諸如依據本發明實施例之施行所建立之具有帶有磊晶結塊部之源極或汲極結構的閘極環繞式積體電路結構。
在其他施行中,收納在該計算裝置1000內的另一組件可含有一積體電路晶粒,該積體電路包含一個或更多個結構,諸如依據本發明實施例之施行所建立之具有帶有磊晶結塊部之源極或汲極結構的閘極環繞式積體電路結構。
在各種施行中,該計算裝置1000可以為膝上型電腦、小筆電(netbook)、筆記型電腦、超筆電(ultrabook)、智慧型手機、平板電腦、個人數位助理(PDA)、超級行動個人電腦(ultramobile PC)、行動電話、桌上型電腦、伺服器、印表機、掃描器、監視器、機上盒、娛樂控制單元、數位相機、可攜式音樂播放器、或數位錄影機。在其他施行中,該計算裝置1000可以為處理資料的任何其他電子裝置。
圖11繪示包含本發明的一個或更多個實施例的中介層1100。該中介層1100為用來使第一基板1102橋接至第二基板1104的中間基板。該第一基板1102可為例如積體電路晶粒。該第二基板1104可為例如記憶體模組、電腦主機板、或另一積體電路晶粒。通常,該中介層1100的目的在於將連接擴散至更寬的間距或者將連接重新路由至不同的連接。例如,中介層1100可以使積體電路晶粒耦接至球狀柵格陣列(BGA)1106,其隨後可以被耦接至該第二基板1104。在一些實施例中,該第一和第二基板1102/1104係附接至該中介層1100的相反側。在其他實施例中,該第一和第二基板1102/1104係附接至該中介層1100的同一側。而且在其他實施例中,三個或更多個基板可以經由該中介層1100而互連。
該中介層1100可以由環氧樹脂、玻璃纖維強化環氧樹脂、陶瓷材料、或者諸如聚醯亞胺的聚合物材料所形成。在其他實作中,該中介層可以由交替的剛性或撓性材料所形成,其可包含使用於半導體基板中之上面所述相同的材料,諸如矽、鍺、以及其他III-V族和第IV族材料。
該中介層可以包含金屬互連1108及通孔(vias)1110,其包含但不限於矽穿孔(TSV)1112。該中介層1100可另包含嵌入式裝置1114,其包含被動及主動裝置。此等裝置包含但不限於電容器、解耦電容器、電阻器、電感器、熔斷器材(fuse)、二極體、變壓器、感測器、以及靜電放電(ESD)裝置。諸如射頻(RF)裝置、功率放大器、功率管理裝置、天線、陣列、感測器、及MEMS裝置之更複雜的裝置也可以被形成在該中介層1100上。依據本發明的實施例,本文中所揭示的設備或處理可以被使用於中介層1100的製作或者被使用於中介層1100中所包含之組件的製作。
因此,本發明的實施例包含具有帶有磊晶結塊部之源極或汲極結構的閘極環繞式積體電路結構,以及製造具有帶有磊晶結塊部之源極或汲極結構之閘極環繞式積體電路結構之方法。
本發明實施例之繪示施行的上述說明,包含摘要中所說明的,並不打算是詳盡無遺的或者將本發明限定在所揭示的精準形式中。雖然本發明的特定施行及其範例為了說明性目的而被敘述於本文中,但是各種等效的變型在本發明的範疇之內係可能的,如同習於此技藝者所將確知。
這些變型鑑於上面的詳細說明而可以被做成於本發明。下面的申請專利範圍中所使用的術語不應該被解釋為將本發明限制於說明書和申請專利範圍中所揭示的特定施行。反而,本發明的範疇完全要由下面的申請專利範圍來決定,其係要依據申請專利範圍釋譯所建立的學說教條來予以解釋。
範例實施例1:一種積體電路結構包含第一垂直配置的水平奈米線和第二垂直配置的水平奈米線。第一閘極堆疊係在該第一垂直配置的水平奈米線周圍,和第二閘極堆疊係在該第二垂直配置的水平奈米線周圍。第一對磊晶源極或汲極結構係在該第一垂直配置的水平奈米線之第一及第二末端處,該第一對磊晶源極或汲極結構包含與該第一垂直配置的水平奈米線對齊的垂直分離部位。第二對磊晶源極或汲極結構係在該第二垂直配置的水平奈米線之第一及第二末端處,該第二對磊晶源極或汲極結構包含與該第二垂直配置的水平奈米線對齊的垂直分離部位。該第一對磊晶源極或汲極結構的其中一個係橫向鄰接於該第二對磊晶源極或汲極結構的其中一個,但是不與其合併。導電接觸結構係橫向在該第一對磊晶源極或汲極結構的該其中一個與該第二對磊晶源極或汲極結構的該其中一個之間,而且和該第一對磊晶源極或汲極結構的該其中一個以及該第二對磊晶源極或汲極結構的該其中一個相接觸。
範例實施例2:範例實施例1的積體電路結構,其中,該導電接觸結構包圍該第一對磊晶源極或汲極結構的該垂直分離部位,以及包圍該第二對磊晶源極或汲極結構的該垂直分離部位。
範例實施例3:範例實施例1或2的積體電路結構,另包含在該第一垂直配置的水平奈米線下面的第一子鰭部結構,在該第二垂直配置的水平奈米線下面的第二子鰭部結構。
範例實施例4:範例實施例1、2或3的積體電路結構,其中,該第一和第二對磊晶源極或汲極結構的其中一個為一對壓縮應力的源極或汲極結構。
範例實施例5:範例實施例1、2或3的積體電路結構,其中,該第一和第二對磊晶源極或汲極結構的其中一個為一對伸張應力的源極或汲極結構。
範例實施例6:範例實施例1、2、3、4或5的積體電路結構,其中,該第一和第二閘極堆疊的其中一個包括高k閘極電介質層和金屬閘極電極。
範例實施例7:一種製作積體電路結構的方法包含形成第一垂直配置的水平奈米線和第二垂直配置的水平奈米線。該方法也包含形成第一假性閘極堆疊於該第一垂直配置的水平奈米線之上,和第二假性閘極堆疊於該第二垂直配置的水平奈米線之上。該方法也包含形成第一對磊晶源極或汲極結構在該第一垂直配置的水平奈米線之第一及第二末端處,該第一對磊晶源極或汲極結構包括與該第一垂直配置的水平奈米線對齊的垂直分離部位。該方法也包含形成第二對磊晶源極或汲極結構在該第二垂直配置的水平奈米線之第一及第二末端處,該第二對磊晶源極或汲極結構包括與該第二垂直配置的水平奈米線對齊的垂直分離部位。該第一對磊晶源極或汲極結構的其中一個係橫向鄰接於該第二對磊晶源極或汲極結構的其中一個,但是不與其合併。該方法也包含形成假性接觸結構在該第一對磊晶源極或汲極結構的該其中一個與該第二對磊晶源極或汲極結構的該其中一個之間,而且和該第一對磊晶源極或汲極結構的該其中一個以及該第二對磊晶源極或汲極結構的該其中一個相接觸。該方法也包含在形成該假性接觸結構之後,分別以第一及第二永久性閘極堆疊來取代該第一及第二假性閘極堆疊。該方法也包含在以該第一及第二永久性閘極堆疊來取代該第一及第二假性閘極堆疊之後,去除該假性接觸結構。該方法也包含形成導電接觸結構橫向在該第一對磊晶源極或汲極結構的該其中一個與該第二對磊晶源極或汲極結構的該其中一個之間,而且和該第一對磊晶源極或汲極結構的該其中一個以及該第二對磊晶源極或汲極結構的該其中一個相接觸。
範例實施例8:範例實施例7的方法,其中,該導電接觸結構包圍該第一對磊晶源極或汲極結構的該垂直分離部位,以及包圍該第二對磊晶源極或汲極結構的該垂直分離部位。
範例實施例9:範例實施例7或8的方法,其中,該第一和第二對磊晶源極或汲極結構的其中一個為一對壓縮應力的源極或汲極結構。
範例實施例10:範例實施例7或8的方法,其中,該第一和第二對磊晶源極或汲極結構的其中一個為一對伸張應力的源極或汲極結構。
範例實施例11:範例實施例7、8或9的方法,其中,該第一和第二永久性閘極堆疊的其中一個包括高k閘極電介質層和金屬閘極電極。
範例實施例12:一種積體電路結構包含第一垂直配置的水平奈米線和第二垂直配置的水平奈米線。第一閘極堆疊係在該第一垂直配置的水平奈米線周圍,和第二閘極堆疊係在該第二垂直配置的水平奈米線周圍。第一對磊晶源極或汲極結構係在該第一垂直配置的水平奈米線之第一及第二末端處,該第一對磊晶源極或汲極結構包含與該第一垂直配置的水平奈米線對齊的垂直非分離部位。第二對磊晶源極或汲極結構係在該第二垂直配置的水平奈米線之第一及第二末端處,該第二對磊晶源極或汲極結構包含與該第二垂直配置的水平奈米線對齊的垂直非分離部位。該第一對磊晶源極或汲極結構的其中一個係橫向鄰接於該第二對磊晶源極或汲極結構的其中一個,但是不與其合併。導電接觸結構係橫向在該第一對磊晶源極或汲極結構的該其中一個與該第二對磊晶源極或汲極結構的該其中一個之間,而且和該第一對磊晶源極或汲極結構的該其中一個以及該第二對磊晶源極或汲極結構的該其中一個相接觸。
範例實施例13:範例實施例12的積體電路結構,另包含在該第一垂直配置的水平奈米線下面的第一子鰭部結構,在該第二垂直配置的水平奈米線下面的第二子鰭部結構。
範例實施例14:範例實施例12或13的積體電路結構,其中,該第一和第二對磊晶源極或汲極結構的其中一個為一對壓縮應力的源極或汲極結構。
範例實施例15:範例實施例12或13的積體電路結構,其中,該第一和第二對磊晶源極或汲極結構的其中一個為一對伸張應力的源極或汲極結構。
範例實施例16:範例實施例12、13、14或15的積體電路結構,其中,該第一和第二閘極堆疊的其中一個包括高k閘極電介質層和金屬閘極電極。
範例實施例17:一種製作積體電路結構的方法包含形成第一垂直配置的水平奈米線和第二垂直配置的水平奈米線。該方法也包含形成第一假性閘極堆疊於該第一垂直配置的水平奈米線之上,和第二假性閘極堆疊於該第二垂直配置的水平奈米線之上。該方法也包含形成第一對磊晶源極或汲極結構在該第一垂直配置的水平奈米線之第一及第二末端處,該第一對磊晶源極或汲極結構包括與該第一垂直配置的水平奈米線對齊的垂直非分離部位。該方法也包含形成第二對磊晶源極或汲極結構在該第二垂直配置的水平奈米線之第一及第二末端處,該第二對磊晶源極或汲極結構包括與該第二垂直配置的水平奈米線對齊的垂直非分離部位。該第一對磊晶源極或汲極結構的其中一個係橫向鄰接於該第二對磊晶源極或汲極結構的其中一個,但是不與其合併。該方法也包含形成假性接觸結構在該第一對磊晶源極或汲極結構的該其中一個與該第二對磊晶源極或汲極結構的該其中一個之間,而且和該第一對磊晶源極或汲極結構的該其中一個以及該第二對磊晶源極或汲極結構的該其中一個相接觸。該方法也包含在形成該假性接觸結構之後,分別以第一及第二永久性閘極堆疊來取代該第一及第二假性閘極堆疊。該方法也包含在以該第一及第二永久性閘極堆疊來取代該第一及第二假性閘極堆疊之後,去除該假性接觸結構。該方法也包含形成導電接觸結構橫向在該第一對磊晶源極或汲極結構的該其中一個與該第二對磊晶源極或汲極結構的該其中一個之間,而且和該第一對磊晶源極或汲極結構的該其中一個以及該第二對磊晶源極或汲極結構的該其中一個相接觸。
範例實施例18:範例實施例17的方法,其中,該第一和第二對磊晶源極或汲極結構的其中一個為一對壓縮應力的源極或汲極結構。
範例實施例19:範例實施例17的方法,其中,該第一和第二對磊晶源極或汲極結構的其中一個為一對伸張應力的源極或汲極結構。
範例實施例20:範例實施例17、18或19的方法,其中,該第一和第二永久性閘極堆疊的其中一個包括高k閘極電介質層和金屬閘極電極。
100:積體電路結構 101A,101B:結構 102:基板 102A:下層基板部位 102B:子鰭部部位 104:奈米線 106:閘極電極 107:閘極蓋部 108:閘極電介質 110:磊晶結塊部 112:導電接觸結構 114:閘極間隙壁 200:結構 202:假性接觸結構 204:空腔 206:第一永久性閘極堆疊 208:第二永久性閘極堆疊 210:空腔 220:結構 300:初始結構 302:基板 304:矽鍺層 306:矽層 308:保護層 310:硬遮罩 312:子鰭部部位 314:圖案化後的矽鍺層 316:圖案化後的矽層 318:假性閘極結構 320:閘極間隙壁 322:空腔 324:犧牲中間結構 326:矽奈米線 328:位置 330:保護蓋部 332:垂直非分離部位 334:假性接觸結構 336:空腔 338:永久性閘極電極 340:永久性閘極電介質 342:間隙 344:導電接觸結構 344A:第一導電層 344B:第二導電層 344C:第三導電層 402:鰭部 404:矽鍺層 404’:凹入的矽鍺層 406:奈米線 406’:凹入的奈米線 408:保護蓋部 410:閘極堆疊 412:空腔 414:上閘極間隙壁 416:空腔間隙壁 418:溝渠 420:犧牲材料 422:磊晶源極或汲極結構 424:層間電介質(ILD)材料 426:永久性閘極電極 428:永久性閘極電介質 430:溝渠 432:溝渠 434:第一導電接觸結構 436:第二導電接觸結構 500:半導體結構或裝置 504:突出的鰭部部位 504A:奈米線 504B:奈米線 505:子鰭部區域 506:溝渠隔離區域 508:閘極線 514:閘極接觸 516:閘極接觸通孔 550:閘極電極層 552:閘極電介質層 554:電介質蓋層 560:金屬互連 570:層間電介質堆疊或層 580:介面 600:積體電路結構 602:基板 604:鰭部 605:奈米線 606:量 608:隔離結構 650:積體電路結構 652:基板 654:鰭部 655:奈米線 656:量 658:隔離結構 660:隔離的SAGE壁 662:閘極端蓋間距 702:基板 704:奈米線圖案化堆疊 706:微影圖案化堆疊 710:矽鍺層 712:矽層 712A:奈米線 712B:奈米線 714:保護遮罩 720:形態遮罩部位 720’:圖案化後的形態遮罩部位 722:反反射塗佈(ARC)層 724:光阻層 730:溝渠 740:隔離層 741:溝渠隔離結構 742:SAGE壁 800:積體電路結構 802:基板 804,804A,804B,804C:奈米線 806:通道區域 808:閘極電極堆疊 810:源極或汲極區域 812:源極或汲極區域 814:接觸 816:間隙壁 902:基板 902A:大塊基板矽基板 902B:絕緣二氧化矽層 904:矽層 906:矽鍺層 908:矽層 910:鰭部型態結構 912A,912B,912C:犧牲閘極 914:犧牲閘極氧化物層 916:犧牲多晶矽閘極層 918:間隙壁 920:層間電介質層 921:溝渠 922:閘極電介質層 924:閘極電極層 925:溝渠 1000:計算裝置 1002:板 1004:處理器 1006:通訊晶片 1100:中介層 1102:第一基板 1104:第二基板 1106:球狀柵格陣列(BGA) 1108:金屬互連 1110:通孔 1112:矽穿孔(TSV) 1114:嵌入裝置
[圖1]繪示依據本發明的實施例,代表具有帶有磊晶結塊部之源極或汲極結構的閘極環繞式積體電路結構的剖面示圖。
[圖2]繪示依據本發明的實施例,製作具有帶有磊晶結塊部之源極或汲極結構的閘極環繞式積體電路結構之方法中各種操作的剖面示圖。
[圖3A至3H]繪示依據本發明的實施例,製作具有帶有磊晶結塊部之源極或汲極結構的閘極環繞式積體電路結構之方法中各種操作的剖面示圖。
[圖4A至4J]繪示依據本發明的實施例,製作閘極環繞式積體電路結構之方法中各種操作的剖面示圖。
[圖5]繪示依據本發明的實施例,沿著閘極線所取出之非平面積體電路結構的剖面示圖。
[圖6]繪示依據本發明的實施例,針對無端蓋(non-endcap)架構(左側(a))對自對準閘極端蓋(SAGE)結構(右側(b)),經由奈米線和鰭部所取出的剖面示圖。
[圖7]繪示依據本發明的實施例,代表製作具有閘極環繞式裝置之自對準閘極端蓋(SAGE)結構之方法中各種操作的剖面示圖。
[圖8A]繪示依據本發明的實施例,基於奈米線之積體電路結構的三維剖面示圖。
[圖8B]繪示依據本發明的實施例,沿著a-a’軸線所取出,圖8A之基於奈米線之積體電路結構的剖面源極或汲極示圖。
[圖8C]繪示依據本發明的實施例,沿著b-b’軸線所取出,圖8A之基於奈米線之積體電路結構的剖面通道示圖。
[圖9A至9E]繪示依據本發明的實施例,代表製作鰭部/奈米線結構之奈米線部分的方法中各種操作的三維剖面示圖。
[圖10]繪示依據本發明實施例的一種施行之計算裝置。
[圖11]繪示包含本發明之一個或多個實施例的中介層(interposer)。
100:積體電路結構
101A,101B:結構
102:基板
102A:下層基板部位
102B:子鰭部部位
104:奈米線
106:閘極電極
107:閘極蓋部
108:閘極電介質
110:磊晶結塊部
114:閘極間隙壁

Claims (20)

  1. 一種積體電路結構,該積體電路結構包括: 第一垂直配置的水平奈米線和第二垂直配置的水平奈米線; 在該第一垂直配置的水平奈米線周圍的第一閘極堆疊,和在該第二垂直配置的水平奈米線周圍的第二閘極堆疊; 在該第一垂直配置的水平奈米線之第一及第二末端處的第一對磊晶源極或汲極結構,該第一對磊晶源極或汲極結構包括與該第一垂直配置的水平奈米線對齊的垂直分離部位; 在該第二垂直配置的水平奈米線之第一及第二末端處的第二對磊晶源極或汲極結構,該第二對磊晶源極或汲極結構包括與該第二垂直配置的水平奈米線對齊的垂直分離部位,其中,該第一對磊晶源極或汲極結構的其中一個係橫向鄰接於該第二對磊晶源極或汲極結構的其中一個,但是不與其合併;以及 導電接觸結構,橫向在該第一對磊晶源極或汲極結構的該其中一個與該第二對磊晶源極或汲極結構的該其中一個之間,而且和該第一對磊晶源極或汲極結構的該其中一個以及該第二對磊晶源極或汲極結構的該其中一個相接觸。
  2. 如請求項1之積體電路結構,其中,該導電接觸結構包圍該第一對磊晶源極或汲極結構的該垂直分離部位,以及包圍該第二對磊晶源極或汲極結構的該垂直分離部位。
  3. 如請求項1之積體電路結構,另包括: 在該第一垂直配置的水平奈米線下面的第一子鰭部結構; 在該第二垂直配置的水平奈米線下面的第二子鰭部結構。
  4. 如請求項1之積體電路結構,其中,該第一和第二對磊晶源極或汲極結構的其中一個為一對壓縮應力的源極或汲極結構。
  5. 如請求項1之積體電路結構,其中,該第一和第二對磊晶源極或汲極結構的其中一個為一對伸張應力的源極或汲極結構。
  6. 如請求項1之積體電路結構,其中,該第一和第二閘極堆疊的其中一個包括高k閘極電介質層和金屬閘極電極。
  7. 一種製作積體電路結構的方法,該方法包括: 形成第一垂直配置的水平奈米線和第二垂直配置的水平奈米線; 形成第一假性閘極堆疊於該第一垂直配置的水平奈米線之上,和第二假性閘極堆疊於該第二垂直配置的水平奈米線之上; 形成第一對磊晶源極或汲極結構在該第一垂直配置的水平奈米線之第一及第二末端處,該第一對磊晶源極或汲極結構包括與該第一垂直配置的水平奈米線對齊的垂直分離部位; 形成第二對磊晶源極或汲極結構在該第二垂直配置的水平奈米線之第一及第二末端處,該第二對磊晶源極或汲極結構包括與該第二垂直配置的水平奈米線對齊的垂直分離部位,其中,該第一對磊晶源極或汲極結構的其中一個係橫向鄰接於該第二對磊晶源極或汲極結構的其中一個,但是不與其合併; 形成假性接觸結構在該第一對磊晶源極或汲極結構的該其中一個與該第二對磊晶源極或汲極結構的該其中一個之間,而且和該第一對磊晶源極或汲極結構的該其中一個以及該第二對磊晶源極或汲極結構的該其中一個相接觸; 在形成該假性接觸結構之後,分別以第一及第二永久性閘極堆疊來取代該第一及第二假性閘極堆疊; 在以該第一及第二永久性閘極堆疊來取代該第一及第二假性閘極堆疊之後,去除該假性接觸結構;以及 形成導電接觸結構橫向在該第一對磊晶源極或汲極結構的該其中一個與該第二對磊晶源極或汲極結構的該其中一個之間,而且和該第一對磊晶源極或汲極結構的該其中一個以及該第二對磊晶源極或汲極結構的該其中一個相接觸。
  8. 如請求項7之方法,其中,該導電接觸結構包圍該第一對磊晶源極或汲極結構的該垂直分離部位,以及包圍該第二對磊晶源極或汲極結構的該垂直分離部位。
  9. 如請求項7之方法,其中,該第一和第二對磊晶源極或汲極結構的其中一個為一對壓縮應力的源極或汲極結構。
  10. 如請求項7之方法,其中,該第一和第二對磊晶源極或汲極結構的其中一個為一對伸張應力的源極或汲極結構。
  11. 如請求項7之方法,其中,該第一和第二永久性閘極堆疊的其中一個包括高k閘極電介質層和金屬閘極電極。
  12. 一種積體電路結構,該積體電路結構包括: 第一垂直配置的水平奈米線和第二垂直配置的水平奈米線; 在該第一垂直配置的水平奈米線周圍的第一閘極堆疊,和在該第二垂直配置的水平奈米線周圍的第二閘極堆疊; 在該第一垂直配置的水平奈米線之第一及第二末端處的第一對磊晶源極或汲極結構,該第一對磊晶源極或汲極結構包括與該第一垂直配置的水平奈米線對齊的垂直非分離部位; 在該第二垂直配置的水平奈米線之第一及第二末端處的第二對磊晶源極或汲極結構,該第二對磊晶源極或汲極結構包括與該第二垂直配置的水平奈米線對齊的垂直非分離部位,其中,該第一對磊晶源極或汲極結構的其中一個係橫向鄰接於該第二對磊晶源極或汲極結構的其中一個,但是不與其合併;以及 導電接觸結構,橫向在該第一對磊晶源極或汲極結構的該其中一個與該第二對磊晶源極或汲極結構的該其中一個之間,而且和該第一對磊晶源極或汲極結構的該其中一個以及該第二對磊晶源極或汲極結構的該其中一個相接觸。
  13. 如請求項12之積體電路結構,另包括: 在該第一垂直配置的水平奈米線下面的第一子鰭部結構; 在該第二垂直配置的水平奈米線下面的第二子鰭部結構。
  14. 如請求項12之積體電路結構,其中,該第一和第二對磊晶源極或汲極結構的其中一個為一對壓縮應力的源極或汲極結構。
  15. 如請求項12之積體電路結構,其中,該第一和第二對磊晶源極或汲極結構的其中一個為一對伸張應力的源極或汲極結構。
  16. 如請求項12之積體電路結構,其中,該第一和第二閘極堆疊的其中一個包括高k閘極電介質層和金屬閘極電極。
  17. 一種製作積體電路結構的方法,該方法包括: 形成第一垂直配置的水平奈米線和第二垂直配置的水平奈米線; 形成第一假性閘極堆疊於該第一垂直配置的水平奈米線之上,和第二假性閘極堆疊於該第二垂直配置的水平奈米線之上; 形成第一對磊晶源極或汲極結構在該第一垂直配置的水平奈米線之第一及第二末端處,該第一對磊晶源極或汲極結構包括與該第一垂直配置的水平奈米線對齊的垂直非分離部位; 形成第二對磊晶源極或汲極結構在該第二垂直配置的水平奈米線之第一及第二末端處,該第二對磊晶源極或汲極結構包括與該第二垂直配置的水平奈米線對齊的垂直非分離部位,其中,該第一對磊晶源極或汲極結構的其中一個係橫向鄰接於該第二對磊晶源極或汲極結構的其中一個,但是不與其合併; 形成假性接觸結構在該第一對磊晶源極或汲極結構的該其中一個與該第二對磊晶源極或汲極結構的該其中一個之間,而且和該第一對磊晶源極或汲極結構的該其中一個以及該第二對磊晶源極或汲極結構的該其中一個相接觸; 在形成該假性接觸結構之後,分別以第一及第二永久性閘極堆疊來取代該第一及第二假性閘極堆疊; 在以該第一及第二永久性閘極堆疊來取代該第一及第二假性閘極堆疊之後,去除該假性接觸結構;以及 形成導電接觸結構橫向在該第一對磊晶源極或汲極結構的該其中一個與該第二對磊晶源極或汲極結構的該其中一個之間,而且和該第一對磊晶源極或汲極結構的該其中一個以及該第二對磊晶源極或汲極結構的該其中一個相接觸。
  18. 如請求項17之方法,其中,該第一和第二對磊晶源極或汲極結構的其中一個為一對壓縮應力的源極或汲極結構。
  19. 如請求項17之方法,其中,該第一和第二對磊晶源極或汲極結構的其中一個為一對伸張應力的源極或汲極結構。
  20. 如請求項17之方法,其中,該第一和第二永久性閘極堆疊的其中一個包括高k閘極電介質層和金屬閘極電極。
TW109104706A 2019-03-22 2020-02-14 具有帶有磊晶結塊部之源極或汲極結構的閘極環繞式積體電路結構 TW202101760A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/361,861 US11522048B2 (en) 2019-03-22 2019-03-22 Gate-all-around integrated circuit structures having source or drain structures with epitaxial nubs
US16/361,861 2019-03-22

Publications (1)

Publication Number Publication Date
TW202101760A true TW202101760A (zh) 2021-01-01

Family

ID=72334410

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109104706A TW202101760A (zh) 2019-03-22 2020-02-14 具有帶有磊晶結塊部之源極或汲極結構的閘極環繞式積體電路結構

Country Status (4)

Country Link
US (2) US11522048B2 (zh)
CN (1) CN111725301A (zh)
DE (1) DE102020103517A1 (zh)
TW (1) TW202101760A (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11522048B2 (en) * 2019-03-22 2022-12-06 Intel Corporation Gate-all-around integrated circuit structures having source or drain structures with epitaxial nubs
US11049934B2 (en) * 2019-09-18 2021-06-29 Globalfoundries U.S. Inc. Transistor comprising a matrix of nanowires and methods of making such a transistor
US11296226B2 (en) 2019-10-16 2022-04-05 International Business Machines Corporation Transistor having wrap-around source/drain contacts and under-contact spacers
US11901410B2 (en) * 2021-08-31 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
US11705504B2 (en) 2021-12-02 2023-07-18 International Business Machines Corporation Stacked nanosheet transistor with defect free channel
US11894361B2 (en) 2021-12-08 2024-02-06 International Business Machines Corporation Co-integrated logic, electrostatic discharge, and well contact devices on a substrate
US20230187441A1 (en) * 2021-12-10 2023-06-15 Intel Corporation Integrated circuit structures with trench contact flyover structure

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9853101B2 (en) * 2015-10-07 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Strained nanowire CMOS device and method of forming
US10192867B1 (en) * 2018-02-05 2019-01-29 Globalfoundries Inc. Complementary FETs with wrap around contacts and method of forming same
US11469299B2 (en) * 2018-09-28 2022-10-11 Intel Corporation Gate-all-around integrated circuit structures having underlying dopant-diffusion blocking layers
US11527612B2 (en) * 2018-09-28 2022-12-13 Intel Corporation Gate-all-around integrated circuit structures having vertically discrete source or drain structures
US11522048B2 (en) * 2019-03-22 2022-12-06 Intel Corporation Gate-all-around integrated circuit structures having source or drain structures with epitaxial nubs

Also Published As

Publication number Publication date
US20200303502A1 (en) 2020-09-24
CN111725301A (zh) 2020-09-29
US11522048B2 (en) 2022-12-06
US20230058558A1 (en) 2023-02-23
DE102020103517A1 (de) 2020-09-24

Similar Documents

Publication Publication Date Title
US20230074199A1 (en) Gate-all-around integrated circuit structures having vertically discrete source or drain structures
US20240047559A1 (en) Gate-all-around integrated circuit structures having depopulated channel structures using bottom-up oxidation approach
US11862703B2 (en) Gate-all-around integrated circuit structures having dual nanoribbon channel structures
US11581404B2 (en) Gate-all-around integrated circuit structures having depopulated channel structures
US20230058558A1 (en) Gate-all-around integrated circuit structures having source or drain structures with epitaxial nubs
US20240178226A1 (en) Fabrication of gate-all-around integrated circuit structures having pre-spacer deposition cut gates
US20210202478A1 (en) Gate-all-around integrated circuit structures having low aspect ratio isolation structures and subfins
TW202105676A (zh) 具有嵌入式GeSnB源極或汲極結構的環繞式閘極積體電路結構
US20230163215A1 (en) Gate-all-around integrated circuit structures having fin stack isolation
EP4109556A1 (en) Released fin for advanced integrated circuit structure fabrication
US20240145471A1 (en) Gate-all-around integrated circuit structures having devices with source/drain-to-substrate electrical contact
US20210407996A1 (en) Gate-all-around integrated circuit structures having strained dual nanoribbon channel structures
US20220190159A1 (en) Integrated circuit structures having gesnb source or drain structures
US20220093589A1 (en) Fabrication of gate-all-around integrated circuit structures having adjacent island structures
CN110970424A (zh) 使用自底向上方法的具有减少填充的沟道结构的全环绕栅极集成电路结构
US20240096896A1 (en) Non-planar integrated circuit structures having mitigated source or drain etch from replacement gate process
US12002810B2 (en) Gate-all-around integrated circuit structures having depopulated channel structures using bottom-up approach
US20230178622A1 (en) Gate-all-around integrated circuit structures having depopulated channel structures using directed bottom-up approach
US20220199774A1 (en) Gate-all-around integrated circuit structures having germanium-diffused nanoribbon channel structures
US20230207455A1 (en) Integrated circuit structure having anti-fuse structure
US20230187494A1 (en) Integrated circuit structures having maximized channel sizing
TW202335239A (zh) 具有含金屬之源極或汲極結構的積體電路結構
KR20230094963A (ko) 중앙 부분이 재성장된 소스 또는 드레인 구조를 갖는 게이트 올 어라운드 집적 회로 구조