TW202032794A - Semiconductor device - Google Patents

Semiconductor device Download PDF

Info

Publication number
TW202032794A
TW202032794A TW108106651A TW108106651A TW202032794A TW 202032794 A TW202032794 A TW 202032794A TW 108106651 A TW108106651 A TW 108106651A TW 108106651 A TW108106651 A TW 108106651A TW 202032794 A TW202032794 A TW 202032794A
Authority
TW
Taiwan
Prior art keywords
doped region
gate structure
substrate
region
semiconductor device
Prior art date
Application number
TW108106651A
Other languages
Chinese (zh)
Other versions
TWI682542B (en
Inventor
林韋志
林安宏
王瀚倫
Original Assignee
旺宏電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 旺宏電子股份有限公司 filed Critical 旺宏電子股份有限公司
Priority to TW108106651A priority Critical patent/TWI682542B/en
Application granted granted Critical
Publication of TWI682542B publication Critical patent/TWI682542B/en
Publication of TW202032794A publication Critical patent/TW202032794A/en

Links

Images

Landscapes

  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

Provided is a semiconductor device including a substrate of a first conductivity type, at least one gate structure combination, an inner doped region of a second conductivity type, two outer doped regions of the second conductivity type, and two lightly doped regions of the second conductivity type. Each of the gate structure combination including a first gate structure and a second gate structure. The gate structure combination is located on the substrate. The inner doped region is located in the substrate, and is sandwiched between the first gate structure and the second gate structure. The two outer doped regions are located in the substrate. The two outer doped regions are located in the substrate which outside the inner doped region, the first gate structure, and the second gate structure. The two lightly doped regions are located in the substrate. The lightly doped region covers a sidewall and a bottom surface of the outer doped region, and a sidewall and a bottom surface of the inner doped region are not covered by the lightly doped region.

Description

半導體元件Semiconductor components

本發明是有關於一種積體電路,且特別是有關於一種半導體元件。The present invention relates to an integrated circuit, and particularly relates to a semiconductor element.

隨著科技趨勢,製造具有較低的元件特定導通電阻(Ron-sp)的半導體元件是被期望的,而如何縮短閘極長度以獲得較低元件特定導通電阻,將成為重要的一門課題。With the trend of science and technology, it is desirable to manufacture semiconductor devices with lower device specific on-resistance (Ron-sp), and how to shorten the gate length to obtain lower device specific on-resistance will become an important issue.

本發明提供一種半導體元件,其可以有效縮短半導體元件的閘極長度,同時維持一定的電性特徵。The present invention provides a semiconductor element, which can effectively shorten the gate length of the semiconductor element while maintaining certain electrical characteristics.

本發明提供一種半導體元件包括具有第一導電型的基底、至少一閘極結構組合、具有第二導電型的內摻雜區、具有第二導電型的兩個外摻雜區以及具有第二導電型的兩個淡摻雜區。每一閘極結構組合包括第一閘極結構與第二閘極結構。閘極結構組合配置於基底上。內摻雜區位於基底中,且夾於第一閘極結構與第二閘極結構之間。兩個外摻雜區位於基底中。兩個外摻雜區位於內摻雜區、第一閘極結構與第二閘極結構之外的基底中。兩個淡摻雜區位於基底中。淡摻雜區包覆外摻雜區的側壁與底面,且內摻雜區的側壁與底面不被淡摻雜區所包覆。The invention provides a semiconductor element including a substrate with a first conductivity type, at least one gate structure combination, an inner doped region with a second conductivity type, two outer doped regions with a second conductivity type, and a second conductivity type. Type of two lightly doped regions. Each gate structure combination includes a first gate structure and a second gate structure. The gate structure is assembled on the substrate. The inner doped region is located in the substrate and sandwiched between the first gate structure and the second gate structure. Two outer doped regions are located in the substrate. The two outer doped regions are located in the substrate outside the inner doped region, the first gate structure and the second gate structure. Two lightly doped regions are located in the substrate. The lightly doped region covers the sidewall and bottom surface of the outer doped region, and the sidewall and bottom surface of the inner doped region are not covered by the lightly doped region.

本發明提供一種半導體元件包括具有第一導電型的基底、至少一閘極結構組合、具有第二導電型的內摻雜區、具有第二導電型的兩個外摻雜區以及具有第二導電型的淡摻雜區。兩個閘極結構配置於基底上。內摻雜區位於基底中。內摻雜區夾於第一閘極結構與第二閘極結構之間。兩個外摻雜區位於基底中。兩個外摻雜區位於內摻雜區、第一閘極結構與第二閘極結構之間之外的基底中。淡摻雜區位於基底中。淡摻雜區包覆內摻雜區的側壁與底面,且外摻雜區的側壁與底面不被淡摻雜區所包覆。The invention provides a semiconductor element including a substrate with a first conductivity type, at least one gate structure combination, an inner doped region with a second conductivity type, two outer doped regions with a second conductivity type, and a second conductivity type. Type of lightly doped area. The two gate structures are arranged on the substrate. The inner doped region is located in the substrate. The inner doped region is sandwiched between the first gate structure and the second gate structure. Two outer doped regions are located in the substrate. The two outer doped regions are located in the substrate outside the inner doped region, the first gate structure and the second gate structure. The lightly doped region is located in the substrate. The lightly doped region covers the sidewall and bottom surface of the inner doped region, and the sidewall and bottom surface of the outer doped region are not covered by the lightly doped region.

基於上述,本發明藉由單一半導體元件中具有內摻雜區夾於兩個閘極結構之間,兩個外摻雜區位於內摻雜區、兩個閘極結構之外的基底中,淡摻雜區包覆外摻雜區的側壁與底面,而不包覆內摻雜區的側壁與底面,或者,淡摻雜區包覆內摻雜區的側壁與底面;而不包覆外摻雜區的側壁與底面,使兩相鄰的內摻雜區與外摻雜區附近不會產生兩個淡摻雜區側向擴散相互接觸而產生擊穿漏電流的現象,進而可以有效縮短半導體元件的閘極長度,同時維持一定的電性特徵。Based on the above, the present invention uses a single semiconductor device with an inner doped region sandwiched between two gate structures, and two outer doped regions are located in the substrate outside the inner doped region and the two gate structures. The doped region covers the sidewall and bottom surface of the outer doped region, but does not cover the sidewall and bottom surface of the inner doped region, or the lightly doped region covers the sidewall and bottom surface of the inner doped region; The sidewall and bottom surface of the miscellaneous region prevent two adjacent inner doped regions and outer doped regions from being in contact with each other due to lateral diffusion of the two lightly doped regions, thereby causing breakdown leakage current, which can effectively shorten the semiconductor The gate length of the element while maintaining certain electrical characteristics.

為讓本發明的上述特徵和優點能更明顯易懂,下文特舉實施例,並配合所附圖式作詳細說明如下。In order to make the above-mentioned features and advantages of the present invention more comprehensible, the following specific embodiments are described in detail in conjunction with the accompanying drawings.

參照本實施例之圖式以更全面地闡述本發明。然而,本發明亦可以各種不同的形式體現,而不應限於本文中所述之實施例。圖式中的層與區域的厚度會為了清楚起見而放大。相同或相似之標號表示相同或相似之元件,以下段落將不再一一贅述。The present invention will be explained more fully with reference to the drawings of this embodiment. However, the present invention can also be embodied in various different forms and should not be limited to the embodiments described herein. The thickness of the layers and regions in the drawing will be exaggerated for clarity. The same or similar reference numerals indicate the same or similar elements, and the following paragraphs will not repeat them one by one.

請參照圖1A,本實施例提供一種半導體元件100的製造方法,其步驟如下。首先,提供具有第一導電型的基底102。基底102例如是選自於由Si、Ge、SiGe、GaP、GaAs、SiC、SiGeC、InAs與InP所組成的群組中的至少一種材料。基底102也可例如是磊晶層(EPI)、非磊晶層(non-EPI)、絕緣層上覆矽(SOI)基底或其組合。在本實施例中,第一導電型例如是P型,基底102例如是P型基底。P型摻雜例如是硼。1A, this embodiment provides a method for manufacturing a semiconductor device 100, the steps of which are as follows. First, a substrate 102 having a first conductivity type is provided. The substrate 102 is, for example, at least one material selected from the group consisting of Si, Ge, SiGe, GaP, GaAs, SiC, SiGeC, InAs, and InP. The substrate 102 may also be, for example, an epitaxial layer (EPI), a non-epitaxial layer (non-EPI), a silicon-on-insulator (SOI) substrate, or a combination thereof. In this embodiment, the first conductivity type is, for example, a P-type, and the substrate 102 is, for example, a P-type substrate. The P-type dopant is boron, for example.

在一些實施例中,如圖1F所示,基底102從其底面102b至頂面102a可以依序具有四個不均勻的摻雜區域PW1、PW2、PW3、PW4,在其他實施例中,也可以是多個經過高溫熱退火或快速熱退火所擴散的井區。摻雜區域PW1例如是位於距離基底102的頂面102a的1微米(micrometer, μm)~3μm位置;摻雜區域PW2例如是位於距離基底102的頂面102a的0.5μm~2μm位置;摻雜區域PW3例如是位於距離基底102的頂面102a的0.2μm~1μm位置;而摻雜區域PW4例如是位於距離基底102的頂面102a的0μm~0.6μm位置。在一些實施例中,摻雜區域PW1中的摻雜濃度範圍例如是介於1016 /cm3 至1018 /cm3 之間;摻雜區域PW2中的摻雜濃度範圍例如是介於1017 /cm3 至1018 /cm3 之間;摻雜區域PW3中的摻雜濃度範圍例如是介於1017 /cm3 至1018 /cm3 之間;而摻雜區域PW4中的摻雜濃度範圍例如是介於1016 /cm3 至1018 /cm3 之間,其中摻雜區域PW4對臨界電壓(threshold voltage)的影響最大。在本實施例中,藉由對基底102中的不同區域進行不同濃度範圍的摻雜,可以直接調整半導體元件100(圖1E)的臨界電壓,因此無需使用額外的製程來控制臨界電壓。舉例而言,本發明不需要額外形成口袋型摻雜區(pocket implant regions)即可有效的控制臨界電壓,口袋型摻雜區如圖1E中包覆住第一淡摻雜區122、第二淡摻雜區124與內摻雜區140的角落的虛線區域。In some embodiments, as shown in FIG. 1F, the substrate 102 may have four non-uniform doped regions PW1, PW2, PW3, and PW4 in sequence from the bottom surface 102b to the top surface 102a. In other embodiments, it may also It is a plurality of wells diffused by high temperature thermal annealing or rapid thermal annealing. The doped region PW1 is, for example, located at a position of 1 micrometer (μm) to 3 μm from the top surface 102a of the substrate 102; the doped region PW2 is, for example, located at a position of 0.5 μm to 2 μm from the top surface 102a of the substrate 102; PW3 is, for example, located at a position of 0.2 μm to 1 μm from the top surface 102 a of the substrate 102; and the doped region PW4 is, for example, located at a position of 0 μm to 0.6 μm from the top surface 102 a of the substrate 102. In some embodiments, the doping concentration range in the doped region PW1 is, for example, between 10 16 /cm 3 to 10 18 /cm 3 ; the doping concentration range in the doping region PW2 is, for example, between 10 17 /cm 3 to 10 18 /cm 3 ; the doping concentration range in the doped region PW3 is, for example, between 10 17 /cm 3 to 10 18 /cm 3 ; and the doping concentration in the doped region PW4 The range is, for example, between 10 16 /cm 3 and 10 18 /cm 3 , where the doped region PW4 has the greatest impact on the threshold voltage. In this embodiment, by doping different regions in the substrate 102 with different concentration ranges, the threshold voltage of the semiconductor device 100 (FIG. 1E) can be directly adjusted, so there is no need to use an additional process to control the threshold voltage. For example, the present invention does not need to form additional pocket implant regions to effectively control the threshold voltage. The pocket implant regions cover the first lightly doped regions 122 and the second lightly doped regions as shown in FIG. 1E. The dotted area at the corners of the lightly doped region 124 and the inner doped region 140.

請繼續參照圖1A,接著,在基底102中形成隔離結構104,以定義出主動區AA。隔離結構104的材料例如是摻雜或未摻雜的氧化矽、低應力氮化矽、氮氧化矽或其組合,其形成方法可例如是局部區域熱氧化法(LOCOS)、淺溝渠隔離法或深溝渠隔離法。在一實施例中,隔離結構104可例如是場氧化結構(FOX)、淺溝渠隔離結構(STI)以及深溝渠隔離結構(DTI)或其組合。Please continue to refer to FIG. 1A, and then, an isolation structure 104 is formed in the substrate 102 to define the active area AA. The material of the isolation structure 104 is, for example, doped or undoped silicon oxide, low-stress silicon nitride, silicon oxynitride, or a combination thereof. The formation method thereof can be, for example, local area thermal oxidation (LOCOS), shallow trench isolation, or Deep trench isolation method. In an embodiment, the isolation structure 104 may be, for example, a field oxide structure (FOX), a shallow trench isolation structure (STI), a deep trench isolation structure (DTI), or a combination thereof.

請參照圖1B,形成隔離結構104之後,於基底102上形成閘極結構組合110。閘極結構組合110包括第一閘極結構112與第二閘極結構114。閘極結構組合110不限於一組第一閘極結構112與第二閘極結構114,更包括多組第一閘極結構112與第二閘極結構114。在本實施例中,第一閘極結構112與第二閘極結構114可以是相同類型的閘極結構。第一閘極結構112例如是包括依序堆疊在基底102上的閘極介電層12a及導體層12b;而第二閘極結構114例如是包括依序堆疊在基底102上的閘極介電層14a及導體層14b。閘極介電層12a、14a的材料可以包括氧化矽、氮氧化矽、氮化矽及其組合。此外,也可使用多層材料作為閘極介電層12a、14a。在本實施例中,導體層12b、14b的材料例如是摻雜多晶矽。摻雜多晶矽的摻質可以是P型導電型,例如硼。摻雜多晶矽的摻質的濃度範圍例如是1017 /cm3 至1019 /cm31B, after the isolation structure 104 is formed, a gate structure assembly 110 is formed on the substrate 102. The gate structure assembly 110 includes a first gate structure 112 and a second gate structure 114. The gate structure assembly 110 is not limited to a set of the first gate structure 112 and the second gate structure 114, but includes a plurality of sets of the first gate structure 112 and the second gate structure 114. In this embodiment, the first gate structure 112 and the second gate structure 114 may be the same type of gate structure. The first gate structure 112 includes, for example, a gate dielectric layer 12a and a conductor layer 12b sequentially stacked on the substrate 102; and the second gate structure 114 includes, for example, a gate dielectric layer sequentially stacked on the substrate 102. Layer 14a and conductor layer 14b. The material of the gate dielectric layers 12a, 14a may include silicon oxide, silicon oxynitride, silicon nitride, and combinations thereof. In addition, multilayer materials can also be used as the gate dielectric layers 12a, 14a. In this embodiment, the material of the conductive layers 12b, 14b is, for example, doped polysilicon. The dopant of the doped polysilicon may be of P type conductivity, such as boron. The dopant concentration range of the doped polysilicon is, for example, 10 17 /cm 3 to 10 19 /cm 3 .

閘極介電層12a、14a與導體層12b、14b的形成方法例如是化學氣相沉積法(chemical vapor deposition, CVD)或爐管氧化法。在一些實施例中,第一閘極結構112與第二閘極結構114的製造步驟可以如下所述。首先,在基底102上形成閘介電材料層與導體材料層,然後以微影與蝕刻製程對上述材料層進行圖案化。The formation method of the gate dielectric layers 12a, 14a and the conductor layers 12b, 14b is, for example, chemical vapor deposition (CVD) or furnace tube oxidation. In some embodiments, the manufacturing steps of the first gate structure 112 and the second gate structure 114 may be as follows. First, a gate dielectric material layer and a conductive material layer are formed on the substrate 102, and then the material layer is patterned by a lithography and etching process.

在一些實施例中,第一閘極結構112的閘極長度L1的範圍例如是0.1μm至1μm;而第二閘極結構114的閘極長度L2的範圍例如是0.1μm至1μm。第一閘極結構112的閘極長度L1與第二閘極結構114的閘極長度L2可以是相同。在其他實施例中,第一閘極結構112的閘極長度L1與第二閘極結構114的閘極長度L2可以是不同。In some embodiments, the gate length L1 of the first gate structure 112 is, for example, 0.1 μm to 1 μm; and the gate length L2 of the second gate structure 114 is, for example, 0.1 μm to 1 μm. The gate length L1 of the first gate structure 112 and the gate length L2 of the second gate structure 114 may be the same. In other embodiments, the gate length L1 of the first gate structure 112 and the gate length L2 of the second gate structure 114 may be different.

請同時參照圖1B與圖2A,形成第一閘極結構112與第二閘極結構114之後,於基底102上形成圖案化的光阻層16。圖案化的光阻層16具有兩個開口O1。光阻層16覆蓋隔離結構104、第一閘極結構112、第二閘極結構114及第一閘極結構112與第二閘極結構114之間的部分基底102。換句話說,開口O1裸露出部分的主動區AA的基底102。在一些實施例中,開口O1除了裸露出部分的主動區AA的基底102,還裸露出部分的隔離結構104、第一閘極結構112與第二閘極結構114,如圖1B及圖2A所示。1B and FIG. 2A at the same time, after forming the first gate structure 112 and the second gate structure 114, a patterned photoresist layer 16 is formed on the substrate 102. The patterned photoresist layer 16 has two openings O1. The photoresist layer 16 covers the isolation structure 104, the first gate structure 112, the second gate structure 114, and a portion of the substrate 102 between the first gate structure 112 and the second gate structure 114. In other words, the opening O1 exposes a portion of the substrate 102 of the active area AA. In some embodiments, the opening O1 not only exposes a portion of the substrate 102 of the active area AA, but also exposes a portion of the isolation structure 104, the first gate structure 112, and the second gate structure 114, as shown in FIGS. 1B and 2A. Show.

接著,進行一離子植入製程,以在開口O1裸露出的部分基底102中形成淡摻雜區120。淡摻雜區120包括第一淡摻雜區122與第二淡摻雜區124。在其他實施例中,基於製程需要,也可以利用其他光阻圖案設計,或其他摻雜步驟,進行多次離子植入製程,以形成第一淡摻雜區122與第二淡摻雜區124。接著,移除圖案化的光阻層16。Next, an ion implantation process is performed to form a lightly doped region 120 in a portion of the substrate 102 exposed by the opening O1. The lightly doped region 120 includes a first lightly doped region 122 and a second lightly doped region 124. In other embodiments, based on process requirements, other photoresist pattern designs or other doping steps may be used to perform multiple ion implantation processes to form the first lightly doped region 122 and the second lightly doped region 124 . Next, the patterned photoresist layer 16 is removed.

第一淡摻雜區122與第一閘極結構112相鄰,而第二淡摻雜區124與第二閘極結構114相鄰。第一淡摻雜區122與第二淡摻雜區124可以是具有第二導電型的的淡摻雜區。換言之,第一淡摻雜區122與第二淡摻雜區124具有相同導電型的摻雜。第二導電型與第一導電型是不同的。在本實施例中,第二導電型例如是N型,第一淡摻雜區122與第二淡摻雜區124例如是N型淡摻雜區。N型摻雜例如是磷或是砷。第一淡摻雜區122與第二淡摻雜區124的濃度範圍例如是1018 /cm3 至1020 /cm3The first lightly doped region 122 is adjacent to the first gate structure 112, and the second lightly doped region 124 is adjacent to the second gate structure 114. The first lightly doped region 122 and the second lightly doped region 124 may be lightly doped regions having the second conductivity type. In other words, the first lightly doped region 122 and the second lightly doped region 124 have the same conductivity type doping. The second conductivity type is different from the first conductivity type. In this embodiment, the second conductivity type is, for example, N-type, and the first lightly doped region 122 and the second lightly doped region 124 are, for example, N-type lightly doped regions. The N-type dopant is, for example, phosphorus or arsenic. The concentration range of the first lightly doped region 122 and the second lightly doped region 124 is, for example, 10 18 /cm 3 to 10 20 /cm 3 .

第一閘極結構112具有外側壁112a與內側壁112b;而第二閘極結構114具有外側壁114a與內側壁114b。第一閘極結構112的外側壁112a與第二閘極結構114的外側壁114a比內側壁112b與114b靠近隔離結構104;而第一閘極結構112的內側壁112b與第二閘極結構114的內側壁114b相鄰。在一些實施例中,第一淡摻雜區122位於第一閘極結構112的外側壁112a旁的基底102中;第二淡摻雜區124位於第二閘極結構114的外側壁114a旁的基底102中;而第一閘極結構112的內側壁112b與第二閘極結構114的內側壁114b之間不具有與第一淡摻雜區122與第二淡摻雜區124的濃度類似的淡摻雜區。The first gate structure 112 has an outer side wall 112 a and an inner side wall 112 b; and the second gate structure 114 has an outer side wall 114 a and an inner side wall 114 b. The outer sidewall 112a of the first gate structure 112 and the outer sidewall 114a of the second gate structure 114 are closer to the isolation structure 104 than the inner sidewalls 112b and 114b; and the inner sidewall 112b of the first gate structure 112 and the second gate structure 114 The inner side walls 114b are adjacent to each other. In some embodiments, the first lightly doped region 122 is located in the substrate 102 next to the outer sidewall 112a of the first gate structure 112; the second lightly doped region 124 is located next to the outer sidewall 114a of the second gate structure 114 In the substrate 102; and the inner sidewall 112b of the first gate structure 112 and the inner sidewall 114b of the second gate structure 114 do not have a concentration similar to the first lightly doped region 122 and the second lightly doped region 124 Lightly doped area.

請參照圖1C,形成第一淡摻雜區122與第二淡摻雜區124之後,於閘極結構組合110的側壁上形成間隙壁130。在一些實施例中,間隙壁130位於第一閘極結構112的外側壁112a與內側壁112b上;以及第二閘極結構114的外側壁114a與內側壁114b上。在一些實施例中,第一閘極結構112與第二閘極結構114的頂面被暴露出來。在其他實施例中,間隙壁130也可以是進一步覆蓋第一閘極結構112與第二閘極結構114的頂面。間隙壁130的材料可以是介電材料。間隙壁130的材料例如是氮化矽或氧化矽,其形成的方法例如是化學氣相沉積法。間隙壁130可以是單層或是多層。間隙壁130的形成步驟例如是先於基底102上沉積介電材料層,接著,對介電材料層進行非等向性蝕刻。1C, after forming the first lightly doped region 122 and the second lightly doped region 124, a spacer 130 is formed on the sidewall of the gate structure assembly 110. In some embodiments, the spacer 130 is located on the outer sidewall 112a and the inner sidewall 112b of the first gate structure 112; and on the outer sidewall 114a and the inner sidewall 114b of the second gate structure 114. In some embodiments, the top surfaces of the first gate structure 112 and the second gate structure 114 are exposed. In other embodiments, the spacer 130 may further cover the top surfaces of the first gate structure 112 and the second gate structure 114. The material of the spacer 130 may be a dielectric material. The material of the spacer 130 is, for example, silicon nitride or silicon oxide, and the method of formation thereof is, for example, a chemical vapor deposition method. The spacer 130 may be a single layer or multiple layers. The step of forming the spacer 130 is, for example, first depositing a dielectric material layer on the substrate 102, and then performing anisotropic etching on the dielectric material layer.

請同時參照圖1D、1E與圖2B,形成間隙壁130之後,於基底102上形成圖案化的光阻層18。圖案化的光阻層18具有開口O2。光阻層18覆蓋隔離結構104。在一些實施例中,開口O2裸露出主動區AA的基底102、間隙壁130、第一閘極結構112與第二閘極結構114。在另一些實施例中,開口O2除了裸露出主動區AA的基底102、間隙壁130、第一閘極結構112與第二閘極結構114之外,還裸露出主動區AA周圍的隔離結構104,如圖1D及圖2B所示。Referring to FIGS. 1D, 1E and 2B at the same time, after the spacer 130 is formed, a patterned photoresist layer 18 is formed on the substrate 102. The patterned photoresist layer 18 has an opening O2. The photoresist layer 18 covers the isolation structure 104. In some embodiments, the opening O2 exposes the substrate 102 of the active area AA, the spacer 130, the first gate structure 112 and the second gate structure 114. In other embodiments, the opening O2 not only exposes the substrate 102, the spacer 130, the first gate structure 112 and the second gate structure 114 of the active area AA, but also exposes the isolation structure 104 around the active area AA. , As shown in Figure 1D and Figure 2B.

接著,進行一離子植入製程,以在開口O2所裸露的主動區AA的部分基底102中形成具有第二導電型的內摻雜區140與外摻雜區150。具有第二導電型的外摻雜區150包括第一外摻雜區152與第二外摻雜區154。在其他實施例中,基於製程需要,也可以利用其他光阻圖案設計,或其他摻雜步驟,進行多次離子植入製程,以形成內摻雜區140以及第一外摻雜區152與第二外摻雜區154。接著,移除圖案化的光阻層18。Next, an ion implantation process is performed to form an inner doped region 140 and an outer doped region 150 of the second conductivity type in a portion of the substrate 102 of the active region AA exposed by the opening O2. The outer doped region 150 having the second conductivity type includes a first outer doped region 152 and a second outer doped region 154. In other embodiments, based on process requirements, other photoresist pattern designs or other doping steps may be used to perform multiple ion implantation processes to form the inner doped region 140 and the first outer doped region 152 and the second doped region. Two outer doped regions 154. Next, the patterned photoresist layer 18 is removed.

第一外摻雜區152與第一閘極結構112相鄰,而第二外摻雜區154與第二閘極結構112相鄰。第一外摻雜區152與第二外摻雜區154可以是相同類型的外摻雜區。內摻雜區140例如是夾於第一閘極結構112與第二閘極結構114之間的基底102中;而第一外摻雜區152與第二外摻雜區154位於內摻雜區140、第一閘極結構112與第二閘極結構114之外的基底102中。The first outer doped region 152 is adjacent to the first gate structure 112, and the second outer doped region 154 is adjacent to the second gate structure 112. The first outer doped region 152 and the second outer doped region 154 may be the same type of outer doped region. The inner doped region 140 is, for example, sandwiched in the substrate 102 between the first gate structure 112 and the second gate structure 114; and the first outer doped region 152 and the second outer doped region 154 are located in the inner doped region 140. In the substrate 102 outside the first gate structure 112 and the second gate structure 114.

具體而言,內摻雜區140例如是夾於第一閘極結構112的內側壁112b與第二閘極結構114的內側壁114b之間的基底102中。換句話說,第一閘極結構112與第二閘極結構114共用內摻雜區140。第一外摻雜區152例如是相鄰於第一閘極結構112的外側壁112a旁的基底102中;而第二外摻雜區154例如是相鄰於第二閘極結構114的外側壁114a旁的基底102中。Specifically, the inner doped region 140 is sandwiched in the substrate 102 between the inner sidewall 112b of the first gate structure 112 and the inner sidewall 114b of the second gate structure 114, for example. In other words, the first gate structure 112 and the second gate structure 114 share the inner doped region 140. The first outer doped region 152 is, for example, in the substrate 102 adjacent to the outer sidewall 112a of the first gate structure 112; and the second outer doped region 154 is, for example, adjacent to the outer sidewall of the second gate structure 114 In the base 102 next to 114a.

在一些實施例中,第一外摻雜區152的側壁152a與底面152b被第一淡摻雜區122包覆;第二外摻雜區154的側壁154a與底面154b被第二淡摻雜區124包覆。內摻雜區140的側壁140a與底面140b不被淡摻雜區所包覆。換句話說,每一淡摻雜區120隔開對應的外摻雜區150與基底102,使得對應的外摻雜區150不與基底102直接接觸;而內摻雜區140與基底102直接接觸。在一些實施例中,第一外摻雜區152與第二外摻雜區154之間可以是透過後續形成內連線而彼此電性連接,如圖1E所示。In some embodiments, the sidewalls 152a and bottom surface 152b of the first outer doped region 152 are covered by the first lightly doped region 122; the sidewalls 154a and bottom surface 154b of the second outer doped region 154 are covered by the second lightly doped region 124 covered. The sidewall 140a and the bottom surface 140b of the inner doped region 140 are not covered by the lightly doped region. In other words, each lightly doped region 120 separates the corresponding outer doped region 150 from the substrate 102, so that the corresponding outer doped region 150 does not directly contact the substrate 102; while the inner doped region 140 directly contacts the substrate 102 . In some embodiments, the first outer doped region 152 and the second outer doped region 154 may be electrically connected to each other through subsequent formation of interconnections, as shown in FIG. 1E.

在一些實施例中,內摻雜區140具有寬度W1;而第一外摻雜區152與第二外摻雜區154具有寬度W2。內摻雜區140的寬度W1例如是大於或等於外摻雜區150的寬度W2,但本發明不限於此。In some embodiments, the inner doped region 140 has a width W1; and the first outer doped region 152 and the second outer doped region 154 have a width W2. The width W1 of the inner doped region 140 is, for example, greater than or equal to the width W2 of the outer doped region 150, but the present invention is not limited thereto.

在本實施例中,第二導電型例如是N型,內摻雜區140與第一外摻雜區152與第二外摻雜區154例如是N型摻雜區。N型摻雜例如是磷或是砷。內摻雜區140、第一外摻雜區152與第二外摻雜區154的摻雜濃度範圍例如是1020 /cm3 至1022 /cm3In this embodiment, the second conductivity type is, for example, N-type, and the inner doped region 140, the first outer doped region 152 and the second outer doped region 154 are, for example, N-type doped regions. The N-type dopant is, for example, phosphorus or arsenic. The doping concentration range of the inner doped region 140, the first outer doped region 152, and the second outer doped region 154 is, for example, 10 20 /cm 3 to 10 22 /cm 3 .

在一些實施例中,內摻雜區140的摻雜濃度與第一外摻雜區152與第二外摻雜區154的摻雜濃度可以是相同;內摻雜區140、第一外摻雜區152與第二外摻雜區154的摻雜濃度與第一淡摻雜區122與第二淡摻雜區124的摻雜濃度可以是不同。在一些實施例中,內摻雜區140的摻雜濃度等於第一外摻雜區152與第二外摻雜區154的摻雜濃度;內摻雜區140、第一外摻雜區152與第二外摻雜區154的摻雜濃度大於淡摻雜區120的摻雜濃度。在一些實施例中,第一淡摻雜區122與第二淡摻雜區124的摻雜濃度是內摻雜區140、第一外摻雜區152與第二外摻雜區154的摻雜濃度的1/1000至1/10。In some embodiments, the doping concentration of the inner doping region 140 and the doping concentration of the first outer doping region 152 and the second outer doping region 154 may be the same; the inner doping region 140, the first outer doping region The doping concentration of the region 152 and the second outer doped region 154 and the doping concentration of the first lightly doped region 122 and the second lightly doped region 124 may be different. In some embodiments, the doping concentration of the inner doped region 140 is equal to the doping concentration of the first outer doped region 152 and the second outer doped region 154; the inner doped region 140, the first outer doped region 152 and The doping concentration of the second outer doped region 154 is greater than the doping concentration of the lightly doped region 120. In some embodiments, the doping concentration of the first lightly doped region 122 and the second lightly doped region 124 is the doping of the inner doped region 140, the first outer doped region 152 and the second outer doped region 154 1/1000 to 1/10 of the concentration.

在一些實施例中,內摻雜區140例如是做為源極區;而第一外摻雜區152與第二外摻雜區154例如是做為汲極區,因此藉由第二淡摻雜區122包覆第一外摻雜區152的側壁152a與底面152b;第二淡摻雜區124包覆第二外摻雜區154的側壁154a與底面154b,可以降低來自內摻雜區(源極區)140的電子流對兩個外摻雜區(汲極區)150所造成的熱載子效應(hot carrier effect),以保護兩個第一外摻雜區152與第二外摻雜區(汲極區)154。於此完成半導體元件100。In some embodiments, the inner doped region 140 is used as a source region; and the first outer doped region 152 and the second outer doped region 154 are used as drain regions, so the second lightly doped region The doped region 122 covers the sidewalls 152a and bottom surface 152b of the first outer doped region 152; the second lightly doped region 124 covers the sidewalls 154a and bottom surface 154b of the second outer doped region 154, which can reduce the source of the inner doped region ( The hot carrier effect caused by the electron flow of the source region 140 on the two outer doped regions (drain regions) 150 to protect the two first outer doped regions 152 and the second outer doped regions 152 Miscellaneous area (drain area) 154. The semiconductor device 100 is completed here.

在本實施例中,第一導電型例如是P型;第二導電型例如是N型。第一閘極結構112、第二閘極結構114、內摻雜區140、第一外摻雜區152與第二外摻雜區154所形成的半導體元件100稱為NMOS半導體元件。In this embodiment, the first conductivity type is, for example, P type; the second conductivity type is, for example, N type. The semiconductor device 100 formed by the first gate structure 112, the second gate structure 114, the inner doped region 140, the first outer doped region 152, and the second outer doped region 154 is called an NMOS semiconductor device.

在本實施例中,藉由單一半導體元件100中具有內摻雜區140夾於第一閘極結構112與第二閘極結構114之間,第一外摻雜區152與第二外摻雜區154位於內摻雜區140、兩個閘極結構112、114之外的基底中,第一淡摻雜區122包覆第一外摻雜區152的側壁152a與底面152b;第二淡摻雜區124包覆第二外摻雜區154的側壁154a與底面154b;而內摻雜區140的側壁140a與底面140b不被淡摻雜區所包覆,使得兩相鄰的內摻雜區140與第一外摻雜區152或第二外摻雜區154附近不會因為有兩個淡摻雜區120側向擴散相互接觸而產生擊穿漏電流(punch-through leakage current)的現象,進而可以有效縮短半導體元件100的閘極長度。In this embodiment, by having an inner doped region 140 in a single semiconductor device 100 sandwiched between the first gate structure 112 and the second gate structure 114, the first outer doped region 152 and the second outer doped region The region 154 is located in the substrate outside the inner doped region 140 and the two gate structures 112, 114. The first lightly doped region 122 covers the sidewalls 152a and bottom surface 152b of the first outer doped region 152; The doped region 124 covers the sidewalls 154a and bottom surface 154b of the second outer doped region 154; and the sidewalls 140a and bottom surface 140b of the inner doped region 140 are not covered by the lightly doped regions, so that two adjacent inner doped regions 140 and the first outer doped region 152 or the second outer doped region 154 will not cause punch-through leakage current due to the lateral diffusion of two lightly doped regions 120 in contact with each other, Furthermore, the gate length of the semiconductor device 100 can be effectively shortened.

在此必須說明的是,以下實施例沿用上述實施例的元件標號與部分內容,其中採用相同或近似的標號來表示相同或近似的元件,並且省略了相同技術內容的說明,關於省略部分的說明可參考前述實施例,下述實施例不再重複贅述。It must be noted here that the following embodiments use the component numbers and part of the content of the above embodiments, wherein the same or similar reference numbers are used to represent the same or similar components, and the description of the same technical content is omitted, and the description of the omitted parts is omitted. Reference may be made to the foregoing embodiments, and the following embodiments will not be repeated.

圖3A是依據本發明一實施例的半導體元件的剖面示意圖。圖3B是圖3A的基底厚度方向與摻雜濃度的關係圖。3A is a schematic cross-sectional view of a semiconductor device according to an embodiment of the invention. FIG. 3B is a diagram of the relationship between the thickness direction of the substrate and the doping concentration of FIG. 3A.

請同時參照圖3A與圖3B,圖3A中的半導體元件200與圖1E中的半導體元件100相似,其差別在於:半導體元件200的第一導電型例如是N型;第二導電型例如是P型。基底202例如是N型基底。淡摻雜區220例如是P型淡摻雜區,其中淡摻雜區220包括第一淡摻雜區222與第二淡摻雜區224;內摻雜區240例如是P型摻雜區;而外摻雜區250例如是P型摻雜區,其中外摻雜區250包括第一外摻雜區252與第二外摻雜區254。在本實施例中,由第一閘極結構112、第二閘極結構114、內摻雜區240、第一外摻雜區252與第二外摻雜區254所形成的半導體元件200稱為PMOS半導體元件。Please refer to FIGS. 3A and 3B at the same time. The semiconductor device 200 in FIG. 3A is similar to the semiconductor device 100 in FIG. 1E. The difference is that the first conductivity type of the semiconductor device 200 is, for example, N type; the second conductivity type is, for example, P type. The substrate 202 is, for example, an N-type substrate. The lightly doped region 220 is, for example, a P-type lightly doped region, where the lightly doped region 220 includes a first lightly doped region 222 and a second lightly doped region 224; the inner doped region 240 is, for example, a P-type doped region; The outer doped region 250 is, for example, a P-type doped region, where the outer doped region 250 includes a first outer doped region 252 and a second outer doped region 254. In this embodiment, the semiconductor device 200 formed by the first gate structure 112, the second gate structure 114, the inner doped region 240, the first outer doped region 252 and the second outer doped region 254 is called PMOS semiconductor components.

在一些實施例中,如圖3B所示,基底202從底面202b至頂面202a可以依序具有四個不均勻的摻雜區域NW1、NW2、NW3、NW4。在其他實施例中,也可以是多個經過高溫熱退火或快速熱退火所擴散的井區。摻雜區域NW1例如是位於距離基底202的頂面202a的1μm~3μm位置;摻雜區域NW2例如是位於距離基底202的頂面202a的0.5μm~2μm位置;摻雜區域NW3例如是位於距離基底202的頂面202a的0.2μm~1μm位置;而摻雜區域NW4例如是位於距離基底202的頂面202a的0μm~0.6μm位置。在一些實施例中,摻雜區域NW1中的摻雜濃度範圍例如是介於1014 /cm3 至1017 /cm3 之間;摻雜區域NW2中的摻雜濃度範圍例如是介於1016 /cm3 至1018 /cm3 之間;摻雜區域NW3中的摻雜濃度範圍例如是介於1017 /cm3 至1018 /cm3 之間;而摻雜區域NW4中的摻雜濃度範圍例如是介於1016 /cm3 至1018 /cm3 之間。在本實施例中,藉由對基底202中的不同區域進行不同濃度範圍的摻雜,較深的摻雜區域NW1、NW2可以降低井區的阻值,並改善閉鎖效應(latch up)減緩寄生雙載子接面電晶體(BJT)導通(turn on)的現象;深度偏中間的摻雜區域NW2、NW3,除了可以補足較深的摻雜區域井區的阻值分布外,也可以降低源/汲極於偏壓時產生的擊穿現象,減少空乏現象改善漏電流;而深度最淺的摻雜區域NW4可以調整半導體元件的臨界電壓,因此不用使用額外的製程控制臨界電壓。In some embodiments, as shown in FIG. 3B, the substrate 202 may have four non-uniform doped regions NW1, NW2, NW3, and NW4 in sequence from the bottom surface 202b to the top surface 202a. In other embodiments, it may also be a plurality of well regions diffused by high temperature thermal annealing or rapid thermal annealing. The doped region NW1 is, for example, located at a position of 1 μm~3 μm from the top surface 202a of the substrate 202; the doped region NW2 is, for example, located at a position of 0.5 μm~2 μm from the top surface 202a of the substrate 202; The top surface 202 a of 202 is at a position of 0.2 μm to 1 μm; and the doped region NW4 is, for example, located at a position of 0 μm to 0.6 μm from the top surface 202 a of the substrate 202. In some embodiments, the doping concentration range in the doped region NW1 is, for example, between 10 14 /cm 3 and 10 17 /cm 3 ; the doping concentration range in the doped region NW2 is, for example, between 10 16 /cm 3 to 10 18 /cm 3 ; the doping concentration range in the doped region NW3 is, for example, between 10 17 /cm 3 to 10 18 /cm 3 ; and the doping concentration in the doped region NW4 The range is, for example, between 10 16 /cm 3 and 10 18 /cm 3 . In this embodiment, by doping different regions in the substrate 202 with different concentration ranges, the deeper doped regions NW1 and NW2 can reduce the resistance of the well region, and improve the latch up effect (latch up) to slow down the parasitic The turn-on phenomenon of the bi-carrier junction transistor (BJT); the doped regions NW2 and NW3 in the middle of the depth can not only complement the resistance distribution of the well in the deeper doped region, but also reduce the source /Drain from the breakdown phenomenon generated during the bias voltage to reduce the depletion phenomenon and improve the leakage current; and the shallowest doped region NW4 can adjust the threshold voltage of the semiconductor device, so there is no need to use an additional process to control the threshold voltage.

請參照圖4,圖4中的半導體元件300與圖1D中的半導體元件100相似,其差別在於:半導體元件300僅具有一個具有第二導電型的淡摻雜區320,且淡摻雜區320僅包覆內摻雜區140的側壁140a與底面140b。換句話說,淡摻雜區320隔開內摻雜區140與基底102,使得內摻雜區140不與基底102直接接觸;而淡摻雜區320不隔開第一外摻雜區152與第二外摻雜區154,使得第一外摻雜區152與第二外摻雜區154與基底102直接接觸。Please refer to FIG. 4, the semiconductor device 300 in FIG. 4 is similar to the semiconductor device 100 in FIG. 1D, except that the semiconductor device 300 has only one lightly doped region 320 with the second conductivity type, and the lightly doped region 320 Only the sidewall 140a and the bottom surface 140b of the inner doped region 140 are covered. In other words, the lightly doped region 320 separates the inner doped region 140 from the substrate 102, so that the inner doped region 140 does not directly contact the substrate 102; and the lightly doped region 320 does not separate the first outer doped region 152 from The second outer doped region 154 makes the first outer doped region 152 and the second outer doped region 154 directly contact the substrate 102.

以下對本案實施例之半導體元件的功效以實驗進行說明。Hereinafter, the effect of the semiconductor device of the embodiment of the present application will be explained through experiments.

<實施例1><Example 1>

將閘極長度(L)為0.4μm的半導體元件100進行電性測試,電性測試項目包括臨界電壓(VT )、特性導通電阻(Ron )、汲極-源極電流(IDS )、崩潰電壓(BVD)及漏電流(IOF ),其結果如表1與圖5所示。Conduct an electrical test on a semiconductor element 100 with a gate length (L) of 0.4μm. The electrical test items include critical voltage (V T ), characteristic on-resistance (R on ), drain-source current (I DS ), Breakdown voltage (BVD) and leakage current (I OF ), the results are shown in Table 1 and Figure 5.

<比較例1><Comparative example 1>

提供半導體元件A,其中半導體元件A為NMOS半導體元件。半導體元件A與半導體元件100的差異為:半導體元件A僅具有一個閘極結構、一個源極區與一個汲極區,且其閘極長度(L)為0.6μm。將半導體元件A進行電性測試,其結果如表1與圖5所示。A semiconductor element A is provided, wherein the semiconductor element A is an NMOS semiconductor element. The difference between the semiconductor device A and the semiconductor device 100 is: the semiconductor device A has only one gate structure, one source region and one drain region, and its gate length (L) is 0.6 μm. The semiconductor device A was tested for electrical properties, and the results are shown in Table 1 and FIG. 5.

<比較例2><Comparative example 2>

提供半導體元件B,其中半導體元件B為NMOS半導體元件。半導體元件B與半導體元件100的差異為:半導體元件B僅具有一個閘極結構、一個源極區與一個汲極區,且其閘極長度(L)為0.55μm。將半導體元件B進行電性測試,其結果如表1與圖5所示。A semiconductor element B is provided, wherein the semiconductor element B is an NMOS semiconductor element. The difference between the semiconductor device B and the semiconductor device 100 is that the semiconductor device B has only one gate structure, one source region and one drain region, and its gate length (L) is 0.55 μm. The semiconductor device B was subjected to electrical testing, and the results are shown in Table 1 and FIG. 5.

<比較例3><Comparative Example 3>

提供半導體元件C,其中半導體元件C為NMOS半導體元件。半導體元件C與半導體元件100的差異為:半導體元件C僅具有一個閘極結構、一個源極區與一個汲極區,且其閘極長度(L)為0.5μm。將半導體元件C進行電性測試,其結果如表1與圖5所示。A semiconductor element C is provided, wherein the semiconductor element C is an NMOS semiconductor element. The difference between the semiconductor device C and the semiconductor device 100 is that the semiconductor device C has only one gate structure, one source region and one drain region, and its gate length (L) is 0.5 μm. The semiconductor device C was subjected to electrical testing, and the results are shown in Table 1 and FIG. 5.

表1   L (μm) VT (V) Ron (mohm-mm2 IDS (μA/μm) BVD (V) IOF (pA/μm) 實施例1 0.4 1 1.86 401 12.3 0.6 比較例1 0.5 0.7 1.43 680 10.5 37.5 比較例2 0.55 0.7 1.98 622 12.2 1.1 比較例3 0.6 0.8 2.31 572 12.3 0.07 Table 1 L (μm) V T (V) R on (mohm-mm 2 ) I DS (μA/μm) BVD (V) I OF (pA/μm) Example 1 0.4 1 1.86 401 12.3 0.6 Comparative example 1 0.5 0.7 1.43 680 10.5 37.5 Comparative example 2 0.55 0.7 1.98 622 12.2 1.1 Comparative example 3 0.6 0.8 2.31 572 12.3 0.07

從表1與圖5的結果顯示:閘極長度為0.4μm之實施例1的元件的電性表現可以維持閘極長度為0.6μm、0.55μm與0.5μm之比較例1~3的元件的水準,代表本發明的半導體元件100在縮短閘極長度並降低特定導通電阻時,依舊可以維持一定的電性特徵。The results from Table 1 and Figure 5 show that the electrical performance of the device of Example 1 with a gate length of 0.4μm can maintain the level of the devices of Comparative Examples 1 to 3 with a gate length of 0.6μm, 0.55μm and 0.5μm , It represents that the semiconductor device 100 of the present invention can still maintain certain electrical characteristics when the gate length is shortened and the specific on-resistance is reduced.

<實施例2><Example 2>

將閘極長度(L)為0.4μm的半導體元件200進行電性測試,其結果如表2與圖6所示。The semiconductor device 200 with a gate length (L) of 0.4 μm was subjected to electrical testing, and the results are shown in Table 2 and FIG. 6.

<比較例4><Comparative Example 4>

提供半導體元件D,其中半導體元件D為PMOS半導體元件。半導體元件D與半導體元件200的差異為:半導體元件D僅具有一個閘極結構、一個源極區與一個汲極區,且其閘極長度(L)為0.5μm。將半導體元件D進行電性測試,其結果如表2與圖6所示。A semiconductor element D is provided, wherein the semiconductor element D is a PMOS semiconductor element. The difference between the semiconductor device D and the semiconductor device 200 is that the semiconductor device D has only one gate structure, one source region and one drain region, and its gate length (L) is 0.5 μm. The semiconductor device D was subjected to electrical testing, and the results are shown in Table 2 and FIG. 6.

表2   L (μm) VT (V) Ron (mohm-mm2 IDS (μA/μm) BVD (V) IOF (pA/μm) 實施例2 0.4 0.84 4.46 321 9 0.15 比較例4 0.5 0.82 8 290 9 0.25 Table 2 L (μm) V T (V) R on (mohm-mm 2 ) I DS (μA/μm) BVD (V) I OF (pA/μm) Example 2 0.4 0.84 4.46 321 9 0.15 Comparative example 4 0.5 0.82 8 290 9 0.25

從表2與圖6的結果顯示:閘極長度為0.4μm的實施例2的元件的電性表現可以維持閘極長度為0.5μm之比較例4的元件的水準,代表本發明的半導體元件200在縮短閘極長度並降低特定導通電阻時,依舊可以維持一定的電性特徵。The results from Table 2 and Figure 6 show that the electrical performance of the device of Example 2 with a gate length of 0.4 μm can maintain the level of the device of Comparative Example 4 with a gate length of 0.5 μm, which represents the semiconductor device 200 of the present invention. When shortening the gate length and reducing the specific on-resistance, certain electrical characteristics can still be maintained.

綜上所述,本發明藉由單一半導體元件中具有內摻雜區夾於兩個閘極結構之間,兩個外摻雜區位於內摻雜區、兩個閘極結構之外的基底中,淡摻雜區包覆外摻雜區的側壁與底面,而不包覆內摻雜區的側壁與底面,或者,淡摻雜區包覆內摻雜區的側壁與底面;而不包覆外摻雜區的側壁與底面,使兩相鄰的內摻雜區與外摻雜區附近不會產生兩個淡摻雜區側向擴散相互接觸而產生擊穿漏電流的現象,進而可以有效縮短半導體元件的閘極長度,同時維持一定的電性特徵。In summary, the present invention uses a single semiconductor device with an inner doped region sandwiched between two gate structures, and two outer doped regions are located in the substrate outside the inner doped region and the two gate structures. , The lightly doped region covers the sidewall and bottom surface of the outer doped region, but does not cover the sidewall and bottom surface of the inner doped region, or the lightly doped region covers the sidewall and bottom surface of the inner doped region; The sidewalls and bottom surface of the outer doped region prevent two adjacent inner doped regions and outer doped regions from being in contact with each other by lateral diffusion of the two lightly doped regions, thereby causing breakdown leakage current. Shorten the gate length of semiconductor components while maintaining certain electrical characteristics.

雖然本發明已以實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明的精神和範圍內,當可作些許的更動與潤飾,故本發明的保護範圍當視後附的申請專利範圍所界定者為準。Although the present invention has been disclosed in the above embodiments, it is not intended to limit the present invention. Anyone with ordinary knowledge in the technical field can make some changes and modifications without departing from the spirit and scope of the present invention. The scope of protection of the present invention shall be determined by the scope of the attached patent application.

16、18:圖案化的光阻層 100、200、300、A、B、C、D:半導體元件 102、202:基底 102a、202a:基底的頂面 102b、202b:基底的底面 104:隔離結構 110:閘極結構組合 112、114:閘極結構 12a、14a:閘極介電層 12b、14b:導體層 120、122、124、220、222、224、320:淡摻雜區 112a、114a:外側壁 112b、114b:內側壁 130:間隙壁 140、240:內摻雜區 150、152、154、250、252、254:外摻雜區 140a:內摻雜區的側壁 140b:內摻雜區的底面 152a、154a:外摻雜區的側壁 152b、154b:外摻雜區的底面 AA:主動區 L1、L2:閘極長度 O1、O2:開口 PW1、PW2、PW3、PW4、NW1、NW2、NW3、NW4:摻雜區域 W1、W2:寬度16, 18: Patterned photoresist layer 100, 200, 300, A, B, C, D: semiconductor components 102, 202: base 102a, 202a: the top surface of the substrate 102b, 202b: the bottom of the substrate 104: Isolation structure 110: Gate structure combination 112, 114: Gate structure 12a, 14a: gate dielectric layer 12b, 14b: Conductor layer 120, 122, 124, 220, 222, 224, 320: lightly doped area 112a, 114a: outer wall 112b, 114b: inner wall 130: Clearance Wall 140, 240: inner doped area 150, 152, 154, 250, 252, 254: outer doped area 140a: sidewall of the inner doped region 140b: bottom surface of inner doped region 152a, 154a: sidewalls of the outer doped region 152b, 154b: the bottom surface of the outer doped region AA: active area L1, L2: gate length O1, O2: opening PW1, PW2, PW3, PW4, NW1, NW2, NW3, NW4: doped area W1, W2: width

圖1A至圖1E是依據本發明一實施例的半導體元件的製造方法的剖面示意圖。 圖1F是圖1A的基底厚度方向與摻雜濃度的關係圖。 圖2A是依據圖1B的半導體元件的俯視示意圖。 圖2B是依據圖1D的半導體元件的俯視示意圖。 圖3A是依據本發明一實施例的半導體元件的剖面示意圖。 圖3B是圖3A的基底厚度方向與摻雜濃度的關係圖。 圖4是依據本發明一實施例的半導體元件的剖面示意圖。 圖5為依據圖1E之半導體元件與比較例之半導體元件的電性曲線圖。 圖6為依據圖3A之半導體元件與比較例之半導體元件的電性曲線圖。1A to 1E are schematic cross-sectional views of a method of manufacturing a semiconductor device according to an embodiment of the invention. FIG. 1F is a diagram of the relationship between the thickness direction of the substrate and the doping concentration of FIG. 1A. FIG. 2A is a schematic top view of the semiconductor device according to FIG. 1B. FIG. 2B is a schematic top view of the semiconductor device according to FIG. 1D. 3A is a schematic cross-sectional view of a semiconductor device according to an embodiment of the invention. FIG. 3B is a diagram of the relationship between the thickness direction of the substrate and the doping concentration of FIG. 3A. 4 is a schematic cross-sectional view of a semiconductor device according to an embodiment of the invention. 5 is a graph showing the electrical characteristics of the semiconductor device in FIG. 1E and the semiconductor device in the comparative example. 6 is a graph showing the electrical characteristics of the semiconductor device according to FIG. 3A and the semiconductor device of the comparative example.

100:半導體元件 100: Semiconductor components

102:基底 102: Base

104:隔離結構 104: Isolation structure

110、112、114:閘極結構 110, 112, 114: gate structure

12a、14a:閘極介電層 12a, 14a: gate dielectric layer

12b、14b:導體層 12b, 14b: Conductor layer

120、122、124:淡摻雜區 120, 122, 124: lightly doped area

112a、114a:外側壁 112a, 114a: outer wall

112b、114b:內側壁 112b, 114b: inner wall

130:間隙壁 130: Clearance Wall

140:內摻雜區 140: inner doped area

150、152、154:外摻雜區 150, 152, 154: outer doped area

140a:內摻雜區的側壁 140a: sidewall of the inner doped region

140b:內摻雜區的底面 140b: bottom surface of inner doped region

152a、154a:外摻雜區的側壁 152a, 154a: sidewalls of the outer doped region

152b、154b:外摻雜區的底面 152b, 154b: the bottom surface of the outer doped region

AA:主動區 AA: active area

W1、W2:寬度 W1, W2: width

Claims (10)

一種半導體元件,包括: 基底,具有第一導電型; 至少一閘極結構組合,每一所述閘極結構組合包括第一閘極結構與第二閘極結構,所述閘極結構組合配置於所述基底上; 其中包含每一所述閘極結構組合的所述半導體元件,包括: 內摻雜區,具有第二導電型,其中所述內摻雜區位於所述基底中,且所述內摻雜區夾於所述第一閘極結構與所述第二閘極結構之間; 兩個外摻雜區,具有所述第二導電型,其中所述兩個外摻雜區位於所述基底中,且所述兩個外摻雜區位於所述內摻雜區、所述第一閘極結構與所述第二閘極結構之外的所述基底中;以及 兩個淡摻雜區,具有所述第二導電型,其中所述兩個淡摻雜區位於所述基底中,所述淡摻雜區包覆所述外摻雜區的側壁與底面,且所述內摻雜區的側壁與底面不被所述淡摻雜區所包覆。A semiconductor component, including: The substrate has a first conductivity type; At least one gate structure combination, each of the gate structure combinations includes a first gate structure and a second gate structure, and the gate structure combination is disposed on the substrate; The semiconductor element including each of the gate structure combinations includes: The inner doped region has a second conductivity type, wherein the inner doped region is located in the substrate, and the inner doped region is sandwiched between the first gate structure and the second gate structure ; Two outer doped regions having the second conductivity type, wherein the two outer doped regions are located in the substrate, and the two outer doped regions are located in the inner doped regions, the second A gate structure and the substrate outside the second gate structure; and Two lightly doped regions having the second conductivity type, wherein the two lightly doped regions are located in the substrate, and the lightly doped regions cover the sidewalls and the bottom surface of the outer doped region, and The sidewalls and bottom surface of the inner doped region are not covered by the lightly doped region. 如申請專利範圍第1項所述的半導體元件,其中所述內摻雜區為源極區,所述外摻雜區為汲極區。The semiconductor device according to the first item of the patent application, wherein the inner doped region is a source region, and the outer doped region is a drain region. 如申請專利範圍第2項所述的半導體元件,其中所述兩個汲極區彼此電性連接。The semiconductor device described in item 2 of the scope of patent application, wherein the two drain regions are electrically connected to each other. 如申請專利範圍第1項所述的半導體元件,其中所述外摻雜區的摻雜濃度大於所述淡摻雜區的摻雜濃度。The semiconductor device according to item 1 of the scope of patent application, wherein the doping concentration of the outer doped region is greater than the doping concentration of the lightly doped region. 如申請專利範圍第1項所述的半導體元件,其中所述內摻雜區與所述基底直接接觸。The semiconductor device according to the first item of the patent application, wherein the inner doped region is in direct contact with the substrate. 如申請專利範圍第1項所述的半導體元件,其中每一所述淡摻雜區隔開對應的所述外摻雜區與所述基底。According to the semiconductor device described in item 1 of the scope of patent application, each of the lightly doped regions separates the corresponding outer doped region and the substrate. 一種半導體元件,包括: 基底,具有第一導電型; 至少一閘極結構組合,每一所述閘極結構組合包括第一閘極結構與第二閘極結構,所述閘極結構組合配置於所述基底上; 其中包含每一所述閘極結構組合的所述半導體元件,包括: 內摻雜區,具有第二導電型,其中所述內摻雜區位於所述基底中,且所述內摻雜區夾於所述第一閘極結構與所述第二閘極結構之間; 兩個外摻雜區,具有所述第二導電型,其中所述兩個外摻雜區位於所述基底中,且所述兩個外摻雜區位於所述內摻雜區、所述第一閘極結構與所述第二閘極結構之外的所述基底中;以及 淡摻雜區,具有所述第二導電型,其中所述淡摻雜區位於所述基底中,所述淡摻雜區包覆所述內摻雜區的側壁與底面,且所述外摻雜區的側壁與底面不被所述淡摻雜區所包覆。A semiconductor component, including: The substrate has a first conductivity type; At least one gate structure combination, each of the gate structure combinations includes a first gate structure and a second gate structure, and the gate structure combination is disposed on the substrate; The semiconductor element including each of the gate structure combinations includes: The inner doped region has a second conductivity type, wherein the inner doped region is located in the substrate, and the inner doped region is sandwiched between the first gate structure and the second gate structure ; Two outer doped regions having the second conductivity type, wherein the two outer doped regions are located in the substrate, and the two outer doped regions are located in the inner doped regions, the second A gate structure and the substrate outside the second gate structure; and The lightly doped region has the second conductivity type, wherein the lightly doped region is located in the substrate, the lightly doped region covers the sidewall and the bottom surface of the inner doped region, and the outer doped region The sidewall and bottom surface of the impurity region are not covered by the lightly doped region. 如申請專利範圍第7項所述的半導體元件,其中所述內摻雜區的摻雜濃度大於所述淡摻雜區的摻雜濃度。The semiconductor device according to item 7 of the scope of patent application, wherein the doping concentration of the inner doped region is greater than the doping concentration of the lightly doped region. 如申請專利範圍第7項所述的半導體元件,其中所述外摻雜區與所述基底直接接觸。According to the semiconductor device described in claim 7, wherein the outer doped region is in direct contact with the substrate. 如申請專利範圍第7項所述的半導體元件,其中所述內摻雜區為源極區,所述外摻雜區為汲極區。According to the semiconductor device described in item 7 of the scope of patent application, the inner doped region is a source region, and the outer doped region is a drain region.
TW108106651A 2019-02-27 2019-02-27 Semiconductor device TWI682542B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW108106651A TWI682542B (en) 2019-02-27 2019-02-27 Semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW108106651A TWI682542B (en) 2019-02-27 2019-02-27 Semiconductor device

Publications (2)

Publication Number Publication Date
TWI682542B TWI682542B (en) 2020-01-11
TW202032794A true TW202032794A (en) 2020-09-01

Family

ID=69942462

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108106651A TWI682542B (en) 2019-02-27 2019-02-27 Semiconductor device

Country Status (1)

Country Link
TW (1) TWI682542B (en)

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1571711A4 (en) * 2002-10-25 2008-07-09 Shindengen Electric Mfg Lateral short-channel dmos, method for manufacturing same and semiconductor device
TWI533361B (en) * 2012-01-13 2016-05-11 聯華電子股份有限公司 Method for manufacturing semiconductor structure
TWI562208B (en) * 2015-10-19 2016-12-11 Vanguard Int Semiconduct Corp Semiconductor structure and method for manufacturing the same

Also Published As

Publication number Publication date
TWI682542B (en) 2020-01-11

Similar Documents

Publication Publication Date Title
KR100690924B1 (en) Semiconductor integrated circuit device and fabrication method for the same
US20100219504A1 (en) Four-Terminal Gate-Controlled LVBJTs
US8912599B2 (en) Semiconductor device and method of fabricating the same
US10103260B1 (en) Semiconductor device structure having low Rdson and manufacturing method thereof
US20090159968A1 (en) BVDII Enhancement with a Cascode DMOS
KR100751642B1 (en) Method for manufacturing semiconductor device
KR20140002478A (en) Integrated circuit devices with well regions and methods for forming the same
US10910493B2 (en) Semiconductor device and method of manufacturing the same
KR101393962B1 (en) Power transistor with high voltage counter implant
US7948031B2 (en) Semiconductor device and method of fabricating semiconductor device
TWI682542B (en) Semiconductor device
CN111668306B (en) Semiconductor device with a plurality of semiconductor chips
US10868115B2 (en) High voltage device and manufacturing method thereof
US20150325486A1 (en) Semiconductor device and method for producing the same
KR20090068083A (en) Semiconductor device and method for manufacturing thereof
TWI597838B (en) Semiconductor device and method for fabricating the same
JP7252094B2 (en) semiconductor devices and transistors
TWI759175B (en) High voltage device and manufacturing method thereof
JP5423151B2 (en) Semiconductor device and manufacturing method thereof
KR20090068084A (en) Semiconductor device and method for manufacturing thereof
TW202312362A (en) Manufacturing method of semiconductor device
JP2010153634A (en) Semiconductor device and method of manufacturing the same
US8138565B2 (en) Lateral double diffused metal oxide semiconductor device and method of making the same
TW202228288A (en) High voltage device and manufacturing method thereof
KR101044325B1 (en) BiCMOS Device Using Standard CMOS Process And Method of Manufacturing The Same